US790755A
(en)
*
|
1904-06-28 |
1905-05-23 |
Max Rockstroh |
Platen printing-press.
|
US4201579A
(en)
*
|
1978-06-05 |
1980-05-06 |
Motorola, Inc. |
Method for removing photoresist by hydrogen plasma
|
US4357203A
(en)
|
1981-12-30 |
1982-11-02 |
Rca Corporation |
Plasma etching of polyimide
|
US4699689A
(en)
|
1985-05-17 |
1987-10-13 |
Emergent Technologies Corporation |
Method and apparatus for dry processing of substrates
|
US5292393A
(en)
*
|
1986-12-19 |
1994-03-08 |
Applied Materials, Inc. |
Multichamber integrated process system
|
US5158644A
(en)
|
1986-12-19 |
1992-10-27 |
Applied Materials, Inc. |
Reactor chamber self-cleaning process
|
JPH0770524B2
(ja)
|
1987-08-19 |
1995-07-31 |
富士通株式会社 |
半導体装置の製造方法
|
US5354386A
(en)
|
1989-03-24 |
1994-10-11 |
National Semiconductor Corporation |
Method for plasma etching tapered and stepped vias
|
US5122225A
(en)
*
|
1990-11-21 |
1992-06-16 |
Texas Instruments Incorporated |
Selective etch method
|
JPH05275326A
(ja)
*
|
1992-03-30 |
1993-10-22 |
Sumitomo Metal Ind Ltd |
レジストのアッシング方法
|
US5716494A
(en)
*
|
1992-06-22 |
1998-02-10 |
Matsushita Electric Industrial Co., Ltd. |
Dry etching method, chemical vapor deposition method, and apparatus for processing semiconductor substrate
|
US5522932A
(en)
*
|
1993-05-14 |
1996-06-04 |
Applied Materials, Inc. |
Corrosion-resistant apparatus
|
EP0664347A3
(en)
*
|
1994-01-25 |
1997-05-14 |
Applied Materials Inc |
Plant for the deposition of a uniform layer of a material on a substrate.
|
US5744049A
(en)
|
1994-07-18 |
1998-04-28 |
Applied Materials, Inc. |
Plasma reactor with enhanced plasma uniformity by gas addition, and method of using same
|
US5900351A
(en)
*
|
1995-01-17 |
1999-05-04 |
International Business Machines Corporation |
Method for stripping photoresist
|
US5817406A
(en)
|
1995-07-14 |
1998-10-06 |
Applied Materials, Inc. |
Ceramic susceptor with embedded metal electrode and brazing material connection
|
US5633073A
(en)
*
|
1995-07-14 |
1997-05-27 |
Applied Materials, Inc. |
Ceramic susceptor with embedded metal electrode and eutectic connection
|
JP3585591B2
(ja)
*
|
1995-07-29 |
2004-11-04 |
株式会社半導体エネルギー研究所 |
エッチング装置及びエッチング方法
|
US6193802B1
(en)
*
|
1995-09-25 |
2001-02-27 |
Applied Materials, Inc. |
Parallel plate apparatus for in-situ vacuum line cleaning for substrate processing equipment
|
US6187072B1
(en)
*
|
1995-09-25 |
2001-02-13 |
Applied Materials, Inc. |
Method and apparatus for reducing perfluorocompound gases from substrate processing equipment emissions
|
US6194628B1
(en)
*
|
1995-09-25 |
2001-02-27 |
Applied Materials, Inc. |
Method and apparatus for cleaning a vacuum line in a CVD system
|
US6045618A
(en)
*
|
1995-09-25 |
2000-04-04 |
Applied Materials, Inc. |
Microwave apparatus for in-situ vacuum line cleaning for substrate processing equipment
|
US5792269A
(en)
|
1995-10-31 |
1998-08-11 |
Applied Materials, Inc. |
Gas distribution for CVD systems
|
US5968324A
(en)
|
1995-12-05 |
1999-10-19 |
Applied Materials, Inc. |
Method and apparatus for depositing antireflective coating
|
US5707485A
(en)
*
|
1995-12-20 |
1998-01-13 |
Micron Technology, Inc. |
Method and apparatus for facilitating removal of material from the backside of wafers via a plasma etch
|
JPH09205130A
(ja)
|
1996-01-17 |
1997-08-05 |
Applied Materials Inc |
ウェハ支持装置
|
US6013574A
(en)
|
1996-01-30 |
2000-01-11 |
Advanced Micro Devices, Inc. |
Method of forming low resistance contact structures in vias arranged between two levels of interconnect lines
|
US5660682A
(en)
|
1996-03-14 |
1997-08-26 |
Lsi Logic Corporation |
Plasma clean with hydrogen gas
|
US5814155A
(en)
|
1996-06-26 |
1998-09-29 |
Vlsi Technology, Inc. |
Plasma ashing enhancement
|
US6083852A
(en)
|
1997-05-07 |
2000-07-04 |
Applied Materials, Inc. |
Method for applying films using reduced deposition rates
|
US6127262A
(en)
|
1996-06-28 |
2000-10-03 |
Applied Materials, Inc. |
Method and apparatus for depositing an etch stop layer
|
US6156149A
(en)
|
1997-05-07 |
2000-12-05 |
Applied Materials, Inc. |
In situ deposition of a dielectric oxide layer and anti-reflective coating
|
US6129091A
(en)
|
1996-10-04 |
2000-10-10 |
Taiwan Semiconductor Manfacturing Company |
Method for cleaning silicon wafers with deep trenches
|
US6562544B1
(en)
*
|
1996-11-04 |
2003-05-13 |
Applied Materials, Inc. |
Method and apparatus for improving accuracy in photolithographic processing of substrates
|
US5911834A
(en)
*
|
1996-11-18 |
1999-06-15 |
Applied Materials, Inc. |
Gas delivery system
|
US5844195A
(en)
|
1996-11-18 |
1998-12-01 |
Applied Materials, Inc. |
Remote plasma source
|
US5830775A
(en)
|
1996-11-26 |
1998-11-03 |
Sharp Microelectronics Technology, Inc. |
Raised silicided source/drain electrode formation with reduced substrate silicon consumption
|
US5811358A
(en)
|
1997-01-03 |
1998-09-22 |
Mosel Vitelic Inc. |
Low temperature dry process for stripping photoresist after high dose ion implantation
|
US6039834A
(en)
*
|
1997-03-05 |
2000-03-21 |
Applied Materials, Inc. |
Apparatus and methods for upgraded substrate processing system with microwave plasma source
|
US6077764A
(en)
*
|
1997-04-21 |
2000-06-20 |
Applied Materials, Inc. |
Process for depositing high deposition rate halogen-doped silicon oxide layer
|
US6306564B1
(en)
|
1997-05-27 |
2001-10-23 |
Tokyo Electron Limited |
Removal of resist or residue from semiconductors using supercritical carbon dioxide
|
US6177023B1
(en)
*
|
1997-07-11 |
2001-01-23 |
Applied Komatsu Technology, Inc. |
Method and apparatus for electrostatically maintaining substrate flatness
|
JP3317209B2
(ja)
|
1997-08-12 |
2002-08-26 |
東京エレクトロンエイ・ティー株式会社 |
プラズマ処理装置及びプラズマ処理方法
|
US5908672A
(en)
*
|
1997-10-15 |
1999-06-01 |
Applied Materials, Inc. |
Method and apparatus for depositing a planarized passivation layer
|
US6797188B1
(en)
|
1997-11-12 |
2004-09-28 |
Meihua Shen |
Self-cleaning process for etching silicon-containing material
|
US6379576B2
(en)
*
|
1997-11-17 |
2002-04-30 |
Mattson Technology, Inc. |
Systems and methods for variable mode plasma enhanced processing of semiconductor wafers
|
US6098568A
(en)
|
1997-12-01 |
2000-08-08 |
Applied Materials, Inc. |
Mixed frequency CVD apparatus
|
US6287990B1
(en)
*
|
1998-02-11 |
2001-09-11 |
Applied Materials, Inc. |
CVD plasma assisted low dielectric constant films
|
US6660656B2
(en)
|
1998-02-11 |
2003-12-09 |
Applied Materials Inc. |
Plasma processes for depositing low dielectric constant films
|
US6303523B2
(en)
*
|
1998-02-11 |
2001-10-16 |
Applied Materials, Inc. |
Plasma processes for depositing low dielectric constant films
|
US6340435B1
(en)
*
|
1998-02-11 |
2002-01-22 |
Applied Materials, Inc. |
Integrated low K dielectrics and etch stops
|
US6413583B1
(en)
|
1998-02-11 |
2002-07-02 |
Applied Materials, Inc. |
Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound
|
US6593247B1
(en)
|
1998-02-11 |
2003-07-15 |
Applied Materials, Inc. |
Method of depositing low k films using an oxidizing plasma
|
US6054379A
(en)
|
1998-02-11 |
2000-04-25 |
Applied Materials, Inc. |
Method of depositing a low k dielectric with organo silane
|
US6203657B1
(en)
*
|
1998-03-31 |
2001-03-20 |
Lam Research Corporation |
Inductively coupled plasma downstream strip module
|
US5980770A
(en)
|
1998-04-16 |
1999-11-09 |
Siemens Aktiengesellschaft |
Removal of post-RIE polymer on Al/Cu metal line
|
US6432830B1
(en)
*
|
1998-05-15 |
2002-08-13 |
Applied Materials, Inc. |
Semiconductor fabrication process
|
US6086952A
(en)
|
1998-06-15 |
2000-07-11 |
Applied Materials, Inc. |
Chemical vapor deposition of a copolymer of p-xylylene and a multivinyl silicon/oxygen comonomer
|
US6800571B2
(en)
*
|
1998-09-29 |
2004-10-05 |
Applied Materials Inc. |
CVD plasma assisted low dielectric constant films
|
US6277733B1
(en)
|
1998-10-05 |
2001-08-21 |
Texas Instruments Incorporated |
Oxygen-free, dry plasma process for polymer removal
|
US6342446B1
(en)
*
|
1998-10-06 |
2002-01-29 |
Texas Instruments Incorporated |
Plasma process for organic residue removal from copper
|
US6171945B1
(en)
*
|
1998-10-22 |
2001-01-09 |
Applied Materials, Inc. |
CVD nanoporous silica low dielectric constant films
|
US6245690B1
(en)
|
1998-11-04 |
2001-06-12 |
Applied Materials, Inc. |
Method of improving moisture resistance of low dielectric constant films
|
US6107184A
(en)
|
1998-12-09 |
2000-08-22 |
Applied Materials, Inc. |
Nano-porous copolymer films having low dielectric constants
|
US6417080B1
(en)
|
1999-01-28 |
2002-07-09 |
Canon Kabushiki Kaisha |
Method of processing residue of ion implanted photoresist, and method of producing semiconductor device
|
US6130166A
(en)
|
1999-02-01 |
2000-10-10 |
Vlsi Technology, Inc. |
Alternative plasma chemistry for enhanced photoresist removal
|
US6242350B1
(en)
|
1999-03-18 |
2001-06-05 |
Taiwan Semiconductor Manufacturing Company |
Post gate etch cleaning process for self-aligned gate mosfets
|
US6204192B1
(en)
*
|
1999-03-29 |
2001-03-20 |
Lsi Logic Corporation |
Plasma cleaning process for openings formed in at least one low dielectric constant insulation layer over copper metallization in integrated circuit structures
|
US6709715B1
(en)
*
|
1999-06-17 |
2004-03-23 |
Applied Materials Inc. |
Plasma enhanced chemical vapor deposition of copolymer of parylene N and comonomers with various double bonds
|
US6030901A
(en)
|
1999-06-24 |
2000-02-29 |
Advanced Micro Devices, Inc. |
Photoresist stripping without degrading low dielectric constant materials
|
US6177347B1
(en)
|
1999-07-02 |
2001-01-23 |
Taiwan Semiconductor Manufacturing Company |
In-situ cleaning process for Cu metallization
|
US6281135B1
(en)
|
1999-08-05 |
2001-08-28 |
Axcelis Technologies, Inc. |
Oxygen free plasma stripping process
|
US6313042B1
(en)
|
1999-09-03 |
2001-11-06 |
Applied Materials, Inc. |
Cleaning contact with successive fluorine and hydrogen plasmas
|
US6767698B2
(en)
|
1999-09-29 |
2004-07-27 |
Tokyo Electron Limited |
High speed stripping for damaged photoresist
|
US6287643B1
(en)
*
|
1999-09-30 |
2001-09-11 |
Novellus Systems, Inc. |
Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor
|
JP4221847B2
(ja)
|
1999-10-25 |
2009-02-12 |
パナソニック電工株式会社 |
プラズマ処理装置及びプラズマ点灯方法
|
JP3366301B2
(ja)
|
1999-11-10 |
2003-01-14 |
日本電気株式会社 |
プラズマcvd装置
|
US6352938B2
(en)
|
1999-12-09 |
2002-03-05 |
United Microelectronics Corp. |
Method of removing photoresist and reducing native oxide in dual damascene copper process
|
US6365516B1
(en)
*
|
2000-01-14 |
2002-04-02 |
Advanced Micro Devices, Inc. |
Advanced cobalt silicidation with in-situ hydrogen plasma clean
|
US20010027023A1
(en)
|
2000-02-15 |
2001-10-04 |
Shigenori Ishihara |
Organic substance removing methods, methods of producing semiconductor device, and organic substance removing apparatuses
|
JP2001308078A
(ja)
|
2000-02-15 |
2001-11-02 |
Canon Inc |
有機物除去方法、半導体装置の製造方法及び有機物除去装置並びにシステム
|
US6184134B1
(en)
*
|
2000-02-18 |
2001-02-06 |
Infineon Technologies North America Corp. |
Dry process for cleaning residues/polymers after metal etch
|
US6667244B1
(en)
*
|
2000-03-24 |
2003-12-23 |
Gerald M. Cox |
Method for etching sidewall polymer and other residues from the surface of semiconductor devices
|
JP4470274B2
(ja)
*
|
2000-04-26 |
2010-06-02 |
東京エレクトロン株式会社 |
熱処理装置
|
JP4371543B2
(ja)
*
|
2000-06-29 |
2009-11-25 |
日本電気株式会社 |
リモートプラズマcvd装置及び膜形成方法
|
US6426304B1
(en)
|
2000-06-30 |
2002-07-30 |
Lam Research Corporation |
Post etch photoresist strip with hydrogen for organosilicate glass low-κ etch applications
|
US20020185226A1
(en)
|
2000-08-10 |
2002-12-12 |
Lea Leslie Michael |
Plasma processing apparatus
|
US6562090B1
(en)
*
|
2000-08-28 |
2003-05-13 |
Hercules Incorporated |
Fluid abrasive suspension for use in dentifrices
|
DE10051380C2
(de)
*
|
2000-10-17 |
2002-11-28 |
Advanced Micro Devices Inc |
Verfahren zur Herstellung eines Halbleiterbauteils unter Anwendung eines Schrumpfprozesses eines Strukturmerkmals
|
US6569257B1
(en)
*
|
2000-11-09 |
2003-05-27 |
Applied Materials Inc. |
Method for cleaning a process chamber
|
US6692903B2
(en)
|
2000-12-13 |
2004-02-17 |
Applied Materials, Inc |
Substrate cleaning apparatus and method
|
US6733594B2
(en)
*
|
2000-12-21 |
2004-05-11 |
Lam Research Corporation |
Method and apparatus for reducing He backside faults during wafer processing
|
US6479391B2
(en)
|
2000-12-22 |
2002-11-12 |
Intel Corporation |
Method for making a dual damascene interconnect using a multilayer hard mask
|
US20020127853A1
(en)
*
|
2000-12-29 |
2002-09-12 |
Hubacek Jerome S. |
Electrode for plasma processes and method for manufacture and use thereof
|
US6319842B1
(en)
|
2001-01-02 |
2001-11-20 |
Novellus Systems Incorporated |
Method of cleansing vias in semiconductor wafer having metal conductive layer
|
US6589879B2
(en)
|
2001-01-18 |
2003-07-08 |
Applied Materials, Inc. |
Nitride open etch process based on trifluoromethane and sulfur hexafluoride
|
US7753546B2
(en)
*
|
2001-02-07 |
2010-07-13 |
World Factory, Inc. |
Umbrella apparatus
|
US6777344B2
(en)
*
|
2001-02-12 |
2004-08-17 |
Lam Research Corporation |
Post-etch photoresist strip with O2 and NH3 for organosilicate glass low-K dielectric etch applications
|
CN101038863B
(zh)
*
|
2001-02-15 |
2011-07-06 |
东京毅力科创株式会社 |
被处理件的处理方法及处理装置
|
US6764940B1
(en)
|
2001-03-13 |
2004-07-20 |
Novellus Systems, Inc. |
Method for depositing a diffusion barrier for copper interconnect applications
|
US7186648B1
(en)
*
|
2001-03-13 |
2007-03-06 |
Novellus Systems, Inc. |
Barrier first method for single damascene trench applications
|
US6723654B2
(en)
|
2001-03-30 |
2004-04-20 |
Taiwan Semiconductor Manufacturing Co., Ltd |
Method and apparatus for in-situ descum/hot bake/dry etch photoresist/polyimide layer
|
US6951823B2
(en)
|
2001-05-14 |
2005-10-04 |
Axcelis Technologies, Inc. |
Plasma ashing process
|
US6834656B2
(en)
|
2001-05-23 |
2004-12-28 |
Axcelis Technology, Inc. |
Plasma process for removing polymer and residues from substrates
|
US6875702B2
(en)
|
2001-06-11 |
2005-04-05 |
Lsi Logic Corporation |
Plasma treatment system
|
US6632735B2
(en)
|
2001-08-07 |
2003-10-14 |
Applied Materials, Inc. |
Method of depositing low dielectric constant carbon doped silicon oxide
|
US20030036284A1
(en)
|
2001-08-16 |
2003-02-20 |
Yu-Ren Chou |
Method for removing the photoresist layer of ion-implanting process
|
US6872652B2
(en)
*
|
2001-08-28 |
2005-03-29 |
Infineon Technologies Ag |
Method of cleaning an inter-level dielectric interconnect
|
US20030045098A1
(en)
*
|
2001-08-31 |
2003-03-06 |
Applied Materials, Inc. |
Method and apparatus for processing a wafer
|
JP4838464B2
(ja)
|
2001-09-26 |
2011-12-14 |
東京エレクトロン株式会社 |
処理方法
|
US6680164B2
(en)
*
|
2001-11-30 |
2004-01-20 |
Applied Materials Inc. |
Solvent free photoresist strip and residue removal processing for post etching of low-k films
|
JP4326746B2
(ja)
|
2002-01-07 |
2009-09-09 |
東京エレクトロン株式会社 |
プラズマ処理方法
|
US6720132B2
(en)
*
|
2002-01-08 |
2004-04-13 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Bi-layer photoresist dry development and reactive ion etch method
|
US7390755B1
(en)
|
2002-03-26 |
2008-06-24 |
Novellus Systems, Inc. |
Methods for post etch cleans
|
US6848455B1
(en)
*
|
2002-04-22 |
2005-02-01 |
Novellus Systems, Inc. |
Method and apparatus for removing photoresist and post-etch residue from semiconductor substrates by in-situ generation of oxidizing species
|
US7074298B2
(en)
|
2002-05-17 |
2006-07-11 |
Applied Materials |
High density plasma CVD chamber
|
US6656832B1
(en)
|
2002-07-25 |
2003-12-02 |
Taiwan Semiconductor Manufacturing Co., Ltd |
Plasma treatment method for fabricating microelectronic fabrication having formed therein conductor layer with enhanced electrical properties
|
JP4434950B2
(ja)
*
|
2002-08-22 |
2010-03-17 |
ダイキン工業株式会社 |
剥離液
|
US6900135B2
(en)
*
|
2002-08-27 |
2005-05-31 |
Applied Materials, Inc. |
Buffer station for wafer backside cleaning and inspection
|
US6693043B1
(en)
*
|
2002-09-20 |
2004-02-17 |
Novellus Systems, Inc. |
Method for removing photoresist from low-k films in a downstream plasma system
|
JP2004152136A
(ja)
*
|
2002-10-31 |
2004-05-27 |
Matsushita Electric Ind Co Ltd |
データ更新システム、データ更新システムの差分データ生成装置及びプログラム、並びに更新後ファイル復元装置及びプログラム
|
US6837967B1
(en)
*
|
2002-11-06 |
2005-01-04 |
Lsi Logic Corporation |
Method and apparatus for cleaning deposited films from the edge of a wafer
|
US6787452B2
(en)
|
2002-11-08 |
2004-09-07 |
Chartered Semiconductor Manufacturing Ltd. |
Use of amorphous carbon as a removable ARC material for dual damascene fabrication
|
KR100476136B1
(ko)
|
2002-12-02 |
2005-03-10 |
주식회사 셈테크놀러지 |
대기압 플라즈마를 이용한 표면처리장치
|
US6780782B1
(en)
|
2003-02-04 |
2004-08-24 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Bi-level resist structure and fabrication method for contact holes on semiconductor substrates
|
US20040195208A1
(en)
|
2003-02-15 |
2004-10-07 |
Pavel Elizabeth G. |
Method and apparatus for performing hydrogen optical emission endpoint detection for photoresist strip and residue removal
|
US20040237997A1
(en)
|
2003-05-27 |
2004-12-02 |
Applied Materials, Inc. ; |
Method for removal of residue from a substrate
|
US7205240B2
(en)
|
2003-06-04 |
2007-04-17 |
Applied Materials, Inc. |
HDP-CVD multistep gapfill process
|
JP4278444B2
(ja)
*
|
2003-06-17 |
2009-06-17 |
株式会社日立製作所 |
仮想ポート名の管理装置
|
US7270237B2
(en)
*
|
2003-07-24 |
2007-09-18 |
Stanely T. Mandeltort |
Waterproof document storage device
|
US7256134B2
(en)
|
2003-08-01 |
2007-08-14 |
Applied Materials, Inc. |
Selective etching of carbon-doped low-k dielectrics
|
US6924239B2
(en)
*
|
2003-10-14 |
2005-08-02 |
Texas Instruments Incorporated |
Method for removal of hydrocarbon contamination on gate oxide prior to non-thermal nitridation using “spike” radical oxidation
|
US20050106888A1
(en)
|
2003-11-14 |
2005-05-19 |
Taiwan Semiconductor Manufacturing Co. |
Method of in-situ damage removal - post O2 dry process
|
US20050158667A1
(en)
|
2004-01-20 |
2005-07-21 |
Applied Materials, Inc. |
Solvent free photoresist strip and residue removal processing for post etching of low-k films
|
WO2005072211A2
(en)
|
2004-01-20 |
2005-08-11 |
Mattson Technology, Inc. |
System and method for removal of photoresist and residues following contact etch with a stop layer present
|
WO2005104203A1
(ja)
|
2004-03-31 |
2005-11-03 |
Fujitsu Limited |
基板処理装置および半導体装置の製造方法
|
US7628864B2
(en)
|
2004-04-28 |
2009-12-08 |
Tokyo Electron Limited |
Substrate cleaning apparatus and method
|
US7288484B1
(en)
|
2004-07-13 |
2007-10-30 |
Novellus Systems, Inc. |
Photoresist strip method for low-k dielectrics
|
US7029967B2
(en)
*
|
2004-07-21 |
2006-04-18 |
Texas Instruments Incorporated |
Silicide method for CMOS integrated circuits
|
US20060016202A1
(en)
*
|
2004-07-23 |
2006-01-26 |
Daniel Lyvers |
Refrigerator with system for controlling drawer temperatures
|
US7632756B2
(en)
*
|
2004-08-26 |
2009-12-15 |
Applied Materials, Inc. |
Semiconductor processing using energized hydrogen gas and in combination with wet cleaning
|
US7597816B2
(en)
|
2004-09-03 |
2009-10-06 |
Lam Research Corporation |
Wafer bevel polymer removal
|
US20060051965A1
(en)
|
2004-09-07 |
2006-03-09 |
Lam Research Corporation |
Methods of etching photoresist on substrates
|
US20060102197A1
(en)
*
|
2004-11-16 |
2006-05-18 |
Kang-Lie Chiang |
Post-etch treatment to remove residues
|
US8193096B2
(en)
|
2004-12-13 |
2012-06-05 |
Novellus Systems, Inc. |
High dose implantation strip (HDIS) in H2 base chemistry
|
US7202176B1
(en)
*
|
2004-12-13 |
2007-04-10 |
Novellus Systems, Inc. |
Enhanced stripping of low-k films using downstream gas mixing
|
KR100607777B1
(ko)
*
|
2004-12-27 |
2006-08-01 |
동부일렉트로닉스 주식회사 |
반도체 소자의 제조 방법
|
US7601272B2
(en)
|
2005-01-08 |
2009-10-13 |
Applied Materials, Inc. |
Method and apparatus for integrating metrology with etch processing
|
US7344993B2
(en)
*
|
2005-01-11 |
2008-03-18 |
Tokyo Electron Limited, Inc. |
Low-pressure removal of photoresist and etch residue
|
US7268071B2
(en)
|
2005-01-12 |
2007-09-11 |
Sony Corporation |
Dual damascene interconnections having low K layer with reduced damage arising from photoresist stripping
|
US7432172B2
(en)
|
2005-01-21 |
2008-10-07 |
Tokyo Electron Limited |
Plasma etching method
|
JP2006221772A
(ja)
*
|
2005-02-14 |
2006-08-24 |
Fuji Photo Film Co Ltd |
ディスク状情報媒体の製造方法
|
US7198677B2
(en)
|
2005-03-09 |
2007-04-03 |
Wafermasters, Inc. |
Low temperature wafer backside cleaning
|
US8129281B1
(en)
*
|
2005-05-12 |
2012-03-06 |
Novellus Systems, Inc. |
Plasma based photoresist removal system for cleaning post ash residue
|
JP2006351594A
(ja)
|
2005-06-13 |
2006-12-28 |
Toshiba Ceramics Co Ltd |
半導体ウェーハの電気特性の測定方法
|
JP2007019367A
(ja)
*
|
2005-07-11 |
2007-01-25 |
Ricoh Co Ltd |
半導体装置の製造方法
|
JP5011852B2
(ja)
|
2005-07-20 |
2012-08-29 |
富士通セミコンダクター株式会社 |
電子デバイスの製造方法
|
US7411298B2
(en)
*
|
2005-08-17 |
2008-08-12 |
Kabushiki Kaisha Kobe Seiko Sho (Kobe Steel, Ltd.) |
Source/drain electrodes, thin-film transistor substrates, manufacture methods thereof, and display devices
|
US7468326B2
(en)
|
2005-08-24 |
2008-12-23 |
United Microelectronics Corp. |
Method of cleaning a wafer
|
US7465680B2
(en)
|
2005-09-07 |
2008-12-16 |
Applied Materials, Inc. |
Post deposition plasma treatment to increase tensile stress of HDP-CVD SIO2
|
US7909960B2
(en)
*
|
2005-09-27 |
2011-03-22 |
Lam Research Corporation |
Apparatus and methods to remove films on bevel edge and backside of wafer
|
KR100742279B1
(ko)
|
2005-12-22 |
2007-07-24 |
삼성전자주식회사 |
반도체 소자의 제조 장치 및 방법
|
KR20070069802A
(ko)
*
|
2005-12-28 |
2007-07-03 |
엘지.필립스 엘시디 주식회사 |
평판표시소자의 제조장치 및 그를 이용한 기판파손방지방법
|
US7432209B2
(en)
|
2006-03-22 |
2008-10-07 |
Applied Materials, Inc. |
Plasma dielectric etch process including in-situ backside polymer removal for low-dielectric constant material
|
US8034176B2
(en)
|
2006-03-28 |
2011-10-11 |
Tokyo Electron Limited |
Gas distribution system for a post-etch treatment system
|
US7851369B2
(en)
|
2006-06-05 |
2010-12-14 |
Lam Research Corporation |
Hardmask trim method
|
US8124516B2
(en)
|
2006-08-21 |
2012-02-28 |
Lam Research Corporation |
Trilayer resist organic layer etch
|
US7740768B1
(en)
|
2006-10-12 |
2010-06-22 |
Novellus Systems, Inc. |
Simultaneous front side ash and backside clean
|
US7655571B2
(en)
*
|
2006-10-26 |
2010-02-02 |
Applied Materials, Inc. |
Integrated method and apparatus for efficient removal of halogen residues from etched substrates
|
US7595005B2
(en)
|
2006-12-11 |
2009-09-29 |
Tokyo Electron Limited |
Method and apparatus for ashing a substrate using carbon dioxide
|
DE102006062035B4
(de)
*
|
2006-12-29 |
2013-02-07 |
Advanced Micro Devices, Inc. |
Verfahren zum Entfernen von Lackmaterial nach einer Implantation mit hoher Dosis in einem Halbleiterbauelement
|
US8435895B2
(en)
|
2007-04-04 |
2013-05-07 |
Novellus Systems, Inc. |
Methods for stripping photoresist and/or cleaning metal regions
|
JP5332052B2
(ja)
|
2007-06-01 |
2013-11-06 |
シャープ株式会社 |
レジスト除去方法、半導体製造方法、及びレジスト除去装置
|
KR101440282B1
(ko)
*
|
2007-07-11 |
2014-09-17 |
주성엔지니어링(주) |
플라즈마 세정 방법
|
US20090061623A1
(en)
*
|
2007-09-05 |
2009-03-05 |
United Microelectronics Corp. |
Method of forming electrical connection structure
|
KR101659095B1
(ko)
|
2008-02-08 |
2016-09-22 |
램 리써치 코포레이션 |
측방향 벨로우 및 비접촉 입자 밀봉을 포함하는 조정가능한 갭이 용량적으로 커플링되는 rf 플라즈마 반응기
|
JP5102653B2
(ja)
*
|
2008-02-29 |
2012-12-19 |
東京エレクトロン株式会社 |
プラズマエッチング方法、プラズマエッチング装置及びコンピュータ記憶媒体
|
US20090277871A1
(en)
*
|
2008-03-05 |
2009-11-12 |
Axcelis Technologies, Inc. |
Plasma mediated ashing processes that include formation of a protective layer before and/or during the plasma mediated ashing process
|
US8791001B2
(en)
|
2008-09-08 |
2014-07-29 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
N2 based plasma treatment and ash for HK metal gate protection
|
US8591661B2
(en)
|
2009-12-11 |
2013-11-26 |
Novellus Systems, Inc. |
Low damage photoresist strip method for low-K dielectrics
|
US8268722B2
(en)
*
|
2009-06-03 |
2012-09-18 |
Novellus Systems, Inc. |
Interfacial capping layers for interconnects
|
WO2011008436A2
(en)
*
|
2009-07-13 |
2011-01-20 |
Applied Materials, Inc. |
Method for removing implanted photo resist from hard disk drive substrates
|
US20110143548A1
(en)
|
2009-12-11 |
2011-06-16 |
David Cheung |
Ultra low silicon loss high dose implant strip
|
CN102652351B
(zh)
|
2009-12-11 |
2016-10-05 |
诺发系统有限公司 |
在高剂量植入剥除前保护硅的增强式钝化工艺
|
US9613825B2
(en)
|
2011-08-26 |
2017-04-04 |
Novellus Systems, Inc. |
Photoresist strip processes for improved device integrity
|