JP2016139792A5 - - Google Patents

Download PDF

Info

Publication number
JP2016139792A5
JP2016139792A5 JP2015255778A JP2015255778A JP2016139792A5 JP 2016139792 A5 JP2016139792 A5 JP 2016139792A5 JP 2015255778 A JP2015255778 A JP 2015255778A JP 2015255778 A JP2015255778 A JP 2015255778A JP 2016139792 A5 JP2016139792 A5 JP 2016139792A5
Authority
JP
Japan
Prior art keywords
tungsten
plasma
containing material
semiconductor substrate
plasma etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2015255778A
Other languages
English (en)
Other versions
JP2016139792A (ja
Filing date
Publication date
Priority claimed from US14/589,424 external-priority patent/US9633867B2/en
Application filed filed Critical
Publication of JP2016139792A publication Critical patent/JP2016139792A/ja
Publication of JP2016139792A5 publication Critical patent/JP2016139792A5/ja
Pending legal-status Critical Current

Links

Description

本明細書に記載の例および実施形態は、単に例示を目的としたものであり、それらに照らして、様々な変形例または変更例が当業者に想到されることが理解される。様々な詳細事項が簡単のために省略されているが、様々な設計の変更が実施されてよい。したがって、上述した例は、例示を目的としたものであって限定的ではなく、本発明は、本明細書で取り上げた項目に限定されず、添付した特許請求の範囲の範囲内で変更することができる。例えば、本発明は、以下の適用例としても実施可能である。
[適用例1]プラズマエッチング装置内で半導体基板上のタングステン含有材料を異方性エッチングする方法であって、
(a)タングステン含有材料を含む半導体基板をプラズマエッチング処理チャンバに提供する工程と、
(b)Cl 2 を含む第1の処理ガスを前記プラズマエッチング処理チャンバに導入し、プラズマを形成して、プラズマ活性化された塩素と前記タングステン含有材料を反応させる工程と、
(c)工程(b)の後に、前記第1の処理ガスを前記プラズマエッチング処理チャンバから除去する工程と、
(d)酸素ラジカル源を含む第2の処理ガスを前記プラズマエッチング処理チャンバに導入し、酸素ラジカルを含むプラズマを形成して、前記タングステン含有材料の表面を不動態化する工程と、
(e)工程(d)の後に、前記第2の処理ガスを前記プラズマエッチング処理チャンバから除去する工程と
を備え、
前記方法は、主に選択された方向に前記タングステン含有材料をエッチングする方法。
[適用例2]適用例1に記載の方法であって、工程(b)でプラズマを形成することは、少なくとも約500Vのバイアスを基板支持体に供給することを含む方法。
[適用例3]適用例1に記載の方法であって、前記第1の処理ガスは、基本的にCl 2 からなる方法。
[適用例4]適用例1に記載の方法であって、前記第1の処理ガスは、Cl 2 と、N 、He、Ar、H 2 、および、それらの組み合わせからなる群より選択された不活性ガスとを含む方法。
[適用例5]適用例1に記載の方法であって、工程(b)は、前記プラズマをパルス化する工程を含む方法。
[適用例6]適用例1に記載の方法であって、工程(b)は、約5〜50%の間のデューティサイクルで前記プラズマをパルス化する工程を含む方法。
[適用例7]適用例1に記載の方法であって、前記第2の処理ガスは、O 2 、O 3 、CO、CO 2 、COS、SO 2 、および、それらの混合物からなる群より選択された酸素ラジカル源を含む方法。
[適用例8]適用例1の方法であって、前記酸素ラジカル源はO 2 である方法。
[適用例9]適用例1に記載の方法であって、工程(b)〜(e)が繰り返される方法。
[適用例10]適用例1に記載の方法であって、工程(b)〜(e)が少なくとも3回繰り返される方法。
[適用例11]適用例1に記載の方法であって、工程(b)および(c)は、工程(d)および(e)の前に実行される方法。
[適用例12]適用例1に記載の方法であって、工程(d)および(e)は、工程(b)および(c)の前に実行される方法。
[適用例13]適用例1に記載の方法であって、前記半導体基板は、さらに、酸化シリコン、窒化シリコン、および、酸窒化シリコンからなる群より選択された誘電材料の露出層を含む方法。
[適用例14]適用例1に記載の方法であって、前記半導体基板は、さらに、酸化シリコン、窒化シリコン、および、酸窒化シリコンからなる群より選択された誘電材料の露出層を含み、前記タングステン含有材料は、少なくとも約2:1のエッチング選択比でエッチングされる方法。
[適用例15]適用例1に記載の方法であって、前記半導体基板は、底部にタングステン含有材料の露出層を含む凹型フィーチャを含み、前記エッチングは、前記凹型フィーチャの幅または直径を実質的に変えることなしに、前記凹型フィーチャの前記底部から前記タングステン含有材料を除去する方法。
[適用例16]適用例1に記載の方法であって、約1000〜7000オングストロームの厚さを有するタングステン含有材料の層をエッチングすることを含む方法。
[適用例17]適用例1に記載の方法であって、前記半導体基板は、底部にタングステン含有材料の露出層を含む凹型フィーチャを備え、前記凹型フィーチャの幅または直径は約150nm未満である方法。
[適用例18]適用例1に記載の方法であって、工程(b)〜(e)の1反復で、約10〜50nmの前記タングステン含有材料が除去される方法。
[適用例19]適用例1に記載の方法であって、さらに、
フォトレジストを前記半導体基板に塗布する工程と、
前記フォトレジストを露光する工程と、
前記フォトレジストのパターンを作成し、前記パターンを前記半導体基板に転写する工程と、
前記フォトレジストを前記半導体基板から選択的に除去する工程と
を備える方法。
[適用例20]半導体基板上のタングステン含有材料を異方性エッチングするためのプラズマエッチング装置であって、
(a)処理ガスの導入のための流入口を有する処理チャンバと、
(b)前記タングステン含有材料のエッチング中に前記半導体基板を所定位置に保持するよう構成された前記処理チャンバ内の基板支持体であって、少なくとも前記エッチングの一部の間にバイアスされるよう構成された基板支持体と、
(c)前記処理チャンバ内にプラズマを形成するよう構成されたシステムと、
(d)コントローラであって、
(i)Cl 2 を含む第1の処理ガスをプラズマエッチングの前記処理チャンバに導入し、プラズマを形成して、プラズマ活性化された塩素と前記タングステン含有材料を反応させるための命令と、
(ii)(i)の後に、前記第1の処理ガスを前記プラズマエッチングの処理チャンバから除去するための命令と、
(iii)酸素ラジカル源を含む第2の処理ガスを前記プラズマエッチングの処理チャンバに導入し、酸素ラジカルを含むプラズマを形成して、前記タングステン含有材料の表面を不動態化するための命令と、
(iv)(iii)の後に、前記第2の処理ガスを前記プラズマエッチングの処理チャンバから除去するための命令とを備えたコントローラと
を備え、
前記方法は、主に選択された方向に前記タングステン含有材料をエッチングするプラズマエッチング装置。

Claims (20)

  1. プラズマエッチング装置内で半導体基板上のタングステン含有材料を異方性エッチングする方法であって、
    (a)タングステン含有材料を含む半導体基板をプラズマエッチング処理チャンバに提供する工程と、
    (b)Cl2を含む第1の処理ガスを前記プラズマエッチング処理チャンバに導入し、プラズマを形成して、プラズマ活性化された塩素と前記タングステン含有材料を反応させる工程と、
    (c)工程(b)の後に、前記第1の処理ガスを前記プラズマエッチング処理チャンバから除去する工程と、
    (d)酸素ラジカル源を含む第2の処理ガスを前記プラズマエッチング処理チャンバに導入し、酸素ラジカルを含むプラズマを形成して、前記タングステン含有材料の表面を不動態化する工程と、
    (e)工程(d)の後に、前記第2の処理ガスを前記プラズマエッチング処理チャンバから除去する工程と
    を備え、
    前記方法は、主に選択された方向に前記タングステン含有材料をエッチングする方法。
  2. 請求項1に記載の方法であって、工程(b)でプラズマを形成することは、少なくとも約500Vのバイアスを基板支持体に供給することを含む方法。
  3. 請求項1に記載の方法であって、前記第1の処理ガスは、基本的にCl2からなる方法。
  4. 請求項1に記載の方法であって、前記第1の処理ガスは、Cl2と、N、He、Ar、H2、および、それらの組み合わせからなる群より選択された不活性ガスとを含む方法。
  5. 請求項1に記載の方法であって、工程(b)は、前記プラズマをパルス化する工程を含む方法。
  6. 請求項1に記載の方法であって、工程(b)は、約5〜50%の間のデューティサイクルで前記プラズマをパルス化する工程を含む方法。
  7. 請求項1に記載の方法であって、前記第2の処理ガスは、O2、O3、CO、CO2、COS、SO2、および、それらの混合物からなる群より選択された酸素ラジカル源を含む方法。
  8. 請求項1の方法であって、前記酸素ラジカル源はO2である方法。
  9. 請求項1に記載の方法であって、工程(b)〜(e)が繰り返される方法。
  10. 請求項1に記載の方法であって、工程(b)〜(e)が少なくとも3回繰り返される方法。
  11. 請求項1に記載の方法であって、工程(b)および(c)は、工程(d)および(e)の前に実行される方法。
  12. 請求項1に記載の方法であって、工程(d)および(e)は、工程(b)および(c)の前に実行される方法。
  13. 請求項1に記載の方法であって、前記半導体基板は、さらに、酸化シリコン、窒化シリコン、および、酸窒化シリコンからなる群より選択された誘電材料の露出層を含む方法。
  14. 請求項1に記載の方法であって、前記半導体基板は、さらに、酸化シリコン、窒化シリコン、および、酸窒化シリコンからなる群より選択された誘電材料の露出層を含み、前記タングステン含有材料は、少なくとも約2:1のエッチング選択比でエッチングされる方法。
  15. 請求項1に記載の方法であって、前記半導体基板は、底部にタングステン含有材料の露出層を含む凹型フィーチャを含み、前記エッチングは、前記凹型フィーチャの幅または直径を実質的に変えることなしに、前記凹型フィーチャの前記底部から前記タングステン含有材料を除去する方法。
  16. 請求項1に記載の方法であって、約1000〜7000オングストロームの厚さを有するタングステン含有材料の層をエッチングすることを含む方法。
  17. 請求項1に記載の方法であって、前記半導体基板は、底部にタングステン含有材料の露出層を含む凹型フィーチャを備え、前記凹型フィーチャの幅または直径は約150nm未満である方法。
  18. 請求項1に記載の方法であって、工程(b)〜(e)の1反復で、約10〜50nmの前記タングステン含有材料が除去される方法。
  19. 請求項1に記載の方法であって、さらに、
    フォトレジストを前記半導体基板に塗布する工程と、
    前記フォトレジストを露光する工程と、
    前記フォトレジストのパターンを作成し、前記パターンを前記半導体基板に転写する工程と、
    前記フォトレジストを前記半導体基板から選択的に除去する工程と
    を備える方法。
  20. 半導体基板上のタングステン含有材料を異方性エッチングするためのプラズマエッチング装置であって、
    (a)処理ガスの導入のための流入口を有する処理チャンバと、
    (b)前記タングステン含有材料のエッチング中に前記半導体基板を所定位置に保持するよう構成された前記処理チャンバ内の基板支持体であって、少なくとも前記エッチングの一部の間にバイアスされるよう構成された基板支持体と、
    (c)前記処理チャンバ内にプラズマを形成するよう構成されたシステムと、
    (d)コントローラであって、
    (i)Cl2を含む第1の処理ガスをプラズマエッチングの前記処理チャンバに導入し、プラズマを形成して、プラズマ活性化された塩素と前記タングステン含有材料を反応させるための命令と、
    (ii)(i)の後に、前記第1の処理ガスを前記プラズマエッチングの処理チャンバから除去するための命令と、
    (iii)酸素ラジカル源を含む第2の処理ガスを前記プラズマエッチングの処理チャンバに導入し、酸素ラジカルを含むプラズマを形成して、前記タングステン含有材料の表面を不動態化するための命令と、
    (iv)(iii)の後に、前記第2の処理ガスを前記プラズマエッチングの処理チャンバから除去するための命令とを備えたコントローラと
    を備え、
    前記プラズマエッチング装置は、主に選択された方向に前記タングステン含有材料をエッチングするプラズマエッチング装置。
JP2015255778A 2015-01-05 2015-12-28 異方性タングステンエッチングのための方法および装置 Pending JP2016139792A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/589,424 2015-01-05
US14/589,424 US9633867B2 (en) 2015-01-05 2015-01-05 Method and apparatus for anisotropic tungsten etching

Publications (2)

Publication Number Publication Date
JP2016139792A JP2016139792A (ja) 2016-08-04
JP2016139792A5 true JP2016139792A5 (ja) 2019-01-24

Family

ID=56286876

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2015255778A Pending JP2016139792A (ja) 2015-01-05 2015-12-28 異方性タングステンエッチングのための方法および装置

Country Status (5)

Country Link
US (2) US9633867B2 (ja)
JP (1) JP2016139792A (ja)
KR (1) KR102627546B1 (ja)
CN (1) CN105762073B (ja)
TW (1) TWI699831B (ja)

Families Citing this family (83)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9633867B2 (en) 2015-01-05 2017-04-25 Lam Research Corporation Method and apparatus for anisotropic tungsten etching
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10229837B2 (en) * 2016-02-04 2019-03-12 Lam Research Corporation Control of directionality in atomic layer etching
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
CN106206290A (zh) 2016-08-24 2016-12-07 京东方科技集团股份有限公司 一种包含铝的膜层图案、其制作方法及其后处理方法
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102600597B1 (ko) * 2016-11-18 2023-11-10 삼성디스플레이 주식회사 주사 구동부 및 그의 구동방법
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
KR20180093798A (ko) * 2017-02-13 2018-08-22 램 리써치 코포레이션 에어 갭들을 생성하는 방법
US10431462B2 (en) * 2017-02-15 2019-10-01 Lam Research Corporation Plasma assisted doping on germanium
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
JP6823527B2 (ja) * 2017-04-14 2021-02-03 東京エレクトロン株式会社 エッチング方法
TWI766014B (zh) * 2017-05-11 2022-06-01 荷蘭商Asm智慧財產控股公司 在溝槽的側壁或平坦表面上選擇性地形成氮化矽膜之方法
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
CN111033699B (zh) * 2017-08-04 2023-10-13 微材料有限责任公司 改良的金属接触定位结构
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10211052B1 (en) * 2017-09-22 2019-02-19 Lam Research Corporation Systems and methods for fabrication of a redistribution layer to avoid etching of the layer
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10256112B1 (en) * 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
WO2020205335A1 (en) * 2019-04-05 2020-10-08 Tokyo Electron Limited Independent control of etching and passivation gas components for highly selective silicon oxide/silicon nitride etching
CN112119485B (zh) * 2019-04-22 2024-01-02 株式会社日立高新技术 等离子处理方法
CN116034456A (zh) * 2020-09-03 2023-04-28 应用材料公司 选择性各向异性金属蚀刻

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4786360A (en) * 1987-03-30 1988-11-22 International Business Machines Corporation Anisotropic etch process for tungsten metallurgy
JPS6432627A (en) 1987-07-29 1989-02-02 Hitachi Ltd Low-temperature dry etching method
JPH03248530A (ja) * 1990-02-27 1991-11-06 Oki Electric Ind Co Ltd ドライエッチング方法
JP3502096B2 (ja) 1992-06-22 2004-03-02 ラム リサーチ コーポレイション プラズマ処理装置内の残留物を除去するためのプラズマクリーニング方法
JPH07130852A (ja) 1993-11-02 1995-05-19 Sony Corp 金属配線材料の形成方法
US6177353B1 (en) * 1998-09-15 2001-01-23 Infineon Technologies North America Corp. Metallization etching techniques for reducing post-etch corrosion of metal lines
US6017826A (en) 1998-10-05 2000-01-25 Chartered Semiconductor Manufacturing, Ltd. Chlorine containing plasma etch method with enhanced sidewall passivation and attenuated microloading effect
JP2001085394A (ja) * 1999-09-10 2001-03-30 Hitachi Ltd 表面処理方法および表面処理装置
US6461974B1 (en) 2000-10-06 2002-10-08 Lam Research Corporation High temperature tungsten etching process
US6746961B2 (en) 2001-06-19 2004-06-08 Lam Research Corporation Plasma etching of dielectric layer with etch profile control
US20030092280A1 (en) 2001-11-09 2003-05-15 Applied Materials, Inc. Method for etching tungsten using NF3 and Cl2
US20030235995A1 (en) 2002-06-21 2003-12-25 Oluseyi Hakeem M. Method of increasing selectivity to mask when etching tungsten or tungsten nitride
US7371688B2 (en) * 2003-09-30 2008-05-13 Air Products And Chemicals, Inc. Removal of transition metal ternary and/or quaternary barrier materials from a substrate
JP4701691B2 (ja) * 2004-11-29 2011-06-15 東京エレクトロン株式会社 エッチング方法
JP4512533B2 (ja) * 2005-07-27 2010-07-28 住友精密工業株式会社 エッチング方法及びエッチング装置
US20070202700A1 (en) * 2006-02-27 2007-08-30 Applied Materials, Inc. Etch methods to form anisotropic features for high aspect ratio applications
CN102969240B (zh) * 2007-11-21 2016-11-09 朗姆研究公司 控制对含钨层的蚀刻微负载的方法
WO2009073361A1 (en) 2007-11-29 2009-06-11 Lam Research Corporation Pulsed bias plasma process to control microloading
US8527766B2 (en) 2009-12-30 2013-09-03 Microsoft Corporation Reducing leakage of information from cryptographic systems
US8574447B2 (en) 2010-03-31 2013-11-05 Lam Research Corporation Inorganic rapid alternating process for silicon etch
CN102372250B (zh) * 2011-11-15 2015-02-18 苏州含光微纳科技有限公司 一种刻蚀金属钨材料的方法
US9230825B2 (en) * 2012-10-29 2016-01-05 Lam Research Corporation Method of tungsten etching
KR102068677B1 (ko) * 2013-04-10 2020-01-22 삼성전자 주식회사 반도체 소자 제조 방법
JP6192097B2 (ja) * 2013-05-31 2017-09-06 国立研究開発法人物質・材料研究機構 フォトカソード型電子線源、その作成方法及びフォトカソード型電子線源システム
US9633867B2 (en) 2015-01-05 2017-04-25 Lam Research Corporation Method and apparatus for anisotropic tungsten etching

Similar Documents

Publication Publication Date Title
JP2016139792A5 (ja)
JP2016127285A5 (ja) 活性化を使用しない、シリコン酸化物のための異方性原子層エッチングの方法及びエッチング装置
KR101691717B1 (ko) 다중 막층을 갖는 스페이서를 형성하기 위한 에칭 방법
JP2020502811A5 (ja)
JP2016139792A (ja) 異方性タングステンエッチングのための方法および装置
JP2016027594A5 (ja)
JP2008505490A5 (ja)
JP2000133638A5 (ja)
US20190131141A1 (en) Method for processing workpiece
JP2010534935A5 (ja)
JP2011192872A5 (ja)
WO2006029374A3 (en) System and method for processing a wafer including stop-on-aluminum processing
JP2015012178A5 (ja)
US10490399B2 (en) Systems and methodologies for vapor phase hydroxyl radical processing of substrates
US9257280B2 (en) Mitigation of asymmetrical profile in self aligned patterning etch
TW202006785A (zh) 氧化物原子層蝕刻方法
US20140206198A1 (en) Deposit removal method
JP2021184505A (ja) 基板処理システム及び基板処理方法
EP2348524B1 (en) Method for recovering pattern on silicon substrate
US10692726B2 (en) Method for processing workpiece
JP2009503882A (ja) 基材の処理方法
US20050161435A1 (en) Method of plasma etching
TWI801459B (zh) 多重圖案化基板之技術
TWI689007B (zh) 蝕刻方法
US20200006081A1 (en) Method of Isotropic Etching of Silicon Oxide Utilizing Fluorocarbon Chemistry