TW202006785A - 氧化物原子層蝕刻方法 - Google Patents

氧化物原子層蝕刻方法 Download PDF

Info

Publication number
TW202006785A
TW202006785A TW108115506A TW108115506A TW202006785A TW 202006785 A TW202006785 A TW 202006785A TW 108115506 A TW108115506 A TW 108115506A TW 108115506 A TW108115506 A TW 108115506A TW 202006785 A TW202006785 A TW 202006785A
Authority
TW
Taiwan
Prior art keywords
etching
substrate
layer
silicon oxide
plasma
Prior art date
Application number
TW108115506A
Other languages
English (en)
Other versions
TWI802693B (zh
Inventor
索南 夏爾巴
艾洛克 蘭傑
Original Assignee
日商東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商東京威力科創股份有限公司 filed Critical 日商東京威力科創股份有限公司
Publication of TW202006785A publication Critical patent/TW202006785A/zh
Application granted granted Critical
Publication of TWI802693B publication Critical patent/TWI802693B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/3174Etching microareas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

在一示例性實施例中,此處所描述的是用於蝕刻氧化物之ALE製程。在一實施例中,該氧化物為氧化矽。ALE改質步驟包含基於四氟化碳(CF4 )之電漿的使用。此改質步驟從氧化矽表面優先移除氧,提供富含矽的表面。ALE移除步驟包含基於氫(H2 )之電漿的使用。此移除步驟將在改質步驟中所形成之富含矽的單層移除。利用CF4 及H2 步驟的氧化矽蝕刻ALE製程可用於廣大範圍的基板製程步驟中。舉例而言,ALE製程可用於但不限於:自對準接觸窗蝕刻步驟、矽鰭顯露(silicon fin reveal)步驟、氧化物芯棒拉除(oxide mandrel pull)步驟、氧化物隔片修整(oxide spacer trim)步驟、以及氧化物內襯蝕刻(oxide liner etch)步驟。

Description

氧化物原子層蝕刻方法
本申請案主張以下申請案的優先權:於2018年5月11日提交之美國臨時專利申請案第62/670,459號,發明名稱為「Method of Atomic Layer Etching of Oxide」;以及於2018年6月14日提交之美國臨時專利申請案第62/684,878號,發明名稱為「Method of Atomic Layer Etching of Oxide」,其全部內容通過引用明確地於此納入。
本揭露與在電漿製程設備中基板的處理相關。更特別是,本揭露提供一種控制包含氧化物之層的電漿蝕刻的方法。
用以處理基板之電漿系統的使用已長久為人所知。舉例而言,半導體晶圓的電漿處理係廣為人知的。一個已知的電漿處理的使用係用於基板的蝕刻。電漿蝕刻存在大量技術上的挑戰。再者,隨著基板上的結構及層的幾何形狀持續縮小,蝕刻選擇性、輪廓、深寬比相依的蝕刻、均勻性等等之間的權衡變得更加難以管理。為了達到所欲之製程效能,可調整電漿處理設備的變數設定以改變電漿性質。這些設定包含但不限於:氣流流率、氣壓、電漿激發的電功率、偏壓電壓等等,全部如本領域所知的。然而,隨著幾何形狀持續縮小,已發現由於電漿處理設備的設定而導致之離子能量、離子通量、自由基通量等等的充足控制並不夠好到達成所欲之蝕刻結果。
一種用以改善電漿蝕刻的技術是利用原子層蝕刻(ALE)電漿製程。一般所知ALE製程涉及透過一或多個自限制反應依序地移除薄層的製程。因此,ALE製程藉由將蝕刻製程分割成表面改質以及受改質表面之移除的順序步驟以提供改善的效能,從而允許自由基通量及離子通量及能量之作用的分離。這樣的製程通常包含層改質以及蝕刻步驟的多個循環系列。該改質步驟可將裸露的表面改質而蝕刻步驟可選擇性地移除受改質的層。因此,可發生一系列的自限制反應。如此處所使用,ALE製程亦可包含準ALE製程。在這樣的製程中,仍可使用一系列的改質及蝕刻步驟循環,然而,由於在移除受改質的層之後,蝕刻實質上減慢但可能未完全停止,因此該移除步驟可能不是單純地自限制。在其他情況中,基於ALE的製程包含改質及蝕刻步驟的循環系列。
期望提供改善的ALE製程。更特別是,期望提供針對氧化物蝕刻的改善的ALE製程。
在一示例性實施例中,此處所描述的是用於蝕刻氧化物之ALE製程。在一實施例中,提供用於蝕刻氧化矽之ALE製程。然而,應可認知,此處所描述之概念可應用於其他氧化物的蝕刻,例如金屬氧化物、二氧化鍺 、氮氧化矽等等。在一實施例中,ALE改質步驟包含基於氟化碳氫化合物(諸如四氟化碳(CF4 ))之電漿的使用,其中該氟化碳氫化合物可以是全氟碳氫化合物且在此處所描述之方法的工作溫度是氣態。此改質步驟從該氧化矽表面優先移除氧,提供受改質表面層,該受改質表面層可以是富含矽的表面且可以是一單層。ALE移除步驟包含基於氫(H2 )之電漿的使用。此移除步驟將在改質步驟中所形成之富含矽的層移除。利用CF4 及H2 步驟的氧化矽蝕刻ALE製程可用於廣大範圍的基板製程步驟中。舉例而言,ALE製程可用於但不限於:自對準接觸窗蝕刻步驟、矽鰭顯露(silicon fin reveal)步驟、氧化物芯棒拉除(oxide mandrel pull)步驟、氧化物隔片修整(oxide spacer trim)步驟、以及氧化物內襯蝕刻(oxide liner etch)步驟。
在一實施例中,提供一種蝕刻基板的方法。該方法可包含提供包括氧化矽的一第一層,該第一層相對於一第二層受到選擇性蝕刻。該方法更包含將該第一層暴露於包括CF4 的一第一電漿,以將該第一層的至少一表面改質以形成一受改質表面層,該受改質表面層相較於該第一層之餘料而言係富含矽的。該方法更包含將該受改質表面層暴露於包括H2 的一第二電漿,該包括H2 的電漿將該受改質表面層的至少一部份移除。該第一電漿及該第二電漿之使用的結合將該第一層之厚度的至少一部份減少。
在另一實施例中,提供一種蝕刻基板的方法。該方法包含提供包括氧化矽的一第一層。該方法更包括執行原子層蝕刻製程以蝕刻該第一層,該原子層蝕刻製程包括下列步驟的多個循環:(1)包括一第一電漿之一表面改質步驟,該第一電漿包括CF4 ,以及(2)在該表面改質步驟之後的一移除步驟,該移除步驟包括一第二電漿,該第二電漿包括H2
在另一實施例中,提供一種將基板上氧化矽層之厚度減少的方法。該方法包含下列步驟的至少一個循環:(a)將氧化矽層暴露於全氟碳氫化合物電漿以將該氧化矽基板的一表面改質;及接著進行,(b)將步驟(a)的產物暴露於元素氫電漿以將在步驟(a)中受改質之表面的至少一部份移除。
在一示例性實施例中,此處所描述的是用於蝕刻氧化物的ALE製程。在一實施例中,提供用於蝕刻氧化矽的ALE製程。然而,應可認知此處所描述之概念可應用於其他氧化物的蝕刻。ALE改質步驟包含諸如基於四氟化碳(CF4 )之電漿的氟化碳氫化合物電漿的使用。然而,藉由本揭露應可認知到其他氟碳化合物氣體可單獨使用或與CF4 結合使用以達成改質步驟。舉例而言,其他氟碳化合物可包含但不限於:六氟丁二烯(C4 F6 )以及八氟環丁烷(C4 F8 )。此改質步驟從氧化矽表面優先移除氧,以在基板表面上提供富含矽的層。ALE移除步驟包含基於氫(H2 )之電漿的使用。此移除步驟將在改質步驟中形成之富含矽的層移除。富含矽的層可以是單層。利用CF4 及H2 步驟的氧化矽蝕刻ALE製程可用於廣大範圍的基板製程步驟。舉例而言,ALE製程可用於但不限於:自對準接觸窗蝕刻步驟、矽鰭顯露步驟、氧化物芯棒拉除步驟、氧化物隔片修整步驟、以及氧化物內襯蝕刻步驟。
更特別是,圖1說明根據此處所揭露之技術蝕刻氧化物的示例性ALE製程。在圖1中,說明製程100,初始運送110基板進入電漿處理區域。接著,在步驟1(區塊120)中點燃四氟化碳電漿。接著使該基板經受步驟2(區塊130),其中點燃氫電漿並將該基板暴露於氫電漿。值得注意的是氬或其他惰性氣體可用作為四氟化碳以及氫的共同進料(co-feed)。若是需要額外蝕刻,則將該基板經由用於步驟1及2之額外循環的路線125退回步驟1(區塊120)。若是完成蝕刻,將該基板從電漿處理區域移除,如移除區塊140中所示。
更特別是,如圖1中所示,ALE製程開始,以CF4 /氬電漿(步驟1,區塊120)作為層改質步驟運作。接著如步驟2(區塊130)中所示地執行H2 /氬電漿以移除在層改質步驟中所產生之受改質層。接著可將改質及移除步驟重複足夠的循環次數故得以完成所欲量之氧化物的移除。在一實施例中,該氧化物是氧化矽。
圖2A-2C說明在圖1之ALE製程的每個步驟中發生的示例性機制。應可認知的是所揭露之機制僅為示例性的,且可能發生其他機制。圖2A-2C為說明性的,且不意欲顯示精確的基板改質。如圖2A中所示,基板210包含矽原子211以及氧原子212。如圖2A中所示,提供上氧層213。在暴露於四氟化碳電漿之後,基板210受改質以在基板220上形成富含矽的層225,如圖2B中所示,基板220包含氧耗乏區213A。值得注意的是,已至少部分地還原圖2A的上氧層213而導致富含矽的層225。基板220之富含矽的層225接著經受氫電漿,導致如圖2C中所示的最終基板230。如圖2C中所示,富含矽的層225的去除相對於氧化矽是有選擇性的。
因此,如圖2A-2C中所示,當在改質步驟120中將氧從氧化矽表面移除時,在氧化矽表面的區域變得富含矽。接著在移除步驟(步驟2)中,圖2C中所示暴露於氫電漿使得矽由於氫電漿的蝕刻作用而被移除,該氫電漿在一示例性實施例中可以是H2 /氬電漿。此製程可在多個循環中重複以逐步移除氧,接著向下通過氧化矽層將富含矽的層移除直到達成較佳的氧化矽移除量。
使用兩步驟ALE製程之影響與僅僅使用一個或另一步驟之影響的比較示於圖3中。如圖3的圖表所示,將僅由步驟1及步驟2單獨所蝕刻之氧化物的量(如步驟1──長條310、以及步驟2──長條330所描繪)與如上所述結合步驟1及步驟2兩者的使用(如步驟1及2結合──長條320所描繪)相比。Y軸表示受蝕刻之氧化物(埃)。
兩步驟ALE製程的自限制影響示於圖4中。圖4說明在執行第一步驟(四氟化碳電漿)之後由第二步驟(氫電漿)所移除之氧化物的量。如圖4之圖表的折線410中所示,對於增加第二步驟的蝕刻時間,從表面移除的氧化矽的量相對地隨時間飽和。在此圖表中,Y軸表示氧化物EA(埃),而X軸顯示為以秒為單位的氫電漿時間。
圖5將受蝕刻的總氧化物以及每循環蝕刻的氧化物的量以ALE步驟循環數的函數加以說明。圖5中的圖表在左Y軸描繪受蝕刻的氧化物(埃)以及在右Y軸描繪每循環的蝕刻(每循環的埃)。X軸顯示步驟1及2的循環數。受蝕刻的氧化物以折線520表示而每循環的蝕刻以折線510表示。
圖6將第二步驟(H2 /氬電漿)每120秒如此處所述之ALE製程的氧化矽、氮化矽及多晶矽的示例性量以第二步驟之壓力的函數加以說明。如圖6之圖表中可見,兩步驟ALE製程可對氧化矽或氮化矽或多晶矽蝕刻提供高選擇性製程。應可認知,圖2-6中的蝕刻量、蝕刻速率、材料等等僅為示例性的,且此處所述之概念可與具有其他特性及性質的其他ALE製程一同使用。在圖6的圖表中,折線610表示受蝕刻之氧化物及氮化矽的量(由於各材料的圖表折線基本上重疊)而折線620表示受蝕刻之多晶矽。
此處所描述之兩步驟ALE製程可用於不同基板製程流程各種點之各種各樣的應用。舉例而言,ALE製程可在自對準接觸窗蝕刻步驟、矽鰭顯露步驟、氧化物芯棒拉除步驟、氧化物隔片修整步驟、以及氧化物內襯蝕刻步驟中使用。圖7A-11B提供在各種基板製程流程中此處所述之ALE製程的示例性使用。應可認知,此處所述之ALE製程可用於許多其他基板製程應用。舉例而言,可能期望氧化矽的選擇性移除的各種製程步驟,可合適地使用此處所述之技術。在一實施例中,該技術可用於半導體基板製程,且更特別是,半導體晶圓製程。
圖7A-7C說明本揭露之ALE製程技術在自對準接觸窗應用上的應用。如圖7A中所示,複數層在基板705上形成。基板705可能是需要使用圖案化特徵部的任何基板。舉例而言,在一實施例中,基板705可能係具有一或多個半導體處理層形成於其上的半導體基板。在一實施例中,基板705可能是已經受產生各種各樣結構與層的多個半導體處理步驟的基板,該等結構與層全在基板處理領域中已知且可視為基板705的一部分。在圖7A的示例性實施例中,可在非晶矽層715下提供氧化物層710。氮化矽硬遮罩720可如所示地與氮化矽隔片725一同提供。氧化物層730可如所示地在由非晶矽層715所形成之結構之間或上方形成。有機介電層735可加以提供,其上設置矽抗反射塗層740。亦提供圖案化光阻層745。
如圖7A中所示,氧化物層730形成在最終期望形成接觸窗的區域中或其上。圖7B說明將各種層移除(可經由習知製程步驟執行)到氧化物層730被部分蝕刻的點。在一示例中,習知氧化物的氟碳化物蝕刻可用以將氧化物層730部分蝕刻到達成圖7B中所示的結構,其具有氧化物層730的殘留部分730A。接著可移除殘留部分730A,正如圖7C中所示。如圖7C中所示,殘留部分730A已藉由使用如此處所述之高選擇性的兩步驟ALE製程所移除,舉例而言,諸如四氟化碳的氟碳化物電漿蝕刻化學品接著是諸如氫電漿步驟的第二步驟。因此,提供製程以對下方氮化矽隔片層而言高選擇性的方式以達成將在接觸窗區域之氧化物餘料的加以移除。因此,可得到具有接觸窗區域750之蝕刻後結構。
圖8A-8B說明此處所揭露之ALE製程技術在矽鰭顯露的應用上的應用。如圖8A中所示,基板上的鰭807係由氮化矽層805所保護。如圖8A所示在圍繞鰭807的區域提供氧化矽803。在此應用中可經由此處所揭露之ALE製程的使用將氧化矽803移除(在此例中是部分移除)。以此方式,可對氮化係層805而言選擇性移除氧化矽803以達成諸如圖8B中所示的結構。
圖9A-9B說明此處所揭露之ALE製程技術在氧化物芯棒拉除應用上的應用。如圖中所示,氧化矽芯棒910可由矽或氮化矽層912所圍繞,諸如例如在氧化矽芯棒910側邊形成的隔片。此處所述之ALE製程可用以從基板移除(拉除)氧化矽芯棒910,留下在圖9B中蝕刻後殘存的空間931。
圖10A-10B說明此處所揭露之ALE製程技術在氧化矽隔片修整應用上的應用。如圖10A中所示,氧化矽隔片1010A可在結構1012(例如矽或氮化矽結構)周圍形成。氧化矽隔片1010A亦可在蝕刻停止層1015上提供。此處所述之ALE製程可用以提供隔片修整步驟以用受控制的方式將氧化矽隔片1010A的一部分修整,故得以將氧化矽隔片1010A的寬度窄化以產生如圖10B中所示的較窄的氧化矽隔片1010B。
圖11說明此處所揭露之ALE製程技術在氧化矽內襯蝕刻應用上的應用。如圖中所示,氧化矽內襯1110可如圖11A中所示地襯於結構1112(例如矽或氮化矽結構)側面。接著可經由氧化物內襯蝕刻以對結構1112選擇性的方式移除氧化矽內襯1110m,以藉由使用此處所述之ALE製程作為氧化物內襯蝕刻來產生如圖11B中所示的結構。
應可認知,上述之製程流程僅為示例性的,且許多其他製程及應用可有利地利用此處所揭露之技術。圖12-14說明此處所述處理技術之使用的示例性方法。應可認知的是,圖12-14的實施例僅為示例性的且額外方法可利用此處所描述之技術。再者,由於所述之步驟並不旨在排他的,因此可添加額外處理步驟至圖12-14中所示之方法中。此外,由於可發生其他順序以及/或者各種步驟可結合或同時執行,因此該等步驟的順序並不限於圖中所示的順序。
在圖12中,顯示一種蝕刻基板的方法。該方法包含步驟1205,提供包括氧化矽的一第一層,該第一層相對於一第二層受到選擇性蝕刻。該方法更包含步驟1210,將該第一層暴露於包括CF4 的一第一電漿,以將該第一層的至少一表面改質以形成一受改質表面層,該受改質表面層相較於該第一層之餘料而言係富含矽的。該方法更包含步驟1215,將該受改質表面層暴露於包括H2 的一第二電漿,該包括H2 的電漿將該受改質表面層的至少一部份移除。在此方法中,該第一電漿及該第二電漿之使用的結合將該第一層之厚度的至少一部份減少。
在圖13中,顯示一種蝕刻基板的方法。該方法包含步驟1305,提供包括氧化矽的一第一層。該方法更包含步驟1310,執行原子層蝕刻製程以蝕刻該第一層。在此方法中,該原子層蝕刻製程可包含下列步驟的多個循環:(1)包括一第一電漿之一表面改質步驟,該第一電漿包括CF4 以及(2)在該表面改質步驟之後的一移除步驟,該移除步驟包括一第二電漿,該第二電漿包括H2
在圖14中,顯示一種將基板上氧化矽層之厚度減少的方法。該方法包含步驟1405,執行下列步驟的至少一個循環:(a)將氧化矽層暴露於全氟碳氫化合物電漿以將該氧化矽基板的一表面改質。步驟1405後接著是步驟1410:(b)將步驟(a)的產物暴露於元素氫電漿以將在步驟(a)中受改質之表面的至少一部份移除。
本發明的進一步修改及替代實施例將在參見本說明書後對精於本項技術者而言顯而易見。因此,本說明書應僅解釋為說明性的,且為了教示精於本項技術者執行本發明之方式的目的。應了解的是,本發明所顯示及描述之形式及方法視為當前較佳的實施例。等效技術可替代此處所說明及描述的那些,且本發明之特定特徵可獨立於其他特徵的使用而加以應用,這些所有都對精於本項技術者而言在受益於本發明之描述後是顯而易見的。
210‧‧‧基板 211‧‧‧矽原子 212‧‧‧氧原子 213‧‧‧上氧層 213A‧‧‧氧耗乏區 220‧‧‧基板 225‧‧‧富含矽的層 230‧‧‧最終基板 310‧‧‧長條 320‧‧‧長條 330‧‧‧長條 410‧‧‧長條 510‧‧‧長條 520‧‧‧長條 610‧‧‧長條 620‧‧‧長條 705‧‧‧基板 710‧‧‧氧化物層 715‧‧‧非晶矽層 720‧‧‧氮化矽硬遮罩 725‧‧‧氮化矽隔片 730‧‧‧氧化物層 730A‧‧‧殘留部分 735‧‧‧有機介電層 740‧‧‧矽抗反射塗層 745‧‧‧圖案化光阻層 750‧‧‧接觸窗區域 803‧‧‧氧化矽 805‧‧‧氮化矽層 807‧‧‧鰭 910‧‧‧氧化矽芯棒 912‧‧‧矽或氮化矽層 931‧‧‧空間 1010A‧‧‧氧化矽隔片 1010B‧‧‧氧化矽隔片 1012‧‧‧結構 1015‧‧‧蝕刻停止層 1110‧‧‧氧化矽內襯 1112‧‧‧結構
對本發明及其優點的更完整了解可藉由參考與隨附圖式結合的後續描述而得,其中相同參考號碼指示相同特徵部。然而,值得注意的是,隨附圖式僅說明所揭露之概念的示例性實施例且因此不應視為範疇的限制,針對所揭露之概念可允許其他等效實施例。
圖1說明一種使用此處所描述之蝕刻方法的示例性製程流程。
圖2A-2C說明可能在此處所述之一個實施例的方法之步驟中發生的表面機制。
圖3說明對照單獨由四氟化碳電漿、單獨由氫電漿、以及由四氟化碳電漿接著氫電漿所蝕刻之氧化矽的量的一示例性圖表。
圖4說明由在四氟化碳電漿之後的氫電漿所移除之氧化矽的圖表。
圖5說明將所蝕刻的總氧化矽以及每循環受蝕刻的氧化矽的量以此處所描述之第一及第二電漿循環數的函數相比較。
圖6說明顯示使用此處所描述之方法的氧化矽、氮化矽、以及多晶矽的示例性量的圖表。
圖7A-7C說明此處所述之方法在自對準接觸窗應用上的表徵應用。
圖8A-8B說明此處所述之方法在鰭顯露應用上的表徵應用。
圖9A-9B說明此處所述之方法在氧化物芯棒拉除應用上的表徵應用。
圖10A-10B說明此處所述之方法在氧化矽隔片修整應用上的表徵應用。
圖11A-11B說明此處所述之方法在氧化矽內襯蝕刻應用上的表徵應用。
圖12-14說明此處所述之方法的表徵流程圖。

Claims (20)

  1. 一種蝕刻基板的方法,包含: 提供包括氧化矽的一第一層,該第一層相對於一第二層受到選擇性蝕刻; 將該第一層暴露於包括四氟化碳(CF4 )的一第一電漿,以將該第一層的至少一表面改質以形成一受改質表面層,該受改質表面層相較於該第一層之餘料而言係富含矽的;以及 將該受改質表面層暴露於包括氫(H2 )的一第二電漿,該包括H2 的電漿將該受改質表面層的至少一部份移除, 其中,該第一電漿及該第二電漿之使用的結合將該第一層之厚度的至少一部份減少。
  2. 如申請專利範圍第1項之蝕刻基板的方法,其中執行將該第一層暴露於包括CF4 之該第一電漿的步驟以及將該受改質表面層暴露於包括H2 之該第二電漿的步驟的多個循環。
  3. 如申請專利範圍第1項之蝕刻基板的方法,其中該蝕刻基板的方法係自對準接觸窗應用的一部份。
  4. 如申請專利範圍第1項之蝕刻基板的方法,其中該蝕刻基板的方法係鰭顯露應用的一部份。
  5. 如申請專利範圍第1項之蝕刻基板的方法,其中該蝕刻基板的方法係氧化物芯棒拉除應用的一部份。
  6. 如申請專利範圍第1項之蝕刻基板的方法,其中該蝕刻基板的方法係氧化矽隔片修整應用的一部份。
  7. 如申請專利範圍第1項之蝕刻基板的方法,其中該蝕刻基板的方法係氧化矽內襯蝕刻應用的一部份。
  8. 一種蝕刻基板的方法,包含: 提供包括氧化矽的一第一層;以及 執行原子層蝕刻製程以蝕刻該第一層,該原子層蝕刻製程包括下列步驟的多個循環:(1)包括一第一電漿之一表面改質步驟,該第一電漿包括四氟化碳(CF4 ),以及(2)在該表面改質步驟之後的一移除步驟,該移除步驟包括一第二電漿,該第二電漿包括氫(H2 )。
  9. 如申請專利範圍第8項之蝕刻基板的方法,其中該蝕刻基板的方法係自對準接觸窗應用的一部份。
  10. 如申請專利範圍第8項之蝕刻基板的方法,其中該蝕刻基板的方法係鰭顯露應用的一部份。
  11. 如申請專利範圍第8項之蝕刻基板的方法,其中該蝕刻基板的方法係氧化物芯棒拉除應用的一部份。
  12. 如申請專利範圍第8項之蝕刻基板的方法,其中該蝕刻基板的方法係氧化矽隔片修整應用的一部份。
  13. 如申請專利範圍第8項之蝕刻基板的方法,其中該蝕刻基板的方法係氧化矽內襯蝕刻應用的一部份。
  14. 一種將基板上氧化矽層之厚度減少的方法,包含:執行下列步驟的至少一個循環:(a)將氧化矽層暴露於全氟碳氫化合物電漿以將該氧化矽基板的一表面改質;及(b)在(a)之後,將步驟(a)的產物暴露於元素氫(H2 )電漿以將在步驟(a)中受改質之表面的至少一部份移除。
  15. 如申請專利範圍第14項之將基板上氧化矽層之厚度減少的方法,其中該方法係自對準接觸窗應用的一部份。
  16. 如申請專利範圍第14項之將基板上氧化矽層之厚度減少的方法,其中該方法係鰭顯露應用的一部份。
  17. 如申請專利範圍第14項之將基板上氧化矽層之厚度減少的方法,其中該方法係氧化物芯棒拉除應用的一部份。
  18. 如申請專利範圍第14項之將基板上氧化矽層之厚度減少的方法,其中該方法係氧化矽隔片修整應用的一部份。
  19. 如申請專利範圍第14項之將基板上氧化矽層之厚度減少的方法,其中該方法係氧化矽內襯蝕刻應用的一部份。
  20. 如申請專利範圍第14項之將基板上氧化矽層之厚度減少的方法,其中該全氟碳氫化合物係四氟化碳(CF4 )。
TW108115506A 2018-05-11 2019-05-06 氧化物原子層蝕刻方法 TWI802693B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862670459P 2018-05-11 2018-05-11
US62/670,459 2018-05-11
US201862684878P 2018-06-14 2018-06-14
US62/684,878 2018-06-14

Publications (2)

Publication Number Publication Date
TW202006785A true TW202006785A (zh) 2020-02-01
TWI802693B TWI802693B (zh) 2023-05-21

Family

ID=68464108

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108115506A TWI802693B (zh) 2018-05-11 2019-05-06 氧化物原子層蝕刻方法

Country Status (5)

Country Link
US (2) US10770305B2 (zh)
JP (1) JP7175237B2 (zh)
KR (1) KR20190129756A (zh)
CN (1) CN110473770B (zh)
TW (1) TWI802693B (zh)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10770305B2 (en) * 2018-05-11 2020-09-08 Tokyo Electron Limited Method of atomic layer etching of oxide
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US20210210355A1 (en) * 2020-01-08 2021-07-08 Tokyo Electron Limited Methods of Plasma Processing Using a Pulsed Electron Beam
CN113140458B (zh) * 2020-01-17 2024-03-01 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
CN113611736B (zh) * 2020-05-29 2022-11-22 联芯集成电路制造(厦门)有限公司 半导体元件及其制作方法
JP2022115720A (ja) * 2021-01-28 2022-08-09 東京エレクトロン株式会社 基板処理方法及び基板処理装置
CN113921359B (zh) * 2021-08-16 2024-04-26 江汉大学 一种吸收波材料的表面改性方法
US11682558B2 (en) 2021-09-22 2023-06-20 International Business Machines Corporation Fabrication of back-end-of-line interconnects

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6016420A (ja) * 1983-07-08 1985-01-28 Mitsubishi Electric Corp 選択的エピタキシヤル成長方法
US7416989B1 (en) * 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
US20100018944A1 (en) * 2008-07-25 2010-01-28 United Microelectronics Corp. Patterning method
US7972968B2 (en) * 2008-08-18 2011-07-05 Applied Materials, Inc. High density plasma gapfill deposition-etch-deposition process etchant
KR101871748B1 (ko) * 2011-12-06 2018-06-28 삼성전자주식회사 반도체 소자의 패턴 형성 방법
US9111877B2 (en) * 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
JP6086862B2 (ja) * 2013-08-30 2017-03-01 東京エレクトロン株式会社 酸化シリコンから構成された領域を選択的に除去する方法及びプラズマ処理装置
US9159561B2 (en) * 2013-12-26 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method for overcoming broken line and photoresist scum issues in tri-layer photoresist patterning
US9171935B2 (en) * 2014-03-07 2015-10-27 Globalfoundries Inc. FinFET formation with late fin reveal
US9190290B2 (en) * 2014-03-31 2015-11-17 Applied Materials, Inc. Halogen-free gas-phase silicon etch
JP6230954B2 (ja) * 2014-05-09 2017-11-15 東京エレクトロン株式会社 エッチング方法
US9318343B2 (en) * 2014-06-11 2016-04-19 Tokyo Electron Limited Method to improve etch selectivity during silicon nitride spacer etch
EP2988322B1 (en) * 2014-08-18 2020-06-24 IMEC vzw Method for selective oxide removal
US9536748B2 (en) * 2014-10-21 2017-01-03 Lam Research Corporation Use of ion beam etching to generate gate-all-around structure
US9396961B2 (en) * 2014-12-22 2016-07-19 Lam Research Corporation Integrated etch/clean for dielectric etch applications
US9425041B2 (en) * 2015-01-06 2016-08-23 Lam Research Corporation Isotropic atomic layer etch for silicon oxides using no activation
JP6550278B2 (ja) * 2015-06-24 2019-07-24 東京エレクトロン株式会社 エッチング方法
TWI727992B (zh) * 2015-11-11 2021-05-21 美商諾發系統有限公司 具有高產能之超高選擇性多晶矽蝕刻
JP6385915B2 (ja) * 2015-12-22 2018-09-05 東京エレクトロン株式会社 エッチング方法
US9735024B2 (en) * 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US10727073B2 (en) * 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US10256108B2 (en) * 2016-03-01 2019-04-09 Lam Research Corporation Atomic layer etching of AL2O3 using a combination of plasma and vapor treatments
KR102362462B1 (ko) * 2016-03-29 2022-02-14 도쿄엘렉트론가부시키가이샤 피처리체를 처리하는 방법
US20170345673A1 (en) * 2016-05-29 2017-11-30 Tokyo Electron Limited Method of selective silicon oxide etching
US9824893B1 (en) * 2016-06-28 2017-11-21 Lam Research Corporation Tin oxide thin film spacers in semiconductor device manufacturing
US10319613B2 (en) * 2016-12-13 2019-06-11 Tokyo Electron Limited Method of selectively etching first region made of silicon nitride against second region made of silicon oxide
US9779956B1 (en) * 2017-02-06 2017-10-03 Lam Research Corporation Hydrogen activated atomic layer etching
US10770305B2 (en) * 2018-05-11 2020-09-08 Tokyo Electron Limited Method of atomic layer etching of oxide

Also Published As

Publication number Publication date
US10770305B2 (en) 2020-09-08
KR20190129756A (ko) 2019-11-20
JP7175237B2 (ja) 2022-11-18
US20200273713A1 (en) 2020-08-27
CN110473770B (zh) 2024-04-02
CN110473770A (zh) 2019-11-19
US11658037B2 (en) 2023-05-23
US20190348296A1 (en) 2019-11-14
TWI802693B (zh) 2023-05-21
JP2019204950A (ja) 2019-11-28

Similar Documents

Publication Publication Date Title
TWI802693B (zh) 氧化物原子層蝕刻方法
TWI743249B (zh) 用於高深寬比結構之移除方法
KR102204711B1 (ko) 산화물 및 질화물 재료들을 선택적으로 에칭하는 기술들 및 이를 사용하여 형성된 제품들
US10954129B2 (en) Diamond-like carbon as mandrel
TWI625785B (zh) 具有可調節選擇性之等向性矽與矽化鍺蝕刻
KR102550244B1 (ko) 유전체 재료의 식각을 위한 사전 세척
US20230260782A1 (en) Selective deposition of sioc thin films
KR20200102952A (ko) 플라즈마 에칭 프로세스
JP2022116000A (ja) 空隙を形成するためのシステム及び方法
JP2022533388A (ja) in-situ原子層堆積プロセス
WO2021187163A1 (ja) 基板処理方法及び基板処理装置
US10937662B2 (en) Method of isotropic etching of silicon oxide utilizing fluorocarbon chemistry
KR20190127585A (ko) 라인 폭 거칠기 및 라인 에지 거칠기를 최소화하도록 설계된 임계 치수 트리밍 방법
US10937659B2 (en) Method of anisotropically etching adjacent lines with multi-color selectivity
US11232954B2 (en) Sidewall protection layer formation for substrate processing
JP2010098101A (ja) 半導体装置の製造方法
US10699911B2 (en) Method of conformal etching selective to other materials
US20240055268A1 (en) Substrate processing with selective etching
CN115315800A (zh) 半导体装置制造方法及等离子处理方法