JP2019204950A - 酸化物の原子層エッチングの方法 - Google Patents

酸化物の原子層エッチングの方法 Download PDF

Info

Publication number
JP2019204950A
JP2019204950A JP2019090033A JP2019090033A JP2019204950A JP 2019204950 A JP2019204950 A JP 2019204950A JP 2019090033 A JP2019090033 A JP 2019090033A JP 2019090033 A JP2019090033 A JP 2019090033A JP 2019204950 A JP2019204950 A JP 2019204950A
Authority
JP
Japan
Prior art keywords
etching
layer
substrate
plasma
silicon oxide
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2019090033A
Other languages
English (en)
Other versions
JP7175237B2 (ja
Inventor
シェルパ ソナム
Sherpa Sonam
シェルパ ソナム
ランジャン アロック
Ranjan Alok
ランジャン アロック
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2019204950A publication Critical patent/JP2019204950A/ja
Application granted granted Critical
Publication of JP7175237B2 publication Critical patent/JP7175237B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/3174Etching microareas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

【課題】改善した原子層エッチング(ALE)プロセスを提供する。【解決手段】シリコン酸化物をエッチングするためのALEプロセスであって、四フッ化炭素(CF4)ベースのプラズマを使用し、シリコン酸化物の表面から酸素を優先的に除去し、シリコンに富んだ表面を提供する水素(H2)ベースのプラズマを使用することを含むALE修正ステップとALE修正ステップにおいて形成されたシリコンに富んだ単層を除去するALE除去ステップと、を含む。【効果】CF4およびH2のステップを利用するシリコン酸化物エッチングALEプロセスは、広範囲の基板プロセスステップにおいて利用することができ、例えば、自己整合コンタクトエッチングステップ、シリコンフィン露呈ステップ、酸化物マンドレルプルステップ、酸化物スペーサトリムおよび酸化物ライナーエッチングのために利用できる。【選択図】図12

Description

本出願は、2018年5月11日に出願された「Method of Atomic Layer Etching of Oxide」と題する米国仮特許出願第62/670,459号および2018年6月14日に出願された「Method of Atomic Layer Etching of Oxide」と題する米国仮特許出願第62/684,878号の優先権を主張し、それらの開示はそのまま参照により本明細書に援用する。
本開示は、プラズマ処理機器における基板の処理に関する。特に、酸化物を含む層のプラズマエッチングを制御する方法を提供する。
基板の処理のためにプラズマシステムを使用することは古くから知られている。例えば、半導体ウェハのプラズマ処理は周知である。プラズマ処理の一つの周知の使用は、基板のエッチングのためのものである。プラズマエッチングは数多くの技術的課題を提示する。さらに、基板上の構造および層の幾何学的形状が縮小し続けるにつれて、エッチング選択性、プロファイル、アスペクト比依存性エッチング、均一性等の間のトレードオフを管理することがより困難になる。所望のプロセス性能を達成するために、プラズマ処理機器の可変設定を調整して、プラズマ特性を変化させることができる。これらの設定には、ガス流量、ガス圧力、プラズマ励起のための電力、バイアス電圧等が含まれるが、これらに限定されるものではなく、従来技術において既知のものすべてが含まれる。しかし、幾何学的形状が収縮し続けるにつれて、プラズマ処理機器の設定から生じるイオンエネルギー、イオン束、ラジカル束等を十分に制御しても所望のエッチング結果を達成するためには満足のいくものでないことが分かった。
プラズマエッチングを改善する一つの技術は、原子層エッチング(ALE)プラズマプロセスを利用することであった。ALEプロセスは、1つ以上の自己制限的反応を通して薄層を連続的に除去するプロセスを伴うことが一般的に知られている。従って、ALEプロセスは、エッチングプロセスを、表面の修正および修正された表面の除去の連続ステップに分離することにより、改良された性能を提供し、それにより、ラジカル束およびイオン束およびエネルギーの役割の分離を可能にする。このようなプロセスは、しばしば、層の修正およびエッチングステップの複数のサイクルシリーズを含む。修正ステップは、露出した表面を修正することができ、エッチングステップは、修正した層を選択的に除去することができる。したがって、一連の自己制限的反応が起こる可能性がある。また、本明細書で使用するように、ALEプロセスは、準ALEプロセスを含むことができる。このようなプロセスでは、一連の修正およびエッチングステップサイクルが依然として使用され得るが、除去ステップは、修正した層の除去の後に、エッチングはかなり減速するものの、完全に停止しないことがあるため、純粋には自己制限的ではないことがある。いずれの場合も、ALEベースのプロセスは、修正およびエッチングステップのサイクルシリーズを含む。
改善したALEプロセスを提供することが望ましい。より具体的には、酸化物のエッチングのための改善したALEプロセスを提供することが望ましい。
1つの例示的な実施形態において、本明細書で説明するのは、酸化物をエッチングするためのALEプロセスである。一実施形態では、シリコン酸化物をエッチングするためのALEプロセスが提供される。しかし、本明細書で説明する概念は、他の酸化物、例えば、金属酸化物、二酸化ゲルマニウム、シリコン酸窒化物等のエッチングに適用可能であることが認識されるだろう。一実施形態では、ALE修正ステップは、四フッ化炭素(CF)ベースのプラズマのようなフルオロ炭化水素の使用を含み、ここで、フルオロ炭化水素は、パーフルオロ炭化水素とすることができ、本明細書で説明する方法の作用温度では気体である。この修正ステップは、シリコン酸化物の表面から酸素を優先的に除去し、修正表面層を提供するが、この修正表面層は、シリコンに富んだ表面とすることができ、単分子層とすることができる。ALE除去ステップは、水素(H)ベースのプラズマの使用を含む。この除去ステップは、修正ステップで形成されたシリコンに富んだ層を除去する。CFおよびHのステップを利用するシリコン酸化物エッチングALEプロセスは、多種多様な基板プロセスステップで利用することができる。例えば、ALEプロセスは、自己整合コンタクトエッチングステップ、シリコンフィン露呈ステップ、酸化物マンドレルプルステップ、酸化物スペーサトリム、および酸化物ライナーエッチングのために利用され得るが、これらに限定されない。
一実施形態では、基板をエッチングするための方法が提供される。本方法は、シリコン酸化物を含む第1の層を提供するステップであって、第1の層は第2の層に対して選択的にエッチングされる、ステップを含むことができる。本方法は、CFを含む第1のプラズマに第1の層を暴露して、第1の層の少なくとも表面を修正して、修正表面層を形成するステップであって、修正表面層は、第1の層の残りの部分と比較してシリコンに富んでいる、ステップをさらに含む。本方法は、Hを含む第2のプラズマに修正表面層を暴露するステップであって、Hを含むプラズマは、修正表面層の少なくとも一部を除去する、ステップをさらに含む。第1のプラズマと第2のプラズマとの使用を組み合わせることで、第1の層の厚さの少なくとも一部を低減する。
別の実施形態では、基板をエッチングするための方法が提供される。本方法は、シリコン酸化物を含む第1の層を提供するステップを含む。本方法は、第1の層をエッチングするための原子層エッチングプロセスを実行するステップであって、原子層エッチングプロセスは、(1)第1のプラズマを含む表面修正ステップであって、第1のプラズマはCFを含む、ステップと、(2)表面修正ステップに続く除去ステップであって、除去ステップは第2のプラズマを含み、第2のプラズマはHを含む、ステップとの複数のサイクルを含む、ステップをさらに含む。
別の実施形態では、基板上のシリコン酸化物層の厚さを低減する方法が提供される。本方法は、(a)パーフルオロ炭化水素プラズマにシリコン酸化物層を暴露してシリコン酸化物層の表面を修正するステップと、それ続けて(b)元素水素プラズマにステップ(a)の生成物を暴露して、ステップ(a)で修正した表面の少なくとも一部を除去するステップとの少なくとも1つのサイクルを含む。
本発明およびその利点のより完全な理解は、添付の図面と関連して取り上げた以下の説明を参照することによって得ることができ、その場合、同様の参照番号は同様の特徴を示す。しかし、添付の図面は、開示した概念の例示的な実施形態のみを示しており、開示された概念は、他の等しく有効な実施形態を認めることができるため、範囲を制限するものとはみなされないことに留意されたい。
本明細書で説明するエッチング方法を利用する1つの例示的なプロセスフローを示す。 本明細書で説明する一実施形態の方法のステップにおいて起こり得る表面メカニズムを示す。 本明細書で説明する一実施形態の方法のステップにおいて起こり得る表面メカニズムを示す。 本明細書で説明する一実施形態の方法のステップにおいて起こり得る表面メカニズムを示す。 四フッ化炭素プラズマのみ、水素プラズマのみ、および四フッ化炭素プラズマとそれに続く水素プラズマによってエッチングされたシリコン酸化物の量を対比する1つの例示的な表を示す。 四フッ化炭素プラズマの後に水素プラズマによって除去されたシリコン酸化物のグラフを示す。 本明細書で説明する第1および第2のプラズマのサイクル数の関数として、エッチングされた総シリコン酸化物およびサイクル当たりのエッチングされたシリコン酸化物の量を比較するグラフを示す。 本明細書で説明する方法を使用した、例示的なシリコン酸化物、シリコン窒化物、およびポリシリコンの量を示すグラフを示す。 自己整合コンタクト用途における本明細書で説明する方法の代表的な用途を示す。 自己整合コンタクト用途における本明細書で説明する方法の代表的な用途を示す。 自己整合コンタクト用途における本明細書で説明する方法の代表的な用途を示す。 フィン露呈用途における本明細書における方法の代表的な用途を示す。 フィン露呈用途における本明細書における方法の代表的な用途を示す。 酸化物マンドレルプル用途において本明細書で説明する方法の代表的な応用例を示す。 酸化物マンドレルプル用途において本明細書で説明する方法の代表的な応用例を示す。 シリコン酸化物スペーサトリム用途において本明細書で説明する方法の代表的な応用例を示す。 シリコン酸化物スペーサトリム用途において本明細書で説明する方法の代表的な応用例を示す。 シリコン酸化物ライナーエッチング用途において本明細書で説明する方法の代表的な応用例を示す。 シリコン酸化物ライナーエッチング用途において本明細書で説明する方法の代表的な応用例を示す。 本明細書で開示する方法の代表的なフローダイヤグラムを示す。 本明細書で開示する方法の代表的なフローダイヤグラムを示す。 本明細書で開示する方法の代表的なフローダイヤグラムを示す。
1つの例示的な実施形態において、本明細書で説明するのは、酸化物をエッチングするためのALEプロセスである。一実施形態では、シリコン酸化物をエッチングするためのALEプロセスが提供される。しかし、本明細書で説明する概念は、他の酸化物のエッチングに適用可能であることが認識されるであろう。例えば、揮発性金属水素化物およびシリコン酸窒化物の形成が適用可能な金属酸化物である。ALE修正ステップは、四フッ化炭素(CF)ベースのプラズマのようなフルオロ炭化水素プラズマを使用することを含む。しかし、本開示の利益により、修正ステップを達成するために、他のフルオロカーボンガスを単独で、あるいはCFと組み合わせて利用することができる認識されるであろう。例えば、他のフルオロカーボンは、ヘキサフルオロブタジエン(C)およびオクタフルオロシクロブタン(C)を含むことができるが、これらに限定されない。この修正ステップは、シリコン酸化物の表面から酸素を優先的に除去し、基板の表面上にシリコンに富んだ層を提供する。ALE除去ステップは、水素(H)ベースのプラズマを使用することを含む。この除去ステップは、修正ステップにおいて形成されたシリコンに富んだ層を除去する。シリコンに富んだ層は、単分子層とすることができる。CFおよびHのステップを利用するシリコン酸化物エッチングALEプロセスは、広範囲の基板プロセスステップで利用することができる。例えば、ALEプロセスは、自己整合コンタクトエッチングステップ、シリコンフィン露呈ステップ、酸化物マンドレルプルステップ、酸化物スペーサトリムステップ、および酸化物ライナーエッチングステップのために利用できるが、これらに限定されない。
より具体的には、図1は、本明細書で開示する技術により酸化物をエッチングするための例示的なALEプロセスを示す。図1では、プロセス100は、プラズマ処理領域への基板の初期搬送ステップ110によって示される。次に、ステップ1(ブロック120)において、四フッ化炭素プラズマが点火される。次いで、基板はステップ2(ブロック130)の対象となり、ここでは、水素プラズマが点火され、基板は水素プラズマに暴露される。アルゴンまたは他の不活性ガスが、四フッ化炭素および水素と共に供給(co-feed)として使用され得ることに留意されたい。さらなるエッチングが望まれる場合、基板は、ステップ1およびステップ2のさらなるサイクルのためにライン125を介してステップ1(ブロック120)に戻される。エッチングが完了した場合、基板は、除去ブロック140に示すように、プラズマ処理領域から除去される。
より具体的には、図1に示すように、ALEプロセスは、CF/アルゴンプラズマステップ1(ブロック120)で開始し、層修正ステップとして動作する。次に、ステップ2(ブロック130)に示すように、H/アルゴンプラズマステップが実行され、層修正ステップにおいて生成された修正層を除去する。そして、修正および除去ステップは、所望量の酸化物の除去を完了するように、十分な数のサイクルで繰り返すことができる。一実施形態では、酸化物はシリコン酸化物である。
図2A〜図2Cは、図1のALEプロセスの各ステップで起きる例示的なメカニズムを示しており、開示したメカニズムは単に例示的なものであり、他のメカニズムも起こり得ることが理解されるだろう。図2A〜図2Cは例示的なものであり、正確な基板修正を示すことを意図していない。図2Aに示すように、基板210は、シリコン原子211および酸素原子212を含む。図2Aに示すように、上部酸素層213が提供される。四フッ化炭素プラズマに暴露された後、基板210は、図2Bに示すように、修正されて、酸素が枯渇したゾーン213Aを含む基板220上にシリコンに富んだ層225を形成する。特に、図2Aの上部酸素層213は、少なくとも部分的に還元されたことでシリコンに富んだ層225をもたらす。次いで、基板220のシリコンに富んだ層225は水素プラズマの対象となり、図2Cに示すように、最終基板230をもたらす。図2Cに示すように、シリコンに富んだ層225の除去は、シリコン酸化物に対して選択的である。
従って、図2A〜2Cに示すように、シリコン酸化物の表面における領域は、修正ステップ215において、酸素がシリコン酸化物の表面から除去されるため、シリコンに富むようになる。次に、除去ステップ(ステップ2)において、図2Cに示すように、水素プラズマへの暴露により水素プラズマのエッチング作用により、シリコンの除去がもたらされる。この水素プラズマは、1つの例示的な実施形態においては、H2/アルゴンプラズマとすることができる。このプロセスは、好ましい量のシリコン酸化物の除去が達成されるまで、酸素を徐々に除去し、次いで、シリコン酸化物層を通じて、シリコンに富んだ層を除去して下げる複数のサイクルで繰り返すことができる。
1つのステップまたは他のステップだけを使用したものと比較して2段階ALEステップを使用することによるインパクトを図3に示す。図3のグラフに示すように、ステップ1、バー310およびステップ2、バー330によって示される、ステップ1、ステップ2だけによってエッチングされた酸化物の量を、上記に説明したように、ステップ1およびステップ2の組み合わせ、バー320によって示されるステップ1とステップ2の両方を組み合わせて使用したものと対比する。Y軸はエッチングされた酸化物(オングストローム(Å))として表される。
2段階ALEプロセスの自己制限効果を図4に示す。図4は、第1のステップ(四フッ化炭素プラズマ)の実行後に、第2のステップ(水素プラズマ)によって除去される酸化物の量を示す。図4のグラフのライン410に示すように、第2のステップのエッチング時間が増加すると、表面から除去されるシリコン酸化物の量は、時間の経過と共に相対的に飽和する。グラフでは、Y軸はOxide EA(酸化物エッチング量)(オングストローム(Å))として表され、X軸は秒単位での水素プラズマ時間として表される。
図5は、エッチングされた総酸化物およびサイクル当たりエッチングされた酸化物の量を、ALEステップのサイクル数の関数として示す。図5におけるグラフは、左のY軸でエッチングされた酸化物(オングストローム(Å))および右のY軸でエッチングされたサイクル当たりのエッチング(EPC)(オングストローム/サイクル(Å/cyc))を示す。X軸はステップ1+ステップ2のサイクル数を示す。エッチングされた酸化物は、ライン520として表され、サイクル当たりのエッチングは、ライン510として表される。
図6は、第2のステップ(H/アルゴンプラズマ)の120秒毎に本明細書で説明するALEプロセスのための例示的なシリコン酸化物、シリコン窒化物およびポリシリコンを、第2のステップの圧力の関数として示す。図6のグラフに見ることができるように、2段階ALEプロセスは、シリコン酸化物、シリコン窒化物またはポリシリコンをエッチングするための高度選択的なプロセスを提供することができる。図2〜図6のエッチング量、エッチング速度、材料等は、単に例示的なものであり、本明細書で説明する概念は、他の特徴および特性を有する他のALEプロセスと共に使用され得ることが認識されるであろう。図6のグラフでは、ライン610は、(各材料についてのグラフ線が実質的に重なるように)エッチングされた酸化物およびシリコン窒化物の両方の量を示し、線620は、エッチングされたポリシリコンを示す。
本明細書で説明する2段階ALEプロセスは、基板プロセスフローを異ならせる種々のポイントにおいて、広範囲の用途において利用することができる。例えば、ALEプロセスは、自己整合コンタクトエッチングステップ、シリコンフィン露呈ステップ、酸化物マンドレルプルステップ、酸化物スペーサトリムステップ、および酸化物ライナーエッチングステップで使用することができる。図7A〜図11Bは、種々の基板プロセスフローにおいて本明細書で説明するALEプロセスの例示的な使用を提供する。本明細書で説明するALEプロセスは、他の多くの基板処理用途において利用可能であることが認識されるだろう。例えば、シリコン酸化物の選択的除去が望まれることがある種々のプロセスステップは、本明細書で説明する技術を適切に利用することができる。一実施形態では、本技術は、半導体基板処理、より詳細には、半導体ウェハ処理において利用することができる。
図7A〜図7Cは、自己整合コンタクト用途において本明細書で開示するALEプロセス技術の応用例を示す。図7Aに示すように、基板705上に複数の層が形成されている。基板705は、パターニングされたフィーチャの使用が望ましい任意の基板とすることができる。例えば、一実施形態では、基板705は、その上に形成された1つ以上の半導体処理層を有する半導体基板とすることができる。一実施形態では、基板705は、多種多様な構造および層をもたらす複数の半導体処理ステップの対象とされた基板することができ、それらの構造及び層はすべて基板処理分野において既知であり、基板705の一部であるとみなすことができる。図7Aの例示的実施形態では、酸化物層710は、アモルファスシリコン層715の下に設けられ得る。シリコン窒化物ハードマスク720は、図示のように、シリコン窒化物スペーサ725と共に設けられ得る。酸化物層730は、図示のように、アモルファスシリコン層715によって形成された構造の上およびそれらの間に形成され得る。シリコン反射防止コート740が上に設けられた有機誘電体層735が設けられ得る。また、パターニングされたフォトレジスト層745が設けられる。
図7Aに示されるように、酸化物層730は、コンタクトが最終的に形成されることが望ましい領域内およびその上に形成されている。図7Bは、酸化物層730が部分的にエッチングされるポイントまでの種々の層の除去(従来のプロセスステップを介して実行され得る)を示す。一例では、従来の酸化物フルオロカーボンエッチングを利用して、酸化物層730を部分的にエッチングし、図7Bに示す、酸化物層730の残りの部分730Aを有する構造を達成することができる。次いで、図7Cなどに示すように、残りの部分730Aを除去することができる。図7Cに示すように、残りの部分730Aは、本明細書で説明する高度選択的な2段階ALEプロセス、例えば、四フッ化炭素などのフルオロカーボンプラズマエッチング化学、それに続く水素プラズマステップなどの第2のステップを利用することによって除去されている。従って、達する下層の窒化ケイ素スペーサ層に対して高度選択的な方法で接触領域の残りの酸化物を除去するプロセスが提供される。従って、ポストエッチング後にコンタクト領域750を有する構造を得ることができる。
図8A〜図8Bは、フィン露呈用途において本明細書で開示するALEプロセス技術の応用例を示す。図8Aに示すように、基板上のフィン807は、シリコン窒化物層805によって保護されている。シリコン酸化物803は、図8Aに示すように、フィン807の領域の周りに設けられている。シリコン酸化物803は、本明細書で開示するALEプロセスの使用を介して、この応用例では除去され得る(この実施例では、部分的に除去されている)。このようにして、シリコン酸化物803は、図8Bに示すような構造を達成するために、シリコン窒化物層805に対して選択的に除去され得る。
図9A〜図9Bは、酸化物マンドレルプル用途において本明細書で説明するALEプロセス技術の応用例を示す。図示するように、シリコン酸化物マンドレル910は、例えば、シリコン酸化物マンドレル910の側面に形成されるスペーサのためのような、シリコンまたはシリコン窒化物層912によって囲まれ得る。本明細書で説明するALEプロセスを利用して、基板からシリコン酸化物マンドレル910を除去(プル)して、図9Bにおいてエッチング後にスペース931を残す。
図10A〜図10Bは、シリコン酸化物スペーサトリム用途において本明細書で開示するALEプロセス技術の応用例を示す。図10Aに示すように、シリコン酸化物スペーサ1010Aは、構造1012(例えば、シリコンまたはシリコン窒化物構造)の周囲に形成され得る。また、シリコン酸化物スペーサ1010は、エッチングストップ層1015の上に設けられ得る。本明細書で説明するALEプロセスを利用して、シリコン酸化物スペーサ1010Aの幅を狭くして、図10Bに示すように、より狭いシリコン酸化物スペーサ1010Bを生成するように、制御された方法でシリコン酸化物スペーサ1010Aの一部をトリミングするスペーサトリミングステップを提供する。
図11は、シリコン酸化物ライナーエッチング用途において本明細書で説明するALEプロセス技術の応用例を示す。図に示すように、シリコン酸化物ライナー1110は、図11Aに示すように、構造1112の側面(例えば、シリコンまたはシリコン窒化物構造)を裏打ちする(line)ことができる。次いで、シリコン酸化物ライナー1110は、構造1112に対して選択的な方法で酸化物ライナーエッチングを介して除去され、本明細書に開示するALEプロセスを酸化物ライナーエッチングとして利用することにより、図11Bに示すような構造を生成する。
上記に説明したプロセスフローは単に例示的なものであり、多くの他のプロセスおよび応用例において、本明細書で開示した技術を有利に利用することができることが認識されるであろう。図12〜図14は、本明細書で説明する処理技術を使用するための例示的な方法を示している。図12〜図14の実施形態は、単に例示的なものであり、追加の方法は本明細書で説明する技術を利用することができることが認識されるであろう。さらに、説明するステップは排他的であることを意図していないため、追加の処理ステップを図12〜図14に示す方法に追加することができる。さらに、ステップの順序は、異なる順序が発生する可能性があり、かつ/あるいは様々なステップを組み合わせて、あるいは同時に実行する可能性があるため、図に示す順序に限定されない。
図12では、基板をエッチングする方法を示す。本方法は、シリコン酸化物を含む第1の層を提供するステップであって、第1の層は、第2の層に対して選択的にエッチングされる、ステップ1205を含む。本方法は、さらに、CFを含む第1のプラズマに第1の層を暴露して、第1の層の少なくとも表面を修正して、修正表面層を形成するステップであって、修正表面層は、第1の層の残りの部分と比較してシリコンに富んでいる、ステップ1210を含む。本方法は、さらに、Hを含む第2のプラズマに修正表面層を暴露するステップであって、Hを含むプラズマは、修正表面層の少なくとも一部を除去する、ステップ1215を含む。本方法では、第1のプラズマと第2のプラズマの使用を組み合わせることで第1の層の厚さの少なくとも一部を低減する。
図13では、基板をエッチングする方法を示す。本方法は、シリコン酸化物を含む第1の層を提供するステップ1305を含む。本方法は、さらに、原子層エッチングプロセスを実行して第1の層をエッチングするステップ1310を含む。本方法では、原子層エッチングプロセスは、(1)第1のプラズマを含む表面修正ステップであって、第1のプラズマはCFを含む、表面修正ステップと、(2)表面修正ステップに続く除去ステップであって、除去ステップは第2のプラズマを含み、第2のプラズマはHを含む、除去ステップとの複数のサイクルのステップを含むことができる。
図14に、基板上のシリコン酸化物層の厚さを低減する方法を示す。本方法は、ステップ(a)とステップ(b)との少なくとも1つのサイクルを実行することを含む。ステップ(a)では、パーフルオロ炭化水素プラズマにシリコン酸化物層を暴露して、シリコン酸化物層の表面を修正する(ステップ1405)。ステップ(b)では、ステップ(a)に続いて、元素水素(elemental hydrogen)プラズマにステップ(a)の生成物を暴露して、ステップ(a)で修正された表面の少なくとも一部を除去する(ステップ1410)。
本発明のさらなる修正および代替の実施形態は、この明細書に照らして当業者には明らかであろう。従って、この明細書は、単に例示的なものと解釈されるべきであり、本発明の実施方法を当業者に教示するためのものである。本発明の形態および方法は、本明細書において示され、説明されるものは、現在好ましい実施形態として取りあげられることを理解されたい。本明細書において図示および説明されたものに対して等価な技術を代用することができ、本発明の特定の特徴は、本発明のこの明細書の利益を得た後に当業者に明らかなように、他の特徴の使用とは独立して利用することができる。

Claims (20)

  1. 基板をエッチングするための方法であって、
    シリコン酸化物を含む第1の層を提供するステップであって、該第1の層は第2の層に対して選択的にエッチングされる、ステップと、
    四フッ化炭素(CF)を含む第1のプラズマに前記第1の層を暴露して、前記第1の層の少なくとも表面を修正して、修正表面層を形成するステップであって、該修正表面層は、前記第1の層の残りの部分と比較してシリコンに富んでいる、ステップと、
    水素(H)を含む第2のプラズマに前記修正表面層を暴露し、Hを含む該第2のプラズマは前記修正表面層の少なくとも一部を除去する、ステップと、を含み、
    前記第1のプラズマと前記第2のプラズマとの使用を組み合わせることで、前記第1の層の厚さの少なくとも一部を低減する、方法。
  2. CFを含む前記第1のプラズマに前記第1の層を暴露する前記ステップと、Hを含む前記第2のプラズマに前記修正表面層を暴露する前記ステップとの複数のサイクルが実行される、請求項1に記載の方法。
  3. 前記基板をエッチングするための当該方法は、自己整合コンタクト用途の一部である、請求項1に記載の方法。
  4. 前記基板をエッチングするための当該方法は、フィン露呈用途の一部である、請求項1に記載の方法。
  5. 前記基板をエッチングするための当該方法は、酸化物マンドレルプル用途の一部である、請求項1に記載の方法。
  6. 前記基板をエッチングするための当該方法は、シリコン酸化物スペーサトリム用途の一部である、請求項1に記載の方法。
  7. 前記基板をエッチングするための当該方法は、シリコン酸化物ライナーエッチング用途の一部である、請求項1に記載の方法。
  8. 基板をエッチングするための方法であって、
    シリコン酸化物を含む第1の層の提供するステップと、
    前記第1の層をエッチングするための原子層エッチングプロセスを実行するステップであって、該原子層エッチングプロセスは、(1) 第1のプラズマを含む表面修正ステップであって、該第1のプラズマが四フッ化炭素(CF)を含む、表面修正ステップと、(2) 該表面修正ステップに続く除去ステップであって、該除去ステップは第2のプラズマを含み、該第2のプラズマは水素(H)を含む、除去ステップと、の複数サイクルを含む、ステップと、
    を含む、方法。
  9. 前記基板をエッチングするための当該方法は、自己整合コンタクト用途の一部である、請求項8に記載の方法。
  10. 前記基板をエッチングするための当該方法は、フィン露呈用途の一部である、請求項8に記載の方法。
  11. 前記基板をエッチングするための当該方法は、酸化物マンドレルプル用途の一部である、請求項8に記載の方法。
  12. 前記基板をエッチングするための当該方法は、シリコン酸化物スペーサトリム用途の一部である、請求項8に記載の方法。
  13. 前記基板をエッチングするための当該方法は、シリコン酸化物ライナーエッチング用途の一部である、請求項8に記載の方法。
  14. 基板上のシリコン酸化物層の厚さを低減する方法であって、(a) パーフルオロ炭化水素プラズマに該シリコン酸化物層を暴露して、該シリコン酸化物層の表面を修正するステップと、(b) ステップ(a)に続いて、元素水素(H2)プラズマにステップ(a)の生成物を暴露して、ステップ(a)で修正された前記表面の少なくとも一部を除去するステップと、の少なくとも1つのサイクルを実行するステップを含む方法。
  15. 前記基板をエッチングするための当該方法は、自己整合コンタクト用途の一部である、請求項14に記載の方法。
  16. 前記基板をエッチングするための当該方法は、フィン露呈用途の一部である、請求項14に記載の方法。
  17. 前記基板をエッチングするための当該方法は、酸化物マンドレルプル用途の一部である、請求項14に記載の方法。
  18. 前記基板をエッチングするための当該方法は、シリコン酸化物スペーサトリム用途の一部である、請求項14に記載の方法。
  19. 前記基板をエッチングするための当該方法は、シリコン酸化物ライナーエッチング用途の一部である、請求項14に記載の方法。
  20. 前記パーフルオロ炭化水素が、四フッ化炭素(CF)である、請求項14に記載の方法。
JP2019090033A 2018-05-11 2019-05-10 酸化物の原子層エッチングの方法 Active JP7175237B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862670459P 2018-05-11 2018-05-11
US62/670459 2018-05-11
US201862684878P 2018-06-14 2018-06-14
US62/684878 2018-06-14

Publications (2)

Publication Number Publication Date
JP2019204950A true JP2019204950A (ja) 2019-11-28
JP7175237B2 JP7175237B2 (ja) 2022-11-18

Family

ID=68464108

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2019090033A Active JP7175237B2 (ja) 2018-05-11 2019-05-10 酸化物の原子層エッチングの方法

Country Status (5)

Country Link
US (2) US10770305B2 (ja)
JP (1) JP7175237B2 (ja)
KR (1) KR20190129756A (ja)
CN (1) CN110473770B (ja)
TW (1) TWI802693B (ja)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10770305B2 (en) * 2018-05-11 2020-09-08 Tokyo Electron Limited Method of atomic layer etching of oxide
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US20210210355A1 (en) * 2020-01-08 2021-07-08 Tokyo Electron Limited Methods of Plasma Processing Using a Pulsed Electron Beam
CN113140458B (zh) * 2020-01-17 2024-03-01 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
CN113611736B (zh) * 2020-05-29 2022-11-22 联芯集成电路制造(厦门)有限公司 半导体元件及其制作方法
CN113921359B (zh) * 2021-08-16 2024-04-26 江汉大学 一种吸收波材料的表面改性方法
US11682558B2 (en) 2021-09-22 2023-06-20 International Business Machines Corporation Fabrication of back-end-of-line interconnects

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015065393A (ja) * 2013-08-30 2015-04-09 東京エレクトロン株式会社 酸化シリコンから構成された領域を選択的に除去する方法及びプラズマ処理装置
JP2016127285A (ja) * 2015-01-06 2016-07-11 ラム リサーチ コーポレーションLam Research Corporation 活性化を使用しない、シリコン酸化物のための異方性原子層エッチング
JP2017011167A (ja) * 2015-06-24 2017-01-12 東京エレクトロン株式会社 エッチング方法
JP2017157836A (ja) * 2016-03-01 2017-09-07 ラム リサーチ コーポレーションLam Research Corporation プラズマおよび蒸気処理の組み合わせを用いたal2o3の原子層エッチング
JP2018006742A (ja) * 2016-06-28 2018-01-11 ラム リサーチ コーポレーションLam Research Corporation 半導体デバイス製造における酸化スズ被膜スペーサ

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6016420A (ja) * 1983-07-08 1985-01-28 Mitsubishi Electric Corp 選択的エピタキシヤル成長方法
US7416989B1 (en) * 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
US20100018944A1 (en) * 2008-07-25 2010-01-28 United Microelectronics Corp. Patterning method
US7972968B2 (en) * 2008-08-18 2011-07-05 Applied Materials, Inc. High density plasma gapfill deposition-etch-deposition process etchant
KR101871748B1 (ko) * 2011-12-06 2018-06-28 삼성전자주식회사 반도체 소자의 패턴 형성 방법
US9111877B2 (en) * 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US9159561B2 (en) * 2013-12-26 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method for overcoming broken line and photoresist scum issues in tri-layer photoresist patterning
US9171935B2 (en) * 2014-03-07 2015-10-27 Globalfoundries Inc. FinFET formation with late fin reveal
US9190290B2 (en) * 2014-03-31 2015-11-17 Applied Materials, Inc. Halogen-free gas-phase silicon etch
JP6230954B2 (ja) * 2014-05-09 2017-11-15 東京エレクトロン株式会社 エッチング方法
US9318343B2 (en) * 2014-06-11 2016-04-19 Tokyo Electron Limited Method to improve etch selectivity during silicon nitride spacer etch
EP2988322B1 (en) * 2014-08-18 2020-06-24 IMEC vzw Method for selective oxide removal
US9536748B2 (en) * 2014-10-21 2017-01-03 Lam Research Corporation Use of ion beam etching to generate gate-all-around structure
US9396961B2 (en) * 2014-12-22 2016-07-19 Lam Research Corporation Integrated etch/clean for dielectric etch applications
TWI727992B (zh) * 2015-11-11 2021-05-21 美商諾發系統有限公司 具有高產能之超高選擇性多晶矽蝕刻
JP6385915B2 (ja) * 2015-12-22 2018-09-05 東京エレクトロン株式会社 エッチング方法
US9735024B2 (en) * 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US10727073B2 (en) * 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
WO2017170405A1 (ja) * 2016-03-29 2017-10-05 東京エレクトロン株式会社 被処理体を処理する方法
US20170345673A1 (en) * 2016-05-29 2017-11-30 Tokyo Electron Limited Method of selective silicon oxide etching
US10319613B2 (en) * 2016-12-13 2019-06-11 Tokyo Electron Limited Method of selectively etching first region made of silicon nitride against second region made of silicon oxide
US9779956B1 (en) * 2017-02-06 2017-10-03 Lam Research Corporation Hydrogen activated atomic layer etching
US10770305B2 (en) * 2018-05-11 2020-09-08 Tokyo Electron Limited Method of atomic layer etching of oxide

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015065393A (ja) * 2013-08-30 2015-04-09 東京エレクトロン株式会社 酸化シリコンから構成された領域を選択的に除去する方法及びプラズマ処理装置
JP2016127285A (ja) * 2015-01-06 2016-07-11 ラム リサーチ コーポレーションLam Research Corporation 活性化を使用しない、シリコン酸化物のための異方性原子層エッチング
JP2017011167A (ja) * 2015-06-24 2017-01-12 東京エレクトロン株式会社 エッチング方法
JP2017157836A (ja) * 2016-03-01 2017-09-07 ラム リサーチ コーポレーションLam Research Corporation プラズマおよび蒸気処理の組み合わせを用いたal2o3の原子層エッチング
JP2018006742A (ja) * 2016-06-28 2018-01-11 ラム リサーチ コーポレーションLam Research Corporation 半導体デバイス製造における酸化スズ被膜スペーサ

Also Published As

Publication number Publication date
US20200273713A1 (en) 2020-08-27
JP7175237B2 (ja) 2022-11-18
US10770305B2 (en) 2020-09-08
TW202006785A (zh) 2020-02-01
KR20190129756A (ko) 2019-11-20
CN110473770B (zh) 2024-04-02
CN110473770A (zh) 2019-11-19
US11658037B2 (en) 2023-05-23
TWI802693B (zh) 2023-05-21
US20190348296A1 (en) 2019-11-14

Similar Documents

Publication Publication Date Title
JP7175237B2 (ja) 酸化物の原子層エッチングの方法
KR102323389B1 (ko) 튜닝가능한 선택도를 갖는 등방성 실리콘 및 실리콘-게르마늄 에칭
US10510518B2 (en) Methods of dry stripping boron-carbon films
KR102204711B1 (ko) 산화물 및 질화물 재료들을 선택적으로 에칭하는 기술들 및 이를 사용하여 형성된 제품들
US9378975B2 (en) Etching method to form spacers having multiple film layers
US20110027999A1 (en) Etch method in the manufacture of an integrated circuit
US20050009358A1 (en) Method of fabricating a gate structure of a field effect transistor having a metal-containing gate electrode
US11227767B2 (en) Critical dimension trimming method designed to minimize line width roughness and line edge roughness
US11276572B2 (en) Technique for multi-patterning substrates
US10937662B2 (en) Method of isotropic etching of silicon oxide utilizing fluorocarbon chemistry
JP2010098101A (ja) 半導体装置の製造方法
US10937659B2 (en) Method of anisotropically etching adjacent lines with multi-color selectivity
US10699911B2 (en) Method of conformal etching selective to other materials
TWI630655B (zh) Dry etching method
US20240055268A1 (en) Substrate processing with selective etching
CN115023795A (zh) 合金膜蚀刻
JP2022032965A5 (ja)

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20211124

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20220930

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20221011

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20221108

R150 Certificate of patent or registration of utility model

Ref document number: 7175237

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150