JP2011504295A5 - - Google Patents

Download PDF

Info

Publication number
JP2011504295A5
JP2011504295A5 JP2010533307A JP2010533307A JP2011504295A5 JP 2011504295 A5 JP2011504295 A5 JP 2011504295A5 JP 2010533307 A JP2010533307 A JP 2010533307A JP 2010533307 A JP2010533307 A JP 2010533307A JP 2011504295 A5 JP2011504295 A5 JP 2011504295A5
Authority
JP
Japan
Prior art keywords
growth
gas
layer
oxide spacer
mask
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2010533307A
Other languages
English (en)
Other versions
JP5254351B2 (ja
JP2011504295A (ja
Filing date
Publication date
Application filed filed Critical
Priority claimed from PCT/US2008/082915 external-priority patent/WO2009062123A2/en
Publication of JP2011504295A publication Critical patent/JP2011504295A/ja
Publication of JP2011504295A5 publication Critical patent/JP2011504295A5/ja
Application granted granted Critical
Publication of JP5254351B2 publication Critical patent/JP5254351B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Claims (19)

  1. 基板の上側と、反射防止膜(ARC)層、及びマスク特徴を伴うパターン化有機マスクの下側との間に配置されるエッチング層をエッチングするための方法であって、
    (a)前記基板をプロセスチャンバの中に載置することと、
    (b)前記ARC層を前記パターン化マスクの前記マスク特徴を介して開口することと、
    (c)酸化物スペーサ成長層を形成することと、前記酸化物スペーサ成長層は、上部と、側壁と、底部とを含み、前記上部は、前記有機マスクの上を覆い、前記側壁は、前記有機マスクの側壁を覆い、前記底部は、前記マスク特徴の底を覆うことと、
    (d)前記有機マスクの上の前記酸化物スペーサ成長層をエッチングによって部分的に除去することであって、少なくとも前記酸化物スペーサ成長層の前記上部が除去される、ことと、
    (e)前記有機マスク及び前記ARC層をエッチングによって除去することと、
    (f)前記エッチング層を前記酸化物スペーサ成長層の前記側壁を介してエッチングすることと、
    (g)前記基板を前記プロセスチャンバから取り出すことと、
    を備える方法。
  2. 請求項1に記載の方法であって、
    (c)前記酸化物スペーサ成長層を形成することは、複数のサイクルを含み、各サイクルは、
    Siを含有する成長ガスの流れを提供することと、
    前記成長ガスからプラズマを形成することと、
    前記成長ガスの流れを停止することと、
    を含む成長段階と、
    2又はN2の少なくとも一方を含有する処理ガスの流れを提供することと、
    前記処理ガスからプラズマを形成することと、
    前記処理ガスの流れを停止することと、
    を含む処理段階と、を含む、方法。
  3. 請求項2に記載の方法であって、
    (c)前記酸化物スペーサ成長層の形成は、3から15サイクル実行される、方法。
  4. 請求項2に記載の方法であって、
    (c)前記酸化物スペーサ成長層の形成は、約10サイクル実行される、方法。
  5. 請求項1に記載の方法であって、
    (c)前記酸化物スペーサ成長層の形成は、1から20サイクル実行され、各サイクルは、
    Siを含有する成長ガスの流れを提供することと、
    前記成長ガスからプラズマを形成することと、
    前記成長ガスの流れを停止することと、
    を含む成長段階と、
    2又はN2の少なくとも一方を含有する処理ガスの流れを提供することと、
    前記処理ガスからプラズマを形成することと、
    前記処理ガスの流れを停止することと、
    を含む処理段階と、を含む、方法。
  6. 請求項に記載の方法であって、
    (d)部分的な除去は、更に、前記マスク特徴の前記底の上に形成された前記酸化物スペーサ成長層の前記底部を除去する、方法。
  7. 請求項に記載の方法であって、
    前記方法は室温で実施される方法。
  8. 請求項1に記載の方法であって、
    (d)部分的な除去は、更に、前記マスク特徴の前記底の上に形成された前記酸化物スペーサ成長層の前記底部を除去する、方法。
  9. 請求項1に記載の方法であって、
    前記方法は室温で実施される方法。
  10. 基板の上側と、反射防止膜(ARC)層、及びマスク特徴を伴うパターン化有機マスクの下側との間に配置されるエッチング層をエッチングするためのコンピュータにより実行される方法であって、
    (a)前記基板をプロセスチャンバの中に載置することと、
    (b)前記ARC層を前記パターン化マスクの前記マスク特徴を介して開口することと、
    (c)1から20サイクル実行される、酸化物スペーサ成長層を形成することと、前記酸化物スペーサ成長層は、上部と、側壁と、底部とを含み、前記上部は、前記有機マスクの上を覆い、前記側壁は、前記有機マスクの側壁を覆い、前記底部は、前記マスク特徴の底を覆い、各サイクルは、
    Siを含有する成長ガスの流れを提供することと、
    前記成長ガスからプラズマを形成することと、
    前記成長ガスの流れを停止することと、
    を含む成長段階と、
    2又はN2の少なくとも一方を含有する処理ガスの流れを提供することと、
    前記処理ガスからプラズマを形成することと、
    前記処理ガスの流れを停止することと、
    を含む処理段階と、を含む、ことと、
    (d)前記有機マスクの上の前記酸化物スペーサ成長層をエッチングによって部分的に除去することであって、少なくとも前記酸化物スペーサ成長層の前記上部が除去される、ことと、
    (e)前記有機マスク及び前記ARC層をエッチングによって除去することと、
    (f)前記エッチング層を前記酸化物スペーサ成長層の前記側壁を通してエッチングすることと、
    (g)前記基板を前記プロセスチャンバから取り出すことと、
    を備えるコンピュータにより実行される方法。
  11. 請求項10に記載のコンピュータにより実行される方法であって、
    前記成長ガスは、SiH4及びCH3Fを含む、コンピュータにより実行される方法。
  12. 請求項10に記載のコンピュータにより実行される方法であって、
    前記成長ガスは、実質的に酸素を含有しないである、コンピュータにより実行される方法。
  13. 基板の上側と、反射防止膜(ARC)層、及びマスク特徴を伴うパターン化有機マスクの下側との間にあるエッチング層をエッチングするための装置であって、
    プラズマ処理チャンバであって、
    プラズマ処理チャンバ囲いを形成するチャンバ壁と、
    前記プラズマ処理チャンバ囲い内において基板を支えるための基板サポートと、
    前記プラズマ処理チャンバ囲い内における圧力を調整するための圧力調整器と、
    プラズマを維持するために前記プラズマ処理チャンバ囲いに電力を提供するための少なくとも1つの電極と、
    前記プラズマ処理チャンバ囲い内にガスを提供するためのガス入口と、
    前記プラズマ処理チャンバ囲いからガスを排出させるためのガス出口と、
    を含む、プラズマ処理チャンバと、
    前記ガス入口に流体接続されたガス源であって、
    ARC開口ガス源と、
    Si含有成長ガス源と、
    2又はN2含有処理ガス源と、
    酸化物スペーサ除去ガス源と、
    有機マスク及びARC層除去ガス源と、
    エッチングガス源と、
    を含むガス源と、
    前記ガス源及び前記少なくとも1つの電極に制御式に接続されたコントローラであって、
    少なくとも1つのプロセッサと、
    コンピュータ可読媒体であって、
    前記ARC層を前記パターン化マスクの前記マスク特徴を介して開口するためのコンピュータ読み取り可能コードと、
    1から20サイクル酸化物スペーサ成長層の形成を実行するためのコンピュータ読み取り可能コードであって、前記酸化物スペーサ成長層は、上部と、側壁と、底部とを含み、前記上部は、前記有機マスクの上を覆い、前記側壁は、前記有機マスクの側壁を覆い、前記底部は、前記マスク特徴の底を覆い、各サイクルは、
    成長段階を提供するためのコンピュータ読み取り可能コードであって、
    Siを含有する成長ガスの流れを提供するためのコンピュータ読み取り可能コードと、
    前記成長ガスからプラズマを形成するためのコンピュータ読み取り可能コードと、
    前記成長ガスの流れを停止するためのコンピュータ読み取り可能コードと、
    を含むコンピュータ読み取り可能コードと、
    処理段階を提供するためのコンピュータ読み取り可能コードであって、
    2又はN2の少なくとも一方を含有する処理ガスの流れを提供するためのコンピュータ読み取り可能コードと、
    前記処理ガスからプラズマを形成するためのコンピュータ読み取り可能コードと、
    前記処理ガスの流れを停止するためのコンピュータ読み取り可能コードと、
    を含むコンピュータ読み取り可能コードと、を含む、コンピュータ読み取り可能コードと、
    前記有機マスクの上の前記酸化物スペーサ成長層をエッチングによって部分的に除去するためのコンピュータ読み取り可能コードであって、少なくとも前記酸化物スペーサ成長層の前記上部が除去される、コンピュータ読み取り可能コードと、
    前記有機マスク及び前記ARC層をエッチングによって除去するためのコンピュータ読み取り可能コードと、
    前記エッチング層を前記酸化物スペーサ成長層の前記側壁を介してエッチングするためのコンピュータ読み取り可能コードと、
    を含む、コンピュータ読み取り可能媒体と、
    を含む、コントローラと、
    を備える装置。
  14. 請求項1ないしのいずれかに記載の方法であって、
    (d)部分的な除去は、更に、前記マスク特徴の前記底に形成された前記酸化物スペーサ成長層の前記底部を除去する、方法。
  15. 請求項1から、及び14のいずれかに記載の方法であって、
    前記方法は室温で実施される方法。
  16. 請求項1から14および15のいずれかに記載の方法であって、
    前記成長ガスは、シラン及び炭化水素を含む、方法。
  17. 請求項1から、及び14から16のいずれかに記載の方法であって、
    前記成長ガスは、SiH4及びCH3Fを含む、方法。
  18. 請求項1から、及び14から17のいずれかに記載の方法であって、
    前記成長ガスは、実質的に酸素を含有しない、方法。
  19. 請求項11または12のいずれかに記載のコンピュータにより実行される方法であって、
    前記成長ガスは、実質的に酸素フリーである、コンピュータにより実行される方法。
JP2010533307A 2007-11-08 2008-11-07 酸化物スペーサを使用したピッチ低減 Expired - Fee Related JP5254351B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US98646707P 2007-11-08 2007-11-08
US60/986,467 2007-11-08
PCT/US2008/082915 WO2009062123A2 (en) 2007-11-08 2008-11-07 Pitch reduction using oxide spacer

Publications (3)

Publication Number Publication Date
JP2011504295A JP2011504295A (ja) 2011-02-03
JP2011504295A5 true JP2011504295A5 (ja) 2011-12-22
JP5254351B2 JP5254351B2 (ja) 2013-08-07

Family

ID=40626462

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2010533307A Expired - Fee Related JP5254351B2 (ja) 2007-11-08 2008-11-07 酸化物スペーサを使用したピッチ低減

Country Status (6)

Country Link
US (1) US8592318B2 (ja)
JP (1) JP5254351B2 (ja)
KR (1) KR101573949B1 (ja)
CN (2) CN101855706A (ja)
TW (1) TWI455178B (ja)
WO (1) WO2009062123A2 (ja)

Families Citing this family (134)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8394722B2 (en) * 2008-11-03 2013-03-12 Lam Research Corporation Bi-layer, tri-layer mask CD control
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
CN104425223B (zh) * 2013-08-28 2017-11-03 中芯国际集成电路制造(上海)有限公司 图形化方法
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9230809B2 (en) * 2013-10-17 2016-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned double patterning
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US20150214066A1 (en) * 2014-01-27 2015-07-30 Applied Materials, Inc. Method for material removal in dry etch reactor
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
TWI621210B (zh) * 2014-08-27 2018-04-11 聯華電子股份有限公司 一種製作半導體元件的方法
US9165765B1 (en) * 2014-09-09 2015-10-20 Tokyo Electron Limited Method for patterning differing critical dimensions at sub-resolution scales
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
JP6366454B2 (ja) * 2014-10-07 2018-08-01 東京エレクトロン株式会社 被処理体を処理する方法
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9478433B1 (en) * 2015-03-30 2016-10-25 Applied Materials, Inc. Cyclic spacer etching process with improved profile control
US9484202B1 (en) * 2015-06-03 2016-11-01 Applied Materials, Inc. Apparatus and methods for spacer deposition and selective removal in an advanced patterning process
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9620356B1 (en) 2015-10-29 2017-04-11 Applied Materials, Inc. Process of selective epitaxial growth for void free gap fill
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
CN110520964A (zh) * 2018-03-01 2019-11-29 朗姆研究公司 用于半导体处理的基于硅的沉积
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
WO2020211084A1 (en) 2019-04-19 2020-10-22 Applied Materials, Inc. Methods of forming a metal containing material
US11482411B2 (en) * 2020-06-30 2022-10-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2988455B2 (ja) * 1997-10-15 1999-12-13 日本電気株式会社 プラズマエッチング方法
US6967140B2 (en) * 2000-03-01 2005-11-22 Intel Corporation Quantum wire gate device and method of making same
KR100383760B1 (ko) * 2001-06-26 2003-05-14 주식회사 하이닉스반도체 반도체 소자의 층간 절연막 형성 방법
KR20030002145A (ko) 2001-06-30 2003-01-08 주식회사 하이닉스반도체 반도체소자의 패턴 형성 방법
JP4340040B2 (ja) * 2002-03-28 2009-10-07 富士通マイクロエレクトロニクス株式会社 半導体装置の製造方法
KR100434110B1 (ko) * 2002-06-04 2004-06-04 삼성전자주식회사 반도체 장치의 제조방법
SG152920A1 (en) * 2002-10-11 2009-06-29 Lam Res Corp A method for plasma etching performance enhancement
US7429536B2 (en) * 2005-05-23 2008-09-30 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7273815B2 (en) 2005-08-18 2007-09-25 Lam Research Corporation Etch features with reduced line edge roughness
US20080166854A1 (en) * 2005-09-09 2008-07-10 Dong-Suk Shin Semiconductor devices including trench isolation structures and methods of forming the same
US7264743B2 (en) * 2006-01-23 2007-09-04 Lam Research Corporation Fin structure formation
US20070181530A1 (en) * 2006-02-08 2007-08-09 Lam Research Corporation Reducing line edge roughness
KR100761857B1 (ko) * 2006-09-08 2007-09-28 삼성전자주식회사 반도체 소자의 미세패턴 형성방법 및 이를 이용한 반도체소자의 제조방법

Similar Documents

Publication Publication Date Title
JP2011504295A5 (ja)
JP2008060566A5 (ja)
WO2009062123A4 (en) Pitch reduction using oxide spacer
JP2008524851A5 (ja)
JP2010530643A5 (ja)
TWI497582B (zh) 用於含碳膜的矽選擇性乾式蝕刻方法
US9512541B2 (en) Selective growth method and substrate processing apparatus
TWI533364B (zh) 含碳薄膜之微細化方法及氧化設備
JP2018074145A (ja) 半導体パターニング用途のための高ドライエッチング速度材料
JP2014112668A5 (ja)
JP2007503720A5 (ja)
JP5743488B2 (ja) 基板処理装置および半導体装置の製造方法
JP2011192872A5 (ja)
JP2010534935A5 (ja)
WO2009085564A4 (en) Etch with high etch rate resist mask
JP2009212482A (ja) 処理装置
TWI661074B (zh) 半導體裝置之製造方法、基板處理裝置及記錄媒體
JP2011176095A5 (ja)
TWI456656B (zh) 介電蝕刻中的輪廓控制
WO2008147756A4 (en) In-situ photoresist strip during plasma etching of active hard mask
JP2015069987A (ja) 基板処理装置、半導体装置の製造方法及び基板処理方法
KR101475557B1 (ko) 카본막 상으로의 산화물막의 성막 방법 및 성막 장치
TW202134469A (zh) 膜形成方法及系統
TW201812902A (zh) 對被處理體進行處理之方法
JP2009543351A5 (ja)