JP2013102443A - 省面積及び省電力のスタンダードセル方法 - Google Patents

省面積及び省電力のスタンダードセル方法 Download PDF

Info

Publication number
JP2013102443A
JP2013102443A JP2012265258A JP2012265258A JP2013102443A JP 2013102443 A JP2013102443 A JP 2013102443A JP 2012265258 A JP2012265258 A JP 2012265258A JP 2012265258 A JP2012265258 A JP 2012265258A JP 2013102443 A JP2013102443 A JP 2013102443A
Authority
JP
Japan
Prior art keywords
voltage
integrated circuit
circuit
standard
corner
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2012265258A
Other languages
English (en)
Inventor
Malek-Khos-Ravi Behenam
ベヘナム・マレクホスラヴィ
Bulnori Michael
マイケル・ブルノリ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Qualcomm Inc
Original Assignee
Qualcomm Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Qualcomm Inc filed Critical Qualcomm Inc
Publication of JP2013102443A publication Critical patent/JP2013102443A/ja
Withdrawn legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/327Logic synthesis; Behaviour synthesis, e.g. mapping logic, HDL to netlist, high-level language to RTL or netlist
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Theoretical Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • General Engineering & Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Manipulation Of Pulses (AREA)
  • Logic Circuits (AREA)

Abstract

【課題】省面積及び省電力のための半導体集積回路の設計方法を提供する。
【解決手段】主回路217と適応電圧用調整回路を含む半導体集積回路であって、適応電圧調整用回路は、クロック信号を受け取るように構成された整合回路211と、整合回路211の出力を受け取り、また、クロック信号を受け取るように構成された位相検出器213と、電源電圧を増加又は減少させるように構成された電圧レギュレータ215とを含み、主回路217は電圧レギュレータ215から電源電圧を受け取るように構成され、整合回路211は電源電圧を受け取って、電源電圧における増加又は減少に基づいて、信号伝搬における遅延を調整するように構成される。
【選択図】図2

Description

本発明は一般的に半導体集積回路に関し、特にスタンダードセル方式を用いた半導体集積回路の設計に関する。
半導体集積回路は、大抵は非常に複雑である。回路は、多様な機能を実行する様々なグループ内の数千又は何百万個ものゲートを含むことができる。ゲートは、1以上のクロック信号により同期されるゲートの動作により、製造プロセス変動、電源電圧変動及び温度変動にしばしば依存的なゲートの動作速度により、時間順に沿って動作するように大抵設計されている。
これらの複雑性を考慮して、自動化ツールが半導体集積回路の設計にしばしば用いられる。自動化ツールは様々な機能を提供するものであるが、そのようなツールは、高いレベルの記述を低いレベルの実装に分解し、チップ内の低いレベルの実装要素の配置を決定し、チップ内のルーティング(経路探索)を決定するツールにより、設計者に対して、比較的高いレベルで回路機能を特定する可能性をしばしば提供するものである。
高いレベルの記述を低いレベルの実装に分解する際に、自動化ツールは、標準要素のライブラリからの標準要素を非常に頻繁に用いる。各標準要素は、複数の考えられるバリエーション、例えば、駆動トランジスタ、バッファ、トランジスタの長さ/幅の比、或いは他の事項に関する複数のバリエーションを含み得る。特定のゲートの速度を早めるため、或いはタイミング目標に到達するホールドタイムを増加させるため、或いはその他の理由により、別の標準要素がそのツールにより用いられてもよい。
半導体集積回路の適正なタイミング操作は非常に重要であり、その回路要素及びその回路の全体のタイミング目標が適合しない場合、回路の所望の機能は適正に実行され得ない。不運なことに、集積回路の特性は、実装変動、材料不純物又はその他の要因に基づいて、チップ毎、より一般的にはロット毎に異なっており、動作中は動作電圧又は温度変動に基づいて変動する。
よって、自動化ツールは、プロセス、電圧及び温度変動の予想される曲線上の異なるポイントに関して、予想される回路タイミングをしばしばチェックする。多くの例では、その曲線の「スロー(遅い)」ポイントとその曲線の「ファスト(速い)」ポイントに対するタイミングがチェックされるが、あるツールではその曲線上の様々なポイント、或いは各変数に関する複数の曲線に対するタイミングをチェックする場合もある。
一般的には、自動ツールは、ライブラリからの異なる要素を用いることにより、或いは更なる動作を実行するための追加的なライブラリ要素を並列に挿入することによって、タイミング変動を計算する。そのツールは、ホールドタイムを増加させるために、一連の要素の中に追加的なライブラリ要素を配置することができる。その変化は、より高電力な要素又は追加的な電力を必要とする要素をしばしばもたらし、そして、その追加的な電力への要求はしばしば、スイッチング速度又は信号上昇時間(signal rise times)の増加を必要とすることにより動作する。しかしながら、要素の数及び要素のサイズの増加は、しばしばチップサイズ条件及び電力条件を増加させる結果となり、それらは大抵望ましくない。
本発明は、省面積及び省電力のための半導体集積回路の設計方法を提供する。
本発明は一側面において、集積回路の電源線の動作特性に影響を及ぼすために使用される速度比較機能を提供する信号パスを含む、集積回路の条件を特定し、自動ツールにより使用されるスローコーナー用の電圧を、標準よりも高いレベルに設定し、高いレベルを使用して、自動ツールにより生成される回路設計が、集積回路のタイミング条件と適合し得るかどうかを決定することを含む集積回路の設計に用いられる方法を提供する。
本発明は他の一側面において、動的電圧制御を含む集積回路の条件を特定し、条件をレジスタ転送レベル(RTL)記述に翻訳し、RTL記述を合成することであって、スローコーナーを特徴化するために標準電圧よりも高い電圧を使用することを含むことを含む集積回路の設計方法を提供する。
本発明は他の一側面において、回路の転送レジスタレベル(RTL)記述を受け取り、RTL記述と一致する機能を実装するために、スタンダードセルライブラリの中から、RTL記述により表されたタイミング条件の一部に基づくセルを選択することにより、RTL記述を合成し、選択されたセルが、スローコーナー及びファストコーナーの双方に対するRTL記述により表されるタイミング条件に適合するか否かを決定することであって、スローコーナーは、スロープロセスパラメータ、スロー電圧パラメータ及びスロー温度パラメータの少なくとも一部により決定され、ファストコーナーは、ファストプロセスパラメータ、ファスト電圧パラメータ及びファスト温度パラメータの少なくとも一部により決定され、スロー電圧パラメータがファスト電圧パラメータと近似することを含む集積回路の設計方法を提供する。
本発明は他の一側面において、適応電圧調整用回路を含む半導体集積回路であって、半導体集積回路は、スローコーナーのタイミング分析を実行する場合に、標準電圧よりも高い電圧を使用するプロセスにより選択されたスタンダードセル内のゲートを含む半導体集積回路を提供する。
本発明のこれら又は他の側面は、本開示を考慮に入れることによって更に完全に理解される。
本発明の一側面に係る集積回路を設計するためのプロセスのフロー図である。 本発明の一側面に係る動的電圧制御回路の例を含む集積回路のブロック図である。 本発明の一側面に係るプロセスの更なるフロー図である。 本発明の一側面に係る集積回路のセミブロック図構成を示す説明図である。 本発明の一側面に係る設計操作を実行するためのコンピュータシステムを表すブロック図である。
図1は、半導体集積回路を設計するためのプロセスのフロー図である。ブロック111においては仕様が決定される。一般的には、仕様は、集積回路の条件を特定する。仕様は、多くの形式をとることができ、例えば、VHDL又はベリログ(Verilog)コードの形式で与えられるが、このVHDL又はベリログコードは、仕様に基づいていても、或いは他の形式に基づいていても構わない。ブロック113において、仕様は、レジスタ転送レベル(RTL)記述に翻訳される。本発明の一側面においては、仕様は、予め定義された時間の信号遅延を与える回路を含んでいる。また、図示はしていないが、仕様とRTL記述との間の一致性を保証するための検証プロセスが含まれていてもよい。
ブロック115において、RTL記述が、RTL記述を合成する合成ツールに与えられる。市場における様々な合成ツールが利用可能である。合成ツールは、半導体集積回路の論理ゲートレベルの実装を形成するために、スクリプト及び物理ゲート記述のライブラリを利用する。多くの場合、合成ツールは、スタンダードセル実装を使用し、各スタンダードセルは一般的には予め定められたサイズ及び異なる論理機能を実行する。各スタンダードセルは、一般的には、任意の製造プロセスに対してトランジスタレベルで特徴化されたゲートレベル回路で構成され、そのゲートレベル回路の特徴化により、電位が異なるどのスタンダードセルを使用すべきか、いくつ使用すべきか、及び実装設計の他の実装詳細を決定するに際して、合成ツールが、設計全体の動作の異なる側面を見積もることが可能となる。
合成操作を実行する際、合成ツールは一般的には、結果として得られるチップがタイミング条件を含む操作条件に適合した方式となるように、スタンダードセルを提供しようと試みるであろう。チップは、標準の製造プロセス変動の観点から異なる特徴を有しているであろうし、また異なる供給電圧又は温度等の異なる条件下で操作され得るため、合成ツールは、一般的には、プロセス、電圧及び温度変動の予想範囲を超えるタイミング条件に適合するチップのゲートレベル記述を与えようと試みるであろう。したがって、合成ツールは、静的タイミング解析特性をしばしば含むであろう(しかし静的タイミング解析は別個のツールにより実行されるかもしれないが)。図1は、静的タイミング解析特性が合成ツールによって与えられることを想定している。しかし他の実施形態においては、この特徴は、合成ツールを用いて繰り返して操作される可能性のある別個のツールとして与えられることができることを理解すべきである。
本発明の一側面においては、電圧変動の予想範囲における最も低い電圧値が増加され、電圧変動の予想範囲における最も高い電圧値が減少されるが、種々の実施形態においては、それらの1のみ又は他が行われても構わない。例えば、合成ツールは、電圧が一般的にレール・ツー・レール電圧で接続されるときに、低電圧で0.9ボルトから高電圧で1.2ボルトまでの範囲の公称供給電圧を予想し得る。本発明の一側面においては、例えば、合成ツールは、公称供給電圧が、低電圧では1.0ボルトから高電圧では1.1ボルトまでの範囲にあるという情報を持っていてもよい。本発明のある側面においては、合成ツールは、公称低電圧条件が、公称高電圧条件と同等又は実質的に同等であり、例えば双方が1.1ボルトであり得るという情報を持っていてもよい。代替的には、合成ツールは、公称供給電圧が低電圧で1.1ボルトから高電圧で1.2ボルトまでの範囲にあるという情報を持っていてもよいし、或いは、合成ツールが公称供給電圧が低電圧で0.9ボルトから高電圧で1.0ボルトまでの範囲にあるという情報を持っていてもよい。
多くの場合、合成ツールにより提供されるゲートレベルネットリストがRTL記述及び/又は仕様と一致(整合)することを保証するために、合成の後に検証が行われる。様々な検証ツールが利用可能であり、バイナリ・ディシジョン・ダイアグラム(BDD)ベースのツール、自動テストパターン生成(ATPG)ツール、或いは他のツール又はツールの組み合わせであっても構わない。
ブロック117において、ゲートレベル合成が配置配線ツールにより受け取られる。配置配線ツールは、半導体集積回路のセル配置及びセル間の信号配線を決定する。説明上、配置配線ツールは、ツールの配置配線特徴と共に繰り返し操作され得る静的及び動的タイミング分析特性を含むことを想定している。繰り返すが、配置配線ツールは低電圧から高電圧の範囲の公称供給電圧の情報を持っており、本発明の一側面においては、低電圧が低電圧として標準的に予想される値よりも高く特定されるか、高電圧が高電圧として標準的に予想される値よりも低く特定されるか、或いは、低電圧及び高電圧の一方又は他方がそのように特定される。
ある実施形態においては、プロセスは、設計を含むチップの製造を更に含む。ある実施形態においては、図1に示すように、配置配線ツールがセルを配置して設計基準を満たすことを想定して、プロセスがその後に戻される(リターン)。配置配線ツールがそのようなことができない場合には、プロセスが、設計を再合成して更に操作を行うための合成ブロックに戻される。
本発明の一側面において、図1のプロセスでは、適応電源電圧調整(アダプティブ・パワーサプライ・レギュレーション)用の回路が、半導体集積回路の特定要素として含まれる。ある実施形態においては、回路に適応電源電圧調整が備わっており、ある実施形態においては回路が適応電源電圧調整を提供する。
一実施形態においては、適応電源電圧調整用回路は、プロセス、電圧及び温度変動によって変化する予め定められた公称信号遅延を有するオンチップ(チップ上の)回路を備える。回路は、例えば、リング発振器として、数多くのバッファ及び/又はゲートで形成される(タップされた又はアンタップされた)遅延線として、或いは他の回路要素を用いた、多くの異なる方法によって実装され得る。電圧レベルの調整により、回路及びオンチップ集積回路の残りに対して電力として供給するオフチップ回路により実行される他の実施形態では、好ましい動作制限内の遅れで操作することが可能となる。
図2に適応電源電圧調整用回路のブロック図の一例を提供する。図2の回路例は、レール電圧を半導体集積回路上の主回路に与える。しかしながら、種々の実施形態においては、適応電源電圧調整用回路は、レール電圧を設定する際に電源供給回路により使用される制御信号を提供してもよい。
図2の回路は、便宜上整合回路と呼ばれる回路211を備えており、例えば、リング発信器、タップされた遅延線、又は信号伝搬に遅延を生じさせる他の回路構造等を含む。遅延は一般に、プロセス変動、即ち集積回路の製造プロセスにおける変動、電圧変動、即ち回路に与えられる供給電圧変動、及び温度変動、即ち回路の動作温度変動に基づく。
整合回路に対して、クロック信号が与えられる。クロック信号は、オフチップ(チップ外)のクロックソース(クロック源)から供給され得る。しかしながら、多くの実施形態においては、クロック信号が、オフチップ(チップ外の)の低周波域クロックソースに基づく、PLL又はDLL等のオンチップ(チップ上の)要素によって生成される。整合回路は入力クロック信号を受け取り、入力クロック信号の遅延バージョンである出力信号を与える。整合回路により提供されるクロック信号の遅れの一部は、電力を整合回路に与える電源供給レールの電圧レベルによるものである。整合回路の出力は、位相検出器213によって受け取られ、位相検出器213はクロック信号をも受け取る。位相検出器は、整合回路により与えられた遅れを示す位相差で、整合回路の出力とクロック信号の間の位相差を決定する。位相検出器は、アップ(UP)及びダウン(DOWN)信号を電圧レギュレータ215に与える。アップ・ダウン信号に基づく電圧レギュレータは、電源電圧を増加させ、又は減少させる。電源電圧は、整合回路の遅れを減少させると予測されるような増大した電源電圧で、整合回路に与えられる。したがって、電圧レギュレータは、位相検出器の入力に基づいて、クロック信号に対して望ましい整合回路処理速度を持つように、電源レール電圧を駆動する。
電源電圧は主回路217にも提供される。主回路は、仕様に特定された回路構造を含み、例えば、図1のプロセスを用いることによって実装される。このため、主回路の動作速度は、印加する電源電圧の変化を通じて、プロセス変動及び温度変動を計算するように調整され得る。
設計において適応電源電圧調整回路を包含させることには多くの利益がある。そのような利益の1つとしては、例えば、スローコーナー電圧条件を増加させること及び/又はファストコーナー電圧条件を低減すること考慮に入れた設計プロセスの利用を通じて、より小さな集積回路を設計することができ、これはまた、一般的には回路に必要な電力を削減することを表す。更には、適応電源電圧調整回路は、より高電力なレベルでの回路動作期間を短くする。回路に必要とされる全体電力の削減及び高電力レベルでの動作期間の減縮の双方は、信頼性の増加及びチップの動作耐用年数の増加に繋がるであろう。更に、多くの場合において、配置配線操作により、タイミング仕様に適合する回路が得られ、設計の再合成を通して甚大な再作業をする必要を避けることができるため、設計クロージャを容易に達成することができる。
図3は、回路設計を改善するためのプロセスのフロー図を更に示すものである。ブロック311において、適応電源電圧調整回路が半導体集積回路のための仕様に含まれる。代替的には、適応電源電圧調整に用いられる回路と一致する電源電圧調整に用いられることが予想されるオフチップ回路(外部チップ回路)により、適応電源電圧調整用回路が含まれる。ブロック313において、合成ツールにより使用されるコーナー電圧条件が修正される。一般的には、合成ツールは、回路の「スロー(遅い)」動作を決定するための(一般的には適正なプロセス及び温度パラメータ条件下での)低電源電圧条件と、回路の「ファスト(速い)」動作条件を決定するための(繰り返すが、一般的には適正なプロセス及び温度パラメータ条件下での)高電源電圧条件とを、遅い条件及び速い条件の双方下での正確な回路動作目標とともに使用する。多くの実施形態において、スローコーナー電圧条件及びファストコーナー電圧条件が修正される。即ち一般的にはスローコーナー電圧はデフォルトよりも増大するか、そうでなければ予想されたスローコーナー電圧とされ、ファストコーナー電圧はデフォルトより小さくされるか、そうでなければ予想されたファストコーナー電圧とされる状態となるように修正される。そのような実施形態において、スローコーナー電圧及びファストコーナー電圧は、同一電圧又は実質に同一な電圧に設定される。しかしながら、ある実施形態においては、スローコーナー電圧条件のみが修正されるか、一方他の実施形態では、ファストコーナー電源条件のみが修正される。例えば、ある実施形態においては、合成ツールが、スローコーナーの回路速度を決定する場合に増大電圧が用いられる。
ブロック315において、合成ツールは、スローコーナー電圧条件を用いて回路を合成する。このスローコーナー電圧条件が標準よりも高いため、合成ツールは、使用するセル及びセルの特徴を決定する場合に、より小さなトランジスタを用いることができ、そうでなければセルの総数を減らすことができる。小さなトランジスタを使用し、セル総数の総数を少なくすることにより、省電力な半導体回路が提供される。
図4は、本発明の一側面に係る半導体集積回路を含むチップのセミブロック図である。半導体集積回路は、大抵よくあるように、チップの周辺付近に表されるI/Oリング411を含む。チップの内部付近には、論理処理ブロック413、415が配置される。集積回路のコーナー(角部)には、電源調整ブロック417が位置する。電源調整ブロックは、しばしばレールと呼ばれる電源線のパワーレベルを設定する。遅延線及び比較ブロック419は、遅延線の動作速度が、望ましい動作速度の範囲内にある回路動作速度を示しているかどうかを決定(判断)する。遅延線及び比較ブロックは、回路動作速度が遅すぎるために電源調整ブロックがパワーレベルを増加させる必要があるかどうか、及び回路動作速度が速すぎるため電源調整ブロックがパワーレベルを小さくする必要があるかどうか、又は適正な範囲内にあるかどうかを指し示す1以上の信号を電源調整ブロックに対して供給する。
図5は、本発明の一側面に係る操作の実行に利用されるコンピュータシステムのブロック図である。コンピュータシステムはプロセッサ502を備える。便宜上、単一のプロセッサが示されているが、複数のプロセッサをシステム内に用いてもよい。プロセッサはシステムバス503を介してメインメモリ504及びI/Oインターフェース制御ユニット506に動作可能に接続されている。I/Oインターフェース制御ユニットはI/Oローカルバス508を介して記憶装置コントローラ510に動作可能に接続されている。システムは、入力装置コントローラ520を介してI/Oローカルバスに接続されたユーザ入力装置522に更に接続されている。
プロセッサは、I/Oインターフェース制御ユニット、I/Oローカルバス及び入力装置コントローラを介して記憶装置512に接続されている。本明細書で説明した設計ツール等を実装したコンピュータプログラム命令513が、プロセッサがコンピュータプログラム命令を検索してこれらをメインメモリに格納するまで、記憶装置に格納される。プロセッサは、本明細書で説明したプロセスを実行するために、メインメモリ内に格納されたコンピュータプログラム命令を実行する。例えば、プロセッサは、ユーザから、例えばユーザ入力装置、又は追加的には記憶装置を介して設計情報を受け付け、その設計情報のプログラム命令に基づいて、例えば合成及び/又は配線操作等の操作を実行し、例えばネットリスト又は最終設計などの設計結果情報を、メモリ又は記憶装置に格納する。
データ処理システムは、ディスプレイコントローラ516を介してI/Oローカルバスに接続されたディスプレイ装置又はスクリーン518を更に備える。データ処理システムは、ディスプレイ情報、例えば設計情報や設計結果情報を表示させるために、ディスプレイコントローラ及びディスプレイ装置を使用する。
本発明は特定の実施形態を用いて説明したが、本発明はここに明示的に説明した以外により実行され得ることを認識すべきである。したがって、本発明は、特許請求の範囲及びこの開示によりサポートされる非本質的な変形例により考察されるであろう。
本発明は特定の実施形態を用いて説明したが、本発明はここに明示的に説明した以外により実行され得ることを認識すべきである。したがって、本発明は、特許請求の範囲及びこの開示によりサポートされる非本質的な変形例により考察されるであろう。
以下に、本願出願の当初の特許請求の範囲に記載された発明を付記する。
[C1]集積回路の電源線の動作特性に影響を及ぼすために使用される速度比較機能を提供する信号パスを含む、前記集積回路の条件を特定し、
自動ツールにより使用されるスローコーナー用の電圧を、標準よりも高いレベルに設定し、
前記高いレベルを使用して、前記自動ツールにより生成される回路設計が、前記集積回路のタイミング条件と適合し得るかどうかを決定すること
を含む集積回路の設計に用いられる方法。
[C2]前記自動ツールに使用されるファストコーナー用の電圧を、標準よりも低いレベルに設定し、
前記低いレベルを使用して、前記自動ツールにより生成される回路設計が、前記集積回路のタイミング条件と適合し得るかどうかを決定すること
を更に含むC1に記載の方法。
[C3]前記低いレベル及び前記高いレベルは、実質的に同じレベルであるC2に記載の方法。
[C4]前記低いレベル及び前記高いレベルが、異なるレベルであるC2に記載の方法。[C5]集積回路の電源線の動作特性に影響を及ぼすために使用される速度比較機能を提供する信号パスを含む、前記集積回路の条件を特定し、
自動ツールにより使用されるファストコーナー用の電圧を、標準よりも低いレベルに設定し、
前記低いレベルを使用して、前記自動ツールにより生成される回路設計が、前記集積回路のタイミング条件と適合し得るかどうかを決定すること
を含む集積回路の設計に用いられる方法。
[C6]動的電圧制御を含む集積回路の条件を特定し、
前記条件をレジスタ転送レベル(RTL)記述に翻訳し、
前記RTL記述を合成することであって、スローコーナーを特徴化するために標準電圧よりも高い電圧を使用することを含むこと
を含む集積回路の設計方法。
[C7]前記RTL記述を合成することが、
ファストコーナーを特徴化するために標準電圧よりも低い電圧を使用することを更に含むC6に記載の方法。
[C8]前記スローコーナーを特徴化するための標準電圧よりも高い電圧と、前記ファストコーナーを特徴化するための標準電圧よりも低い電圧とが、実質的に同一電圧であるC7に記載の方法。
[C9]前記スローコーナーを特徴化するための標準電圧よりも高い電圧と、前記ファストコーナーを特徴化するための標準電圧よりも低い電圧とが、異なる電圧であるC7に記載の方法。
[C10]前記RTL記述を合成することにより提供されるゲートレベル表現に対して配置配線操作を実行し、前記標準電圧よりも高い電圧を用いて、前記配置配線操作により提供された回路表現がタイミング条件に適合するか否かを決定することを更に含むC6に記載の方法。
[C11]前記RTL記述を合成することにより提供されるゲートレベル表現に対して配置配線操作を実行し、前記標準電圧よりも高い電圧及び前記標準電圧よりも低い電圧を用いて、前記配置配線操作がタイミング条件に適合するか否かを決定することを更に含むC7に記載の方法。
[C12]回路の転送レジスタレベル(RTL)記述を受け取り、
前記RTL記述と一致する機能を実装するために、スタンダードセルライブラリの中から、前記RTL記述により表されたタイミング条件の一部に基づくセルを選択することにより、前記RTL記述を合成し、
前記選択されたセルが、スローコーナー及びファストコーナーの双方に対する前記RTL記述により表されるタイミング条件に適合するか否かを決定することであって、
前記スローコーナーは、スロープロセスパラメータ、スロー電圧パラメータ及びスロー温度パラメータの少なくとも一部により決定され、
前記ファストコーナーは、ファストプロセスパラメータ、ファスト電圧パラメータ及びファスト温度パラメータの少なくとも一部により決定され、
前記スロー電圧パラメータが前記ファスト電圧パラメータと近似することを含む集積回路の設計方法。
[C13]適応電圧調整用回路を含む半導体集積回路であって、前記半導体集積回路は、スローコーナーのタイミング分析を実行する場合に、標準電圧よりも高い電圧を使用するプロセスにより選択されたスタンダードセル内のゲートを含む半導体集積回路。
[C14]前記プロセスが、ファストコーナーのタイミング分析を実行する場合に標準電圧よりも低い電圧を使用するC13に記載の半導体集積回路。
[C15]前記適応電圧調整用回路が、適応電源電圧調整回路を含むC13に記載の半導体集積回路。

Claims (15)

  1. 集積回路の電源線の動作特性に影響を及ぼすために使用される速度比較機能を提供する信号パスを含む、前記集積回路の条件を特定し、
    自動ツールにより使用されるスローコーナー用の電圧を、標準よりも高いレベルに設定し、
    前記高いレベルを使用して、前記自動ツールにより生成される回路設計が、前記集積回路のタイミング条件と適合し得るかどうかを決定すること
    を含む集積回路の設計に用いられる方法。
  2. 前記自動ツールに使用されるファストコーナー用の電圧を、標準よりも低いレベルに設定し、
    前記低いレベルを使用して、前記自動ツールにより生成される回路設計が、前記集積回路のタイミング条件と適合し得るかどうかを決定すること
    を更に含む請求項1に記載の方法。
  3. 前記低いレベル及び前記高いレベルは、実質的に同じレベルである請求項2に記載の方法。
  4. 前記低いレベル及び前記高いレベルが、異なるレベルである請求項2に記載の方法。
  5. 集積回路の電源線の動作特性に影響を及ぼすために使用される速度比較機能を提供する信号パスを含む、前記集積回路の条件を特定し、
    自動ツールにより使用されるファストコーナー用の電圧を、標準よりも低いレベルに設定し、
    前記低いレベルを使用して、前記自動ツールにより生成される回路設計が、前記集積回路のタイミング条件と適合し得るかどうかを決定すること
    を含む集積回路の設計に用いられる方法。
  6. 動的電圧制御を含む集積回路の条件を特定し、
    前記条件をレジスタ転送レベル(RTL)記述に翻訳し、
    前記RTL記述を合成することであって、スローコーナーを特徴化するために標準電圧よりも高い電圧を使用することを含むこと
    を含む集積回路の設計方法。
  7. 前記RTL記述を合成することが、
    ファストコーナーを特徴化するために標準電圧よりも低い電圧を使用することを更に含む請求項6に記載の方法。
  8. 前記スローコーナーを特徴化するための標準電圧よりも高い電圧と、前記ファストコーナーを特徴化するための標準電圧よりも低い電圧とが、実質的に同一電圧である請求項7に記載の方法。
  9. 前記スローコーナーを特徴化するための標準電圧よりも高い電圧と、前記ファストコーナーを特徴化するための標準電圧よりも低い電圧とが、異なる電圧である請求項7に記載の方法。
  10. 前記RTL記述を合成することにより提供されるゲートレベル表現に対して配置配線操作を実行し、前記標準電圧よりも高い電圧を用いて、前記配置配線操作により提供された回路表現がタイミング条件に適合するか否かを決定することを更に含む請求項6に記載の方法。
  11. 前記RTL記述を合成することにより提供されるゲートレベル表現に対して配置配線操作を実行し、前記標準電圧よりも高い電圧及び前記標準電圧よりも低い電圧を用いて、前記配置配線操作がタイミング条件に適合するか否かを決定することを更に含む請求項7に記載の方法。
  12. 回路の転送レジスタレベル(RTL)記述を受け取り、
    前記RTL記述と一致する機能を実装するために、スタンダードセルライブラリの中から、前記RTL記述により表されたタイミング条件の一部に基づくセルを選択することにより、前記RTL記述を合成し、
    前記選択されたセルが、スローコーナー及びファストコーナーの双方に対する前記RTL記述により表されるタイミング条件に適合するか否かを決定することであって、
    前記スローコーナーは、スロープロセスパラメータ、スロー電圧パラメータ及びスロー温度パラメータの少なくとも一部により決定され、
    前記ファストコーナーは、ファストプロセスパラメータ、ファスト電圧パラメータ及びファスト温度パラメータの少なくとも一部により決定され、
    前記スロー電圧パラメータが前記ファスト電圧パラメータと近似することを含む集積回路の設計方法。
  13. 適応電圧調整用回路を含む半導体集積回路であって、前記半導体集積回路は、スローコーナーのタイミング分析を実行する場合に、標準電圧よりも高い電圧を使用するプロセスにより選択されたスタンダードセル内のゲートを含む半導体集積回路。
  14. 前記プロセスが、ファストコーナーのタイミング分析を実行する場合に標準電圧よりも低い電圧を使用する請求項13に記載の半導体集積回路。
  15. 前記適応電圧調整用回路が、適応電源電圧調整回路を含む請求項13に記載の半導体集積回路。
JP2012265258A 2007-09-13 2012-12-04 省面積及び省電力のスタンダードセル方法 Withdrawn JP2013102443A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/855,077 2007-09-13
US11/855,077 US7802216B2 (en) 2007-09-13 2007-09-13 Area and power saving standard cell methodology

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2010524938A Division JP2010539597A (ja) 2007-09-13 2008-09-08 省面積及び省電力のスタンダードセル方法

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2015080182A Division JP2015173270A (ja) 2007-09-13 2015-04-09 省面積及び省電力のスタンダードセル方法

Publications (1)

Publication Number Publication Date
JP2013102443A true JP2013102443A (ja) 2013-05-23

Family

ID=40452420

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2010524938A Withdrawn JP2010539597A (ja) 2007-09-13 2008-09-08 省面積及び省電力のスタンダードセル方法
JP2012265258A Withdrawn JP2013102443A (ja) 2007-09-13 2012-12-04 省面積及び省電力のスタンダードセル方法
JP2015080182A Pending JP2015173270A (ja) 2007-09-13 2015-04-09 省面積及び省電力のスタンダードセル方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2010524938A Withdrawn JP2010539597A (ja) 2007-09-13 2008-09-08 省面積及び省電力のスタンダードセル方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2015080182A Pending JP2015173270A (ja) 2007-09-13 2015-04-09 省面積及び省電力のスタンダードセル方法

Country Status (5)

Country Link
US (3) US7802216B2 (ja)
JP (3) JP2010539597A (ja)
CN (2) CN103425839B (ja)
TW (1) TWI394058B (ja)
WO (1) WO2009035950A1 (ja)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7802216B2 (en) * 2007-09-13 2010-09-21 Rapid Bridge Llc Area and power saving standard cell methodology
US8516185B2 (en) * 2009-07-16 2013-08-20 Netlist, Inc. System and method utilizing distributed byte-wise buffers on a memory module
US8181144B2 (en) * 2008-10-14 2012-05-15 Lsi Corporation Circuit timing analysis incorporating the effects of temperature inversion
JP5529555B2 (ja) * 2010-01-20 2014-06-25 ルネサスエレクトロニクス株式会社 半導体集積回路、動作電圧制御方法
EP2469597A3 (en) 2010-12-23 2016-06-29 Commissariat à l'Énergie Atomique et aux Énergies Alternatives Multi-level integrated circuit, device and method for modeling multi-level integrated circuits
US9317647B2 (en) 2014-03-31 2016-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method of designing a circuit and system for implementing the method
US20150355660A1 (en) 2014-06-06 2015-12-10 Qualcomm Incorporated Enhanced core power reduction
US9977477B2 (en) * 2014-09-26 2018-05-22 Intel Corporation Adapting operating parameters of an input/output (IO) interface circuit of a processor
WO2016197149A1 (en) 2015-06-05 2016-12-08 Taner Dosluoglu Integrated system of pdn implementation and digital co-synthesis
US10169502B2 (en) 2016-08-23 2019-01-01 International Business Machines Corporation Addressing of process and voltage points
CN107784136B (zh) * 2016-08-24 2021-02-12 中国科学院微电子研究所 一种标准单元库的创建方法及系统

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000295084A (ja) * 1999-01-20 2000-10-20 Sony Corp 半導体装置およびその構成方法
JP2003060052A (ja) * 2001-08-10 2003-02-28 Sony Corp 半導体装置
JP2004303882A (ja) * 2003-03-31 2004-10-28 Sony Corp 半導体装置

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5021684A (en) * 1989-11-09 1991-06-04 Intel Corporation Process, supply, temperature compensating CMOS output buffer
JP3267756B2 (ja) * 1993-07-02 2002-03-25 株式会社日立製作所 半導体集積回路装置
US5625803A (en) * 1994-12-14 1997-04-29 Vlsi Technology, Inc. Slew rate based power usage simulation and method
JPH08339235A (ja) 1995-06-14 1996-12-24 Hitachi Ltd 半導体集積回路
KR100281907B1 (ko) * 1998-10-29 2001-02-15 김덕중 인텔리전트 전력 집적 회로 및 이를 제조하는 방법
JP2000195960A (ja) * 1998-12-25 2000-07-14 Matsushita Electric Ind Co Ltd 半導体集積回路の遅延計算装置及びその方法並びにタイミング検証装置及びその方法
JP4028140B2 (ja) * 1999-07-14 2007-12-26 松下電器産業株式会社 不要輻射解析方法
DE19963813A1 (de) * 1999-12-30 2001-07-19 Infineon Technologies Ag Schaltungsanordnung zur Regelung des Leistungsverbrauchs eines integrierten Schaltkreises
JP2002100967A (ja) * 2000-03-17 2002-04-05 Sony Corp 電源電圧制御装置、半導体装置およびその駆動方法
JP2002123501A (ja) * 2000-10-17 2002-04-26 Mitsubishi Electric Corp 半導体集積回路
US6857110B1 (en) * 2001-01-30 2005-02-15 Stretch, Inc. Design methodology for merging programmable logic into a custom IC
US6944780B1 (en) * 2002-01-19 2005-09-13 National Semiconductor Corporation Adaptive voltage scaling clock generator for use in a digital processing component and method of operating the same
JP4108418B2 (ja) * 2002-09-10 2008-06-25 松下電器産業株式会社 半導体集積回路の設計方法
US7149903B1 (en) * 2002-12-18 2006-12-12 National Semiconductor Corporation System and method for signal delay in an adaptive voltage scaling slack detector
TWI271031B (en) * 2002-12-20 2007-01-11 Minghao Mary Zhang Designs of integrated circuits for high-speed signals and methods therefor
US7024649B2 (en) * 2003-02-14 2006-04-04 Iwatt Multi-output power supply design system
JP4471582B2 (ja) * 2003-04-21 2010-06-02 株式会社ルネサステクノロジ 半導体集積回路及び回路設計装置
JP2004327864A (ja) * 2003-04-25 2004-11-18 Matsushita Electric Ind Co Ltd 半導体集積回路の低消費電力化設計方法
US6944843B2 (en) 2003-08-05 2005-09-13 Bae Systems, Information And Electronic Systems Integration, Inc. Method for providing a cell-based ASIC device with multiple power supply voltages
US7111266B2 (en) * 2003-11-24 2006-09-19 International Business Machines Corp. Multiple voltage integrated circuit and design method therefor
US7178126B2 (en) * 2004-01-21 2007-02-13 Oki Electric Industry Co., Ltd. Method of protecting a semiconductor integrated circuit from plasma damage
JP2005275783A (ja) * 2004-03-24 2005-10-06 Matsushita Electric Ind Co Ltd 半導体集積回路のタイミング解析方法
TWI262411B (en) * 2004-05-07 2006-09-21 Dorado Design Automation Inc Integrated circuit design system
JP4905354B2 (ja) * 2005-09-20 2012-03-28 富士通株式会社 電源電圧調整装置
US7627839B1 (en) * 2005-11-14 2009-12-01 National Semiconductor Corporation Process corner indicator and estimation circuit
JP4351207B2 (ja) * 2005-11-16 2009-10-28 富士通マイクロエレクトロニクス株式会社 タイミング検証方法及びタイミング検証装置
JP4736822B2 (ja) * 2006-01-25 2011-07-27 日本電気株式会社 半導体集積回路の設計支援装置、設計支援方法および設計支援プログラム
JP2007226664A (ja) * 2006-02-24 2007-09-06 Matsushita Electric Ind Co Ltd 半導体集積回路の論理合成方法
JP4629607B2 (ja) * 2006-03-31 2011-02-09 富士通セミコンダクター株式会社 半導体集積回路のタイミング検証方法及びタイミング検証装置
US7610571B2 (en) * 2006-04-14 2009-10-27 Cadence Design Systems, Inc. Method and system for simulating state retention of an RTL design
US7716023B2 (en) * 2007-02-13 2010-05-11 Oracle America, Inc. Multidimensional process corner derivation using surrogate based simultaneous yield analysis
US7739626B2 (en) * 2007-04-20 2010-06-15 Iwatt Inc. Method and apparatus for small die low power system-on-chip design with intelligent power supply chip
US7802216B2 (en) 2007-09-13 2010-09-21 Rapid Bridge Llc Area and power saving standard cell methodology
JP4422179B2 (ja) * 2007-10-19 2010-02-24 株式会社半導体理工学研究センター 半導体集積回路のタイミング解析装置及び方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000295084A (ja) * 1999-01-20 2000-10-20 Sony Corp 半導体装置およびその構成方法
JP2003060052A (ja) * 2001-08-10 2003-02-28 Sony Corp 半導体装置
JP2004303882A (ja) * 2003-03-31 2004-10-28 Sony Corp 半導体装置

Also Published As

Publication number Publication date
US20100188060A1 (en) 2010-07-29
TW200933424A (en) 2009-08-01
US20090077514A1 (en) 2009-03-19
US8893063B2 (en) 2014-11-18
JP2015173270A (ja) 2015-10-01
CN103425839B (zh) 2018-01-30
JP2010539597A (ja) 2010-12-16
US8423930B2 (en) 2013-04-16
CN101821740B (zh) 2013-09-18
TWI394058B (zh) 2013-04-21
US20130214380A1 (en) 2013-08-22
WO2009035950A1 (en) 2009-03-19
CN101821740A (zh) 2010-09-01
US7802216B2 (en) 2010-09-21
CN103425839A (zh) 2013-12-04

Similar Documents

Publication Publication Date Title
JP2015173270A (ja) 省面積及び省電力のスタンダードセル方法
US8539424B2 (en) System and method for designing integrated circuits that employ adaptive voltage scaling optimization
US20140298277A1 (en) Methods for designing integrated circuits employing voltage scaling and integrated circuits designed thereby
JP5586780B2 (ja) デバイスの動作電圧の仕様構成
JP2013145550A (ja) システムオンチップの設計方法、設計システム、及びシステムオンチップ
US8008967B2 (en) Power supply voltage adjusting device
US7007262B2 (en) High level synthesis method and apparatus
US7644385B1 (en) Programmable logic device with performance variation compensation
Chakraborty et al. Dynamic thermal clock skew compensation using tunable delay buffers
US7551002B1 (en) Method and apparatus for implementing balanced clock distribution networks on ASICs with voltage islands functioning at multiple operating points of voltage and temperature
US11017138B2 (en) Timing analysis for parallel multi-state driver circuits
JPH1092942A (ja) 半導体集積回路の最適化装置とその最適化方法
US7683659B1 (en) Integrated circuits with jitter-reducing balancing logic
JP2010277436A (ja) メモリ構造決定支援装置、メモリ構造決定プログラム及び記録媒体
US20090172627A1 (en) Design Structure for a Clock System for a Plurality of Functional Blocks
JP2003273234A (ja) 動作検証システム、及び適応制御システム
JP2009301505A (ja) 半導体集積回路の消費電力見積り装置、消費電力見積り方法及びプログラム
CN118103908A (zh) 存储器的动态设置时间和保持时间调节
TWI406147B (zh) 用於設計使用適應性電壓及比例最佳化之積體電路之系統及方法

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140212

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20140324

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20140327

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140714

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20141209

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150409

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20150417

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20150515

A761 Written withdrawal of application

Free format text: JAPANESE INTERMEDIATE CODE: A761

Effective date: 20160318