JP2011178988A - 感光性組成物 - Google Patents

感光性組成物 Download PDF

Info

Publication number
JP2011178988A
JP2011178988A JP2010289057A JP2010289057A JP2011178988A JP 2011178988 A JP2011178988 A JP 2011178988A JP 2010289057 A JP2010289057 A JP 2010289057A JP 2010289057 A JP2010289057 A JP 2010289057A JP 2011178988 A JP2011178988 A JP 2011178988A
Authority
JP
Japan
Prior art keywords
polymer
groups
group
photoresist composition
pag
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2010289057A
Other languages
English (en)
Other versions
JP5851688B2 (ja
Inventor
James W Thackeray
ジェームズ・ダブリュー.サッカレー
Emad Aqad
イマッド・アカド
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Rohm and Haas Electronic Materials LLC
Original Assignee
Rohm and Haas Electronic Materials LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Rohm and Haas Electronic Materials LLC filed Critical Rohm and Haas Electronic Materials LLC
Publication of JP2011178988A publication Critical patent/JP2011178988A/ja
Application granted granted Critical
Publication of JP5851688B2 publication Critical patent/JP5851688B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F20/00Homopolymers and copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride, ester, amide, imide or nitrile thereof
    • C08F20/02Monocarboxylic acids having less than ten carbon atoms, Derivatives thereof
    • C08F20/10Esters
    • C08F20/12Esters of monohydric alcohols or phenols
    • C08F20/16Esters of monohydric alcohols or phenols of phenols or of alcohols containing two or more carbon atoms
    • C08F20/18Esters of monohydric alcohols or phenols of phenols or of alcohols containing two or more carbon atoms with acrylic or methacrylic acids
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0045Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F20/00Homopolymers and copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride, ester, amide, imide or nitrile thereof
    • C08F20/02Monocarboxylic acids having less than ten carbon atoms, Derivatives thereof
    • C08F20/10Esters
    • C08F20/22Esters containing halogen
    • C08F20/24Esters containing halogen containing perhaloalkyl radicals
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F220/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
    • C08F220/02Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
    • C08F220/10Esters
    • C08F220/12Esters of monohydric alcohols or phenols
    • C08F220/16Esters of monohydric alcohols or phenols of phenols or of alcohols containing two or more carbon atoms
    • C08F220/18Esters of monohydric alcohols or phenols of phenols or of alcohols containing two or more carbon atoms with acrylic or methacrylic acids
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F220/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
    • C08F220/02Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
    • C08F220/10Esters
    • C08F220/12Esters of monohydric alcohols or phenols
    • C08F220/16Esters of monohydric alcohols or phenols of phenols or of alcohols containing two or more carbon atoms
    • C08F220/18Esters of monohydric alcohols or phenols of phenols or of alcohols containing two or more carbon atoms with acrylic or methacrylic acids
    • C08F220/1807C7-(meth)acrylate, e.g. heptyl (meth)acrylate or benzyl (meth)acrylate
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F220/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
    • C08F220/02Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
    • C08F220/10Esters
    • C08F220/12Esters of monohydric alcohols or phenols
    • C08F220/16Esters of monohydric alcohols or phenols of phenols or of alcohols containing two or more carbon atoms
    • C08F220/18Esters of monohydric alcohols or phenols of phenols or of alcohols containing two or more carbon atoms with acrylic or methacrylic acids
    • C08F220/1809C9-(meth)acrylate
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F220/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
    • C08F220/02Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
    • C08F220/10Esters
    • C08F220/12Esters of monohydric alcohols or phenols
    • C08F220/16Esters of monohydric alcohols or phenols of phenols or of alcohols containing two or more carbon atoms
    • C08F220/18Esters of monohydric alcohols or phenols of phenols or of alcohols containing two or more carbon atoms with acrylic or methacrylic acids
    • C08F220/1811C10or C11-(Meth)acrylate, e.g. isodecyl (meth)acrylate, isobornyl (meth)acrylate or 2-naphthyl (meth)acrylate
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F220/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
    • C08F220/02Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
    • C08F220/10Esters
    • C08F220/12Esters of monohydric alcohols or phenols
    • C08F220/16Esters of monohydric alcohols or phenols of phenols or of alcohols containing two or more carbon atoms
    • C08F220/18Esters of monohydric alcohols or phenols of phenols or of alcohols containing two or more carbon atoms with acrylic or methacrylic acids
    • C08F220/1818C13or longer chain (meth)acrylate, e.g. stearyl (meth)acrylate
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F220/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
    • C08F220/02Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
    • C08F220/10Esters
    • C08F220/22Esters containing halogen
    • C08F220/24Esters containing halogen containing perhaloalkyl radicals
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F220/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
    • C08F220/02Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
    • C08F220/10Esters
    • C08F220/38Esters containing sulfur
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F28/00Homopolymers and copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by a bond to sulfur or by a heterocyclic ring containing sulfur
    • C08F28/02Homopolymers and copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by a bond to sulfur or by a heterocyclic ring containing sulfur by a bond to sulfur
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08KUse of inorganic or non-macromolecular organic substances as compounding ingredients
    • C08K5/00Use of organic ingredients
    • C08K5/36Sulfur-, selenium-, or tellurium-containing compounds
    • C08K5/41Compounds containing sulfur bound to oxygen
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0046Photosensitive materials with perfluoro compounds, e.g. for dry lithography
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • G03F7/0397Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition the macromolecular compound having an alicyclic moiety in a side chain
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F220/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
    • C08F220/02Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
    • C08F220/10Esters
    • C08F220/22Esters containing halogen
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F220/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
    • C08F220/02Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
    • C08F220/10Esters
    • C08F220/38Esters containing sulfur
    • C08F220/382Esters containing sulfur and containing oxygen, e.g. 2-sulfoethyl (meth)acrylate
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S430/00Radiation imagery chemistry: process, composition, or product thereof
    • Y10S430/1053Imaging affecting physical property or radiation sensitive material, or producing nonplanar or printing surface - process, composition, or product: radiation sensitive composition or product or process of making binder containing
    • Y10S430/1055Radiation sensitive composition or product or process of making
    • Y10S430/106Binder containing
    • Y10S430/108Polyolefin or halogen containing
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S430/00Radiation imagery chemistry: process, composition, or product thereof
    • Y10S430/1053Imaging affecting physical property or radiation sensitive material, or producing nonplanar or printing surface - process, composition, or product: radiation sensitive composition or product or process of making binder containing
    • Y10S430/1055Radiation sensitive composition or product or process of making
    • Y10S430/106Binder containing
    • Y10S430/111Polymer of unsaturated acid or ester
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S430/00Radiation imagery chemistry: process, composition, or product thereof
    • Y10S430/1053Imaging affecting physical property or radiation sensitive material, or producing nonplanar or printing surface - process, composition, or product: radiation sensitive composition or product or process of making binder containing
    • Y10S430/1055Radiation sensitive composition or product or process of making
    • Y10S430/114Initiator containing
    • Y10S430/122Sulfur compound containing

Landscapes

  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Medicinal Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Organic Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • General Physics & Mathematics (AREA)
  • Materials For Photolithography (AREA)
  • Addition Polymer Or Copolymer, Post-Treatments, Or Chemical Modifications (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

【課題】フォトリソグラフィプロセスにおいて使用されうる感放射線性ポリマーおよび組成物が提供される。このポリマーおよび組成物は活性化放射線に対して向上した感受性を提供する。
【解決手段】第1の形態に従って、ポリマーが提供される。ポリマーはポリマー骨格およびポリマー骨格に共有結合したモノマー系光酸発生剤を含む。光酸発生剤は、重合性スルホナートアニオンを有するスルホニウム塩またはヨードニウム塩の1種以上に由来する。
【選択図】なし

Description

本出願は2009年12月31日に出願された米国仮出願第61/335,169号についての、35U.S.C.119(e)の下での優先権の利益を主張し、この仮出願の全内容は参照により本明細書に組み込まれる。
本発明は、感光性組成物およびその使用方法に関する。より具体的には、本発明は、化学線、特に、深紫外線(DUV−248nm)、193nmおよび極紫外線(EUV−13.4nm)のような300nm未満の波長、並びに、電子線(e−ビーム)放射線への露光でのフォトスピード(photospeed)を増大させるための分子構造を組み込むポジ型化学増幅フォトレジスト組成物に関する。本感光性組成物には、フォトリソグラフィプロセスにおいて有用な、例えば、半導体製造において、特別な適用性が見いだされる。
フォトレジストは基体に像を移すために使用される感光膜である。フォトレジストの層が基体上に形成され、次いで、フォトレジスト層は、フォトマスクを通して活性化放射線源に露光される。フォトマスクは活性化放射線に対して不透明な領域および活性化放射線に対して透明な他の領域を有する。活性化放射線への露光は、フォトレジスト塗膜の光誘起化学変換をもたらし、それによって、フォトマスクのパターンをフォトレジストでコーティングされた基体に移す。露光に続いて、フォトレジストは現像されて、基体の選択的な処理を可能にするレリーフ像を提供する。
次世代リソグラフィ(液浸リソグラフィ、ダブルパターニング、EUVおよびe−ビーム)についてのエントリーノードがより小さなノードに向かって進められる場合に、レジストおよびその関連する問題は、半導体マイクロ製造技術開発において常により重要な要素になってきている。レイリーの式を使用すると、0.25の開口数(NA)、0.5のk、および13.4nmの波長を使用するEUVリソグラフィについての最小ハーフピッチ解像度は26.8nmハーフピッチであろう。EUVリソグラフィにおける進展は、化学増幅レジストがサブ30nmフィーチャーの解像度について優れた可能性を有することを確かにした。このレジストは、優れたフォトスピード、ラインエッジラフネス(LER)および解像度のすべてを単一レジストシステムにおいて示す。レジスト感度は、特に、EUV技術の実行についての主たる障害のままである。
許容可能な解像度、感度、およびLERを同時に達成する能力は、EUVリソグラフィの商業化に対する最高リスクの潜在的な障害物であると決定されてきた。解像度およびLER要求は193nm液浸リソグラフィーにも当てはまるが、EUV源から得られうる限定された出力のせいで、感度の課題はEUVリソグラフィには特に重要である。解像度、感度およびLER特性は緊密に結びついている。例えば、感度向上に望まれる触媒鎖長の増大は、典型的には、解像度を不鮮明にする。酸拡散は、当初酸分布の粗さを滑らかにするのに必要とされるが、過剰な拡散は一般的にLERの増大をもたらす。さらに、露光線量の増大または塩基クエンチャーの添加は、典型的には、LERの抑制をもたらす一方で、同時に、感度の低下をもたらす。このようなシナリオにおいては、高感度のEUVレジストの探索は極端に困難である。
化学増幅フォトレジストは典型的には、DUVおよびより短い波長についてのリソグラフィプロセスに使用されて、より短い波長でのより大きな吸収のせいで、露光エネルギーに対する感度を増大させる。化学増幅ポジ型レジストは典型的には、保護ポリマーと光酸発生剤(PAG)との混合物である。増幅プロセスは露光中のPAGからの光誘起酸発生で始まる。この後に、ポリマー上の酸不安定保護基の酸触媒切断のカスケードが、レジストポリマーの露光部分を現像剤溶液に可溶性にする。
このようなブレンドされたPAG組成物の欠点には、低いPAG溶解度に起因する低い最大PAG添加量、PAG凝集および析出とそれによる不均一なレジスト膜、並びに光酸拡散がもたらす不鮮明化および臨界寸法制御の喪失が挙げられる。これらの制限は、所望の高解像度、高感度および低LERの所望のパターニングフィーチャを達成することとのトレードオフをもたらす。これらの問題を軽減させるために、ポリマー主鎖へのイオン性および非イオン性PAGの組み込みが検討されてきた。このような構造は、ブレンドされたPAGレジストと比べて、ガス放出を低減させ、並びにLERをより低くするために重要であると考えられてきた。注目すべきは、モノマー系PAGの合成アプローチの数および種類は、かなり限定されており、そして合理的な規模でのその生産は困難である。
高エネルギーのEUVおよびe−ビーム放射線は、典型的なフォトレジストのイオン化ポテンシャルを超える。この点に関して、EUV放射線について92.5eV、e−ビーム放射線について92.5eV超のエネルギーと比較して、レジスト物質は典型的には、約10eVのイオン化ポテンシャルを有する。酸発生メカニズムが、PAGの直接励起から、レジスト材料のイオン化ポテンシャルを超えるベースポリマーの光誘起イオン化メカニズムに変わることが提案されてきた。このイオン化メカニズムにおいて、酸のプロトンは、ベースポリマーの脱プロトン化を通じて発生させられる。よって、酸発生効率はポリマー構造に高度に依存する(Proc.of SPIE第6923巻、692319、(2008)およびJ.Vac.Sci.Technol.B22(6)、第3489−3492(11月/12月2004)を参照)。
ベースポリマーとPAGとのブレンドを含む現在利用可能なフォトレジストは多くの用途に適している。しかし、それらは有意な欠点を、特に、高解像サブハーフミクロンおよびサブクオーターミクロンフィーチャーの形成のような高性能用途において示す場合がある。ブレンドシステムの代替物として、PAG成分をレジスト樹脂に共有結合させる努力がなされてきた(米国特許第7,049,044号を参照)が、ポリマー結合PAGシステムの低い光感度が報告されてきた(米国特許出願公開第2007/0117043A1号;米国特許出願公開第2008/0206671A1号;および米国特許出願公開第2008/0102407A1号を参照)。既知のポリマー結合PAGは、ポリマー−PAGブレンドに基づく化学増幅レジストシステムにおける相分離および不均一酸分布に関連する問題を最小限にすることができるが、それらはDUV、EUVおよびe−ビームリソグラフィ用途に対して比較的低い感度を示す。
米国特許第7,049,044号明細書 米国特許出願公開第2007/0117043A1号明細書 米国特許出願公開第2008/0206671A1号明細書 米国特許出願公開第2008/0102407A1号明細書
Proc.of SPIE第6923巻、692319、(2008) J.Vac.Sci.Technol.B22(6)、第3489−3492(11月/12月2004)
最新技術に関連する1以上の問題に取り組む努力において、本発明は高感度で高解像度の感光性組成物に有用な結合PAGを有するポリマーを提供する。本組成物には、300nm未満、例えば、248nm、193nmおよび13.4nmの波長を有する活性化放射線、並びに電子線放射線に対する特別な適用性が見いだされる。
第1の形態に従って、ポリマーが提供される。ポリマーはポリマー骨格およびポリマー骨格に共有結合したモノマー系光酸発生剤を含む。前記モノマー系光酸発生剤は、下記式(1a)または式(1b)でそれぞれ表される重合性スルホナートアニオンを有するスルホニウム塩またはヨードニウム塩の1種以上に由来する:
Figure 2011178988
式中、Yは水素、メチル、フッ素化アルキルもしくはフッ素であり;R、R、R、RおよびRは独立して置換および非置換アリールから選択され;並びに、Zは直鎖状もしくは分岐ペルフルオロアルキル鎖または置換芳香族基である。
さらなる形態においては、Zは、末端CF、C(F)(CF)またはC(CFを含む直鎖状または分岐フッ素化アルキル鎖を介してスルホナートアニオンに共有結合されている。さらなる形態においては、ポリマーは、光酸不安定(photoacid−labile)基を含む繰り返し単位をさらに含む。さらなる形態においては、ポリマーは、メタクリル酸2−メチルアダマンタニル、アクリル酸2−メチルアダマンタニル、アクリル酸ヒドロキシアダマンチル、メタクリル酸ヒドロキシアダマンチル、無水マレイン酸、ノルボルネン、3,4−ジヒドロピラン、場合によって置換されたフェニルおよび場合によって置換されたナフチルの1種以上から選択される重合単位をさらに含む。
本発明のさらなる形態に従って、ポジ型フォトレジスト組成物が提供される。このフォトレジストは、上述のポリマーを含む。さらなる形態においては、ポリマー骨格に共有結合しているモノマー系光酸発生剤を含まないポリマー骨格を含む第2のポリマーをこのレジスト組成物がさらに含む。さらなる形態においては、第2のポリマーは光酸不安定基をさらに含む。
本発明のさらなる形態に従って、コーティングされた基体が提供される。コーティングされた基体は、(a)パターン形成されるべき1以上の層を基体表面上に有する基体;並びに(b)パターン形成されるべき1以上の層上の、上述のポジ型フォトレジスト組成物の層;を含む。
本発明のさらなる形態に従って、電子デバイスを形成する方法が提供される。本方法は、(a)上述のポジ型フォトレジスト組成物の層を基体上に適用し;(b)フォトレジスト組成物層を活性化放射線にパターン状に露光し;並びに(c)露光されたフォトレジスト組成物層を現像して、レジストレリーフ像を提供する;ことを含む。さらなる形態においては、活性化放射線は、300nm未満、例えば、248nm、193nmもしくは13.4nmの波長を有するか、または電子線放射線であることができる。
さらなる形態に従って、本発明は、10mJ/cm未満の露光線量(Esize)で各ラインが本質的に垂直な側壁(頂部および底部で、1:1解像度)および32nm以下のライン幅を有するラインのパターンのような、高解像度レリーフ像の形成を可能にする。
さらなる形態においては、本発明に従って、ポリマーおよび組成物は、放射線に、例えば、300nm未満、例えば、248nm、193nmおよび13.4nmの活性化放射線、並びに電子線放射線で露光される場合に優れたフォトスピードを提供する。
さらなる形態においては、樹脂構造は、光子の高い断面吸収率を有し、そして光酸化を介して容易に二次電子を生じさせることができる。さらなる形態においては、本発明に従った樹脂構造は、酸拡散を効果的に制御することができ、かつ均一な酸分布および熱処理中の移動を提供することができる。
本明細書において使用される場合、略語「mL」はミリリットルを意味し;「g」はグラムを意味し;「mmol」はミリモルを意味し;「mol」はモルを意味し;「v/v」は体積対体積を意味し;「重量%」は重量パーセントを意味し;「体積%」は体積パーセントを意味し;並びに「モル%」はモルパーセントを意味する
本発明に従うポリマーはポリマー骨格に共有結合した光酸発生剤を含む。ポリマー骨格へのPAGの共有結合はレジストの成分の適合性を増大させ、かつ高温での光酸拡散を低減させる。ポリマーへのPAGの共有結合は、例えば、ポリマー合成中のアクリラート、メタクリラートおよび/またはビニルモノマー系PAGの使用によって達成されうる。
組成物において有用なポリマーは様々な繰り返し単位を含むことができる。好適なポリマーは1種、2種、またはそれより多い種類の異なる繰り返し単位、例えば、2種、3種、4種、5種またはそれより多い種類の繰り返し単位を含むことができる。
本発明のポリマーを形成するための典型的な重合試薬には、無水マレイン酸のような酸無水物;ブチロラクトンのようなラクトン;テトラフルオロエチレンのようなフッ素化オレフィン;場合によって置換されたノルボルネンもしくは他の環式オレフィンのような炭素脂環式物質群;場合によって置換されたジヒドロピランのようなヘテロ脂環式物質;およびメタクリル酸2−メチルアダマンタニルまたはアクリル酸2−メチルアダマンタニルのようなアクリラートが挙げられる。本明細書において使用される場合、用語アクリラートはメタクリラートのような置換アクリラートを含む。この樹脂は、例えば、1)形成されるポリマーに光酸不安定基を提供できるようなアクリラート化合物(例えば、アクリル酸t−ブチル;メタクリル酸t−ブチル;およびアクリル酸アダマンチル);2)無水マレイン酸のような無水物;並びに、3)不飽和炭素脂環式化合物、例えば、場合によって置換されたノルボルネンおよび/または不飽和ヘテロ脂環式化合物、例えば、場合によって置換されたジヒドロピラン;の1種以上を含む重合試薬(例えば、不飽和モノマー)を反応させることにより提供される繰り返し単位を含むことができる。
ポリマーは、置換アダマンチルもしくは置換シクロペンチル;酸により切断可能な芳香族基、例えば、置換2−フェニル−2−プロピル、2−(2−ナフチル)−2−プロイル、ナフチル、ヒドロキシナフチル、フェノールもしくはアントラシル;ラクトン、例えば、ガンマブチロラクトン;のような光酸不安定部分を含む1以上の単位を好適に含むことができる。他の光酸不安定基は、アクリラートエステル、例えば、アクリル酸t−ブチルもしくはエチルビニルエーテルとヒドロキシ基との反応によって形成されるアセタールの重合によって提供されうる。
光酸不安定基は1以上の単位の置換基、例えば、重合されたビニル脂環式エーテル、ビニル脂環式チオエーテルもしくは炭素脂環式基の置換基であってよい。さらに典型的な形態においては、光酸不安定部分は付加ポリマー単位として、例えば、重合されたアクリル酸アルキルもしくはメタクリル酸アルキル、特にアクリル酸メチルアダマンチルもしくはメタクリル酸メチルアダマンチルのような脂環式部分を有するアクリラートとして存在することができる。
典型的な脂環式光酸不安定部分には、二以上の縮合もしくは橋かけ環を有するターシャリーエステル脂環式炭化水素基が挙げられる。典型的なターシャリーエステル基には、場合によって置換されたアダマンチル、特に、メチルアダマンチル;場合によって置換されたフェンシル(fencyl)基、特にエチルフェンシル;場合によって置換されたピナニル;および場合によって置換されたトリシクロデカニル、特にアルキル置換トリシクロデカニル、例えば、8−エチル−8−トリシクロデカニル、例えば、アクリル酸8−エチル−8−トリシクロデカニルおよびメタクリル酸8−エチル−8−トリシクロデカニルの重合により提供されるものが挙げられる。他の好適な脂環式エステル基には、例えば、二環式、三環式、および他の多環式部分が挙げられる。
他の好適な光酸不安定基には、例えば、ヘテロ脂環式または炭素脂環式環メンバーの置換基が挙げられる。光酸不安定部分は、ヘテロ脂環式基を含む繰り返し単位とは別のポリマー繰り返し単位であることができる。典型的には、この別の単位は光酸不安定エステル基を含むアクリラートもしくはメタクリラートであることができる。光酸不安定基はアセタール基、例えば、ビニルエーテルとポリマー繰り返し単位のヒドロキシ置換基との反応により提供されるようなものであることができる。
他の好適な光酸不安定基は芳香族エステル基、特に、ターシャリー芳香族炭化水素エステル部分を含むエステルである。典型的なターシャリー芳香族炭化水素エステル部分は芳香族炭化水素、例えば、アリール、ナフチル、メトキシフェニル、トリメトキシフェニルおよびメトキシナフチルである。
典型的には、エステル酸素に結合したターシャリー炭素に基づく酸不安定基は、脂環式環自体、および/または、1〜12個の炭素、より典型的には1〜8個の炭素、およびより典型的には1、2、3もしくは4個の炭素を有する1、2もしくは3つのアルキル基で置換されることができる。脂環式基は単環式または多環式、特に、二環式もしくは三環式でありうる。
典型的には、本発明において有用な脂環式および芳香族光酸不安定単位には、以下のものが挙げられる:
Figure 2011178988
式中、Yは水素、フッ素、メチルもしくはトリフルオロメチルであり;Xは(i)電子供与性置換基、例えば、アルキル、アルコキシ、アルキルアミン、ジアルキルアミン、チオアルキルまたはセレノアルキル、および(ii)電子受容性置換基、例えば、ニトリルもしくはニトロ基から選択され;並びに、nは1、2、3、4および5から選択される芳香環上の置換基の数である。
本発明のポリマーの光酸不安定エステル基の典型的な脂環式部分(例えば、C(=O)O−TR’の基TR’)は比較的大きな体積を有する。このような嵩高の脂環式基は、本発明のポリマーに使用される場合に向上した解像度を提供することができることが見いだされた。より具体的には、光酸不安定エステル基の脂環式基は、典型的には、少なくとも125□、例えば、少なくとも130、135、140、150、155、160、165、170、175、180、185、190、195、または200□の分子体積を有する。約220または250□よりも大きな脂環式基は、少なくともいくつかの用途においては、好ましさがより低い場合がある。本明細書において、分子体積についての言及は、最適化された化学結合長さおよび角度を提供する標準コンピューターモデリングによって決定される体積サイズを示す。本明細書において言及される分子体積を決定するのに好ましいコンピュータプログラムはトリポス(Tripos)から入手可能なアルケミ(Alchemy)2000である。分子サイズのコンピュータベースの決定のさらなる論述については、T オモテ(Omote)ら、Polymers for Advanced Technologies,第四巻、277−287ページを参照。
本発明のポリマーは、脂環式部分を含まない光酸不安定基を含むことができる。例えば、本発明のポリマーは光酸不安定エステル単位、例えば、光酸不安定アルキルエステルを含むことができる。一般的に、光酸不安定エステルのカルボキシル酸素は第四級炭素に共有結合されることができる。分岐光酸不安定エステル、例えば、t−ブチルおよび−C(CHCH(CHが一般的に好適である。
化学増幅ポジ型フォトレジスト組成物に使用される本発明のポリマーは、望まれるようなレジストレリーフ像の形成を可能にするのに充分な量の酸不安定基(例えば、エステルおよび/またはアセタール)を含むべきである。例えば、このような酸不安定基の典型的な量は、ポリマーの全単位の少なくとも1モル%、より典型的には全ポリマー単位の約2〜45モル%、さらにより典型的には、約3〜40モル%、または3〜50モル%である。
ポリマーは電子リッチ芳香族もしくは脂肪族繰り返し単位を含むことができる。典型的な芳香族繰り返し単位は、低いイオン化ポテンシャルによって特徴づけられることができ、および相対的に安定なラジカルカチオンを形成する傾向を有することができる。好適な芳香族構造は、例えば、ペアレントフェニル、ナフチル、フェノール、電子供与性置換フェノールもしくはナフトールであることができる。他の活性化芳香族単位が好適であることができる。芳香族繰り返し単位は、重合中に、好適なビニル、アクリラートもしくはメタクリラートモノマーを用いてポリマー骨格に組み込まれうる。低いイオン化ポテンシャルを有する他の電子リッチ芳香族成分はフォトレジスト組成物のフォトスピードを高めるために使用されうる。
本発明において有用な、例示である電子リッチ芳香族単位の非限定的な例には、以下のものが挙げられる:
Figure 2011178988
式中、Yは水素、フッ素、メチルもしくはトリフルオロメチルであり;EDは水素もしくは電子供与性基であり;並びに、nは1、2、3、4および5から選択される芳香環上の置換基の数である。電子供与性基の例には、例えば、ヒドロキシル、アルコキシおよびチオアルキルが挙げられる。
電子リッチ単位のさらなる例には、置換アントラセン、置換テトラチオフルバレン、置換チオフェン、置換ビスチオフェンおよび他の置換オリゴチオフェンが挙げられる。
本発明のさらなる形態に従って、レジスト感度は、ベースポリマー主鎖に組み込まれうるもしくは複合体への添加剤として添加されうる、EUV放射線下で高吸収断面を有する官能性構造、典型的には、フッ素化単位を組み込むことによって増大させられうる。本発明において有用なこのような官能性構造の例には、以下のものが挙げられる:
Figure 2011178988
式中、Yは水素、フッ素、メチルもしくはトリフルオロメチルであり;並びに、Xは水素、ヒドロキシル、メトキシまたは他の直鎖状もしくは分岐アルコキシ基である。典型的には、Xは酸により切断可能な基、例えば、ターシャリーエステルもしくはアセタールを含む。
ポリマーは1種以上の極性モノマー(PM)を含む単位を1種以上含むことができる。PM基は極性プロトン性もしくは極性非プロトン性繰り返し単位であることができる。この単位は、アルカリ現像剤中での樹脂の低い、中程度の、もしくは高い溶解度を提供することができる。極性基は、例えば、アクリル酸エステルもしくはメタクリル酸エステルの繰り返し単位としてポリマー骨格に結合されうる。PM基を有する繰り返し単位の含有量は、典型的には10〜60モル%、より典型的には20〜55モル%である。ポリマーは典型的には、1種以上の極性非プロトン性PM基を含む単位を有する。典型的な極性非プロトン性繰り返し単位はラクトン環およびラクトン環を有する基である。5員環または7員環を有するラクトンが典型的である。他の典型的な構造は、ラクトンフラグメントが他の環式構造と、二環式もしくはスピロ構造の形態で、連結されているか、または縮合されている5または7員ラクトン環を有する。
本発明に有用なラクトン構造を有する典型的なPM基には、以下のものが挙げられる:
Figure 2011178988
式中、Yは水素、フッ素、メチルもしくはトリフルオロメチルである。上述のように、ポリマーは典型的には、極性プロトン性PM基を有する基を含む。典型的な極性プロトン性繰り返し単位は、例えば、1以上のヒドロキシル基で置換されたアダマンタンであることができる。他の典型的なヒドロキシル置換構造には、例えば、飽和環式もしくは非環式フラグメントを有するもの、または不飽和ヒドロキシル置換構造、例えば、芳香族フェノールもしくはナフトールが挙げられる。
本発明において有用な極性プロトン性基を有する典型的なPM基には、以下のものが挙げられる:
Figure 2011178988
式中、Yは水素、フッ素、メチルもしくはトリフルオロメチルである。
特に、好適なPM基、例えば、芳香族モノマーおよび電子リッチフッ素化モノマー上の電子供与性基は活性化放射線に対する感度を有意に増大させることができる。
感光性組成物はヒドロキシル置換芳香族繰り返し単位、光酸発生剤および酸により切断可能な繰り返し単位の混合物から形成されるコポリマーを含むことができる。本明細書において使用される場合には、用語「コポリマー」は2種、3種、4種、5種もしくはそれより多い種類の異なる繰り返し単位を有するポリマーを含む。
本発明からの複合体は芳香族繰り返し単位を有するコポリマーまたは添加剤を含むことができる。好適な芳香族繰り返し単位は低いイオン化ポテンシャルを有し、かつ相対的に安定なラジカルカチオンを形成することができる。好適な芳香族構造には、例えば、ペアレントフェノール、電子供与性置換フェノールもしくはナフトールが挙げられる。フェノール系繰り返し単位は好適なビニル、アクリラートもしくはメタクリラートモノマーと共に、重合中にポリマー骨格に組み込まれうる。レジストのフォトスピードを上げるために、低いイオン化ポテンシャルを有する他の電子リッチ芳香族成分が使用されうる。
レジスト感度は、X線放射線下で高吸収断面を有する官能性構造、典型的には、ベースポリマー主鎖へ組み込まれることができるかまたは複合体に添加剤として添加されうるフッ素化単位の組み込みによって増大させられうる。
典型的には、酸により切断可能な基はエステル結合を介してポリマー骨格に結合される。酸により切断可能なエステル基は、重合中に、好適なアクリラート、メタクリラート、ビニルもしくはビニルエーテルモノマーを用いてポリマー骨格に組み込まれうる。酸不安定基は、アルカリ現像剤中へのポリマーの溶解を阻害し、かつポリマーに酸感受性を提供する。
上述のように、ベースポリマーはこれに共有結合したPAG基を含む。このPAGは重合性であることができ、および下記式(1a)または式(1b)で表される重合性スルホナートアニオンを有するスルホニウム塩もしくはヨードニウム塩に由来する:
Figure 2011178988
式中、Yは水素、アルキル、例えば、メチルもしくはエチル、フッ素化アルキル、例えば、部分的にもしくは完全にフッ素化されたメチルもしくはエチル、例えば、フルオロ−、ジフルオロ−もしくはトリフルオロメチル、またはフッ素であり;R、R、R、RおよびRは独立して置換もしくは非置換アリール、例えば、直鎖状アルキルもしくは分岐アルキルで置換されたアリールから選択され;並びに、Zは直鎖状または分岐ペルフルオロアルキル鎖または置換芳香族基である。典型的には、Z基は、末端CF、C(F)(CF)またはC(CF単位を有する直鎖状または分岐フッ素化アルキル鎖を介してスルホナートアニオン(SO )に共有結合される。スルホナートアニオンは、例えば、以下のように共有結合されうる:−CF−SO、または−C(F)(CF)−SO、または−C(CF−SO。Zについてのさらに典型的な構造には、モノ、ジ、トリ、テトラ−置換ベンゼン環が挙げられる。この場合、スルホナートアニオンはベンゼン環に直接結合されうる。ベンゼン環上の置換基についての典型的な例には、例えば、フッ素、トリフルオロメタン、ニトロ、シアノおよびメチルが挙げられる。
好適なPAGには、例えば、トリフェニルスルホニウムカチオン、ジアリールヨードニウムカチオンまたはスルホナートアニオンの誘導体から形成される有機塩が挙げられる。他の好適なPAGには、ペルフルオロスルホニルイミドまたはメチド、例えば、ドンクワンリー(DongKwanLee)ら、Perfluorosulfonyl Imides and Methides−Investigating the Lithographic Potential of Novel Superacid PAGs(ペルフルオロスルホニルイミドおよびメチド−新規の超酸PAGのリソグラフィ性能の研究)Proc.SPIE、第4690巻、169−177ページ(2002)により開示されるものが挙げられる。
典型的には、PAGのスルホナートまたは他のアニオン性基は電子欠乏芳香環またはペルフルオロ化脂肪族鎖に共有結合される。このアニオンの誘導体は、アクリラート、メタクリラートまたはビニル重合性基と共有結合されうる。PAGは、ポリマー合成中に、メタクリル、アクリルもしくはビニルPAGモノマーを用いてポリマー骨格に組み込まれうる。ポリマー鎖へのPAGの組み込みは、リソグラフィプロセス中に均一な酸分布および制御された酸拡散を有するフォトレジストを提供するのに重要である。
ある形態においては、イオン性PAG基は樹脂に共有結合される。イオン性PAG基についての言及は、酸が塩形態で、例えば、オニウム塩で存在し、酸(例えば、スルホニウムまたはヨードニウム)がカルボキシラートもしくはスルホナートアニオンのようなアニオン種と錯体形成していることを示す。活性化放射線、例えば、X線、軟X線、193nmもしくは248nmへの露光の際に、このイオン性錯体は解離して、活性な酸種を提供する。
典型的な樹脂においては、イオン性PAG基のアニオン性部分は樹脂に共有結合されており、かつカチオン性部分は樹脂に共有結合されていない。さらなる形態に従うと、イオン性PAG基のアニオン性部分とカチオン性部分との双方が樹脂に共有結合されている。さらなる形態に従うと、非イオン性PAG基が樹脂に共有結合されている。非イオン性PAG基は塩のような錯体形成した酸基を含まない。むしろ、活性化放射線、例えば、X線、軟X線、193nmまたは248nm放射線への露光は、酸部分を生じさせる結合破壊反応によるような基の反応を引き起こす。
好適なイオン性PAG基は1以上のオニウム塩を含むことができる。好適なオニウム塩には、例えば、ハロニウム塩、第四級アンモニウム、ホスホニウムおよびアルソニウム塩、スルホニウム塩およびスルホキソニウム塩、またはセレニウム塩が挙げられる。酸発生剤基は、例えば、負に帯電した芳香族スルホナートまたはペルフルオロアルキルスルホナート、および置換トリアリールスルホニウムまたは置換ジアリールヨードニウム対カチオンを含むことができる。オニウム塩は、米国特許第4,442,197号;第4,603,101号;および第4,624,912号のような文献に記載されている。
典型的なオニウム塩にはヨードニウム塩PAG、例えば、欧州特許出願公開第0708368A1号に開示されている化合物などが挙げられる。このような塩には、下記式で表されるものが挙げられる:
Figure 2011178988
式中、ArおよびArはそれぞれ独立に置換もしくは非置換アリール基を表す。
アリール基の典型はC6−14単環式もしくは縮合環アリール基である。アリール基上の置換基として典型的なものには、アルキル基、ハロアルキル基、シクロアルキル基、アリール基、アルコキシ基、ニトロ基、カルボキシル基、アルコキシカルボニル基、ヒドロキシル基、メルカプト基およびハロゲン原子が挙げられる。
スルホニウム塩は、本発明のPAGブレンドおよびレジストに特に好適なイオン性PAGであり、例えば、以下の式の化合物である:
Figure 2011178988
式中、R、RおよびRはそれぞれ独立して、置換もしくは非置換アルキル基もしくはアリール基を表す。上記式のそれぞれについては、置換もしくは非置換アルキル基およびアリール基の好ましい例には、C6−14アリール基、C1−5アルキル基およびこれらの置換誘導体が挙げられる。アルキル基上の置換基の好ましい例には、C1−8アルコキシ基、C1−8アルキル基、ニトロ基、カルボキシル基、ヒドロキシル基およびハロゲン原子が挙げられる。アリール基上の置換基の好ましい例には、C1−8アルコキシ基、カルボキシル基、アルコキシカルボニル基、C1−8ハロアルキル基、C5−8シクロアルキル基およびC1−8アルキルチオ基が挙げられる。R、RおよびR並びにArおよびArのうちの2つはその単結合または置換基を介して互いに連結されうる。
イオン性PAG基は様々な錯形成性(アニオン性)基、例えば、式RSO のアニオン(式中、Rはアダマンタン、アルキル(例えば、C1−12アルキル)およびペルフルオロアルキル、例えばペルフルオロ(C1−12アルキル)である)、特に、ペルフルオロオクタンスルホナート、ペルフルオロノナンスルホナートなどのペルフルオロ対アニオンを含むことができる。
重合性置換基を有する典型的なPAGには以下のものが挙げられる:
Figure 2011178988
式中、Yは上記定義の通りであり、R、R10、R11およびR12は独立して、水素、アルキル、フルオロアルキル、アルコキシ、シアノ、ニトロおよびハロゲン原子から選択される。典型的なハロゲン原子には、フッ素または塩素が挙げられる。OXは、上に示されるようなヨードニウム塩またはスルホニウム塩から選択されるオニウム塩である。Lは、例えば、アルキル、ペルフルオロアルキル、アリールまたはペルフルオロアリール基であることができる。
フォトレジスト組成物は、1種以上の共有結合したPAG基を含むポリマーに加えて、非ポリマー系PAG化合物を含むことができる。このような組み合わせた使用に好適なPAG化合物は知られており、例えば、米国特許第6,482,567号;第6,783,912号;第6,849,374号;および第6,458,506号に開示されている。
PAG基は、リソグラフィ処理の際にレリーフ像を生じさせるのに充分な量で組成物中に存在すべきである。PAG基は組成物中に実質的に全体に、例えば、95モル%より多く、ポリマーに共有結合した基として、または共有結合した基と非ポリマー系PAG化合物との組み合わせとして存在することができる。PAG基は、典型的には、感光性組成物中に、組成物の全固形分(溶媒キャリアを除く全成分)を基準にして、少なくとも1、2または3重量%、より典型的には、少なくとも5、8または10重量%の量で存在する。一般的に、PAG基は感光性組成物中に、組成物の全固形分を基準にして、25、30、35または40重量%未満の量で存在する。
本発明のポリマーは典型的には、800〜100,000、より典型的には、2,000〜30,000、さらにより典型的には、2,000〜20,000、または2,000〜15,000の重量平均分子量(Mw)を有し、2.5以下、2以下、1.5以下、1.2以下、または1以下の典型的な分子量分布(Mw/Mn)を有する。本発明の合成方法は非常に低い(すなわち、狭い)分子量分布を提供することができる。本発明のポリマーの分子量(MwまたはMnのいずれも)はゲル浸透クロマトグラフィーによって好適に決定される。
本発明のさらなる形態は、(i)第1のポリマーが1種以上の共有結合したPAG基を含み、そして(ii)第2のポリマー(第1のポリマーとは異なる)がPAG基を含んでいても含んでいなくても良い;別個のポリマーのブレンドを提供する。典型的には、第1および第2のポリマーの少なくとも一方は光酸不安定基を含む。第1および第2のポリマーの両方が光酸不安定基を含むのが好適である。ある実施形態においては、第2の樹脂はPAG基を含まず、そして光酸不安定基を含む。この形態においては、第1および第2のポリマーは様々な量で存在することができる。例えば、本発明の樹脂ブレンドまたは組成物中の、第1のポリマー:第2のポリマーの重量比は、好適には、1:10〜10:1、または1:5〜5:1であることができる。
この形態においても、複数の別個のポリマーが同じ種類のポリマーから選択されることができ、例えば、両方ともアクリラート、環式オレフィンポリマー、例えば、ノルボルニル/無水物ポリマー、またはフルオロポリマーなどであることができる。ポリマーは、あるいは、別の種類のものであることができる。例えば、第1のポリマーがアクリラート基を含むことができ、そして第2のポリマーが重合されたノルボルニルおよび無水マレイン酸基を含み、アクリラート基を含まないことができる。PAG基を含まない第2のポリマーは、本明細書において開示されるような繰り返し単位のいずれかを好適に含むことができ、または本明細書において開示されるようなポリマーの種類のいずれかであることができる。
感光性組成物は1種以上の任意成分を含むことができる。この任意成分には、例えば、抗ストリエーション剤(anti−striation agent)、可塑剤、速度向上剤、接着剤、クエンチング剤、フォトスピード向上剤、界面活性剤などの1種以上が挙げられる。任意の添加剤の好適な量は、当業者に知られている。典型的な任意の添加剤は、現像されたレジストレリーフ像の解像度を向上させるための追加塩基、例えば、水酸化テトラブチルアンモニウム(TBAH)または乳酸テトラブチルアンモニウムである。193nmで像形成されるレジストについては、典型的な追加塩基はヒンダードアミン、例えば、ジアザビシクロウンデセンまたはジアザビシクロノネンである。追加塩基は比較的少量、例えば、組成物の全固形分を基準にして0.03〜5重量%で好適に使用される。
DUVまたはEUV露光の下での光化学事象は、ポリマー骨格に結合している酸(例えば、スルホン酸)誘導体の発生を導く。典型的には、光発生したスルホン酸は−5以下、例えば、−5〜−15のpKaを有する。発生した光酸は、好適には、活性化放射線への露光の際にフォトレジストの塗膜層に潜像を生じさせるのに充分なモル比で使用される。光発生酸のこのモル比は、露光後ベークされた膜の最適な溶解速度を達成するように調節されうる。
300nm未満、例えば、248nm、193nmおよび13.4nmの波長を有する活性化放射線、並びに電子線放射線のような活性化放射線へのフォトレジスト塗膜の露光の際に、樹脂の酸不安定基は光酸誘起切断を受ける。
典型的な酸不安定基はヒドロキシル基の存在下で熱安定である。このことは、有意に改良されたリソグラフィ性能をもたらしうる組成物の高温での露光前および露光後ベーキングを可能にする。
本発明のポリマーはフリーラジカル付加によって合成されうる。典型的には、この反応は、不活性雰囲気、例えば、窒素またはアルゴン下で、高温、例えば、約70℃以上で行われるが、反応温度は具体的な試薬の反応性および存在する場合には反応溶媒の沸点に依存するであろう。好適な反応溶媒には、例えば、テトラヒドロフラン、アセトニトリル、ジオキサン、乳酸エチル、ジメチルホルムアミド(DMF)などが挙げられる。何らかの特定のシステムについての好適な反応温度は、本開示に基づいて当業者によって経験的に容易に決定されうる。合成に使用される好適なフリーラジカル開始剤は知られており、例えば、アゾ化合物、例えば、アゾ−ビス−2,4−ジメチルペンタンニトリル;ペルオキシド;ペルエステル;過酸;および過硫酸塩が挙げられうる。連鎖移動剤またはラジカルフラックスまたは濃度を制御するための他の薬剤が反応においてさらに使用されうる。
上述の所望のポリマーを提供するために反応させられうるモノマーは当業者によって特定されうる。例えば、光酸不安定単位を提供するために、好適なモノマーには、例えば、エステル基のカルボキシ酸素上に好適な基置換(例えば、ターシャリー脂環式、t−ブチルなど)を含むメタクリラートまたはアクリラートが挙げられる。無水マレイン酸は、縮合無水物ポリマー単位を提供する典型的な試薬である。無水イタコン酸は、無水物ポリマー単位を提供するための典型であり、重合の前にクロロホルムでの抽出によるなどして精製されうる。アルファ−ブチロラクトンのようなビニルラクトンも典型的な試薬である。ポリマーにPAG基を組み込むために、上述のようなPAG基を含むモノマー、例えば、PAG部分を含むアクリラートモノマーが、1種以上の他のモノマーと共重合されうる。
本発明の組成物は当該技術分野において記載されるように容易に製造されうる。例えば、フォトレジストの成分は好適な溶媒、例えば、エチレングリコールモノメチルエーテル、プロピレングリコールモノメチルエーテルまたは乳酸エチルに溶解されることができる。典型的には、レジストの固形分含量は、組成物の全重量の1.5〜15重量%で変動する。
本発明の組成物は既知のリソグラフィ手順に従って使用される。基体、例えば、単結晶シリコンウェハのような半導体基体が組成物でコーティングされる。基体は、典型的には、基体表面上に形成された、下部反射防止コーティング物質(BARC)または最上面の上に形成される接着物質の薄膜を伴う1以上の層を有する。感光性組成物は典型的には、スピンコーティングによって基体に適用される。レジストの固形分含量は、溶液の粘度、スピナーの速度および回転時間に基づいて所望の膜厚を提供するように調節されうる。膜厚は典型的には30〜200nm、例えば、25〜100nmである。
感光性物質は、次いで、乾燥させられて溶媒を除去し、次いで、フォトマスクを通じて像形成されうる。感光性組成物の塗膜層は放射線源、例えば、300nm未満、例えば248nm、193nmおよび13.4nmの波長を有する活性化放射線、並びに電子線放射線に露光される。
放射線源からの露光は、フォトレジストの光活性成分を活性化するのに、すなわち、露光後ベーク後にレジスト塗膜層にパターン形成された像を生じさせるのに充分な酸を発生させるのに充分であるべきである。
露光後に、組成物の層は典型的には70〜160℃の温度でベークされる。露光された膜は既知の技術を用いて現像される。好適な現像剤には、例えば、極性現像剤、例えば、水性塩基現像剤、例えば、水酸化第四級アンモニウム溶液、例えば、テトラアルキルアンモニウム水酸化物溶液、例えば、水酸化テトラメチルアンモニウム;様々なアミン溶液、例えば、エチルアミン、n−プロピルアミン、ジエチルアミン、ジ−n−プロピルアミン、トリエチルアミン、もしくはメチルジエチルアミン;アルコールアミン、例えば、ジエタノールアミンもしくはトリエタノールアミン;または、環式アミン、例えば、ピロールもしくはピリジンが挙げられる。
現像された基体は、次いで、レジストのない基体領域上において選択的に処理されうる。この処理には、既知の技術、例えば、レジストパターンをマスクとして使用するプラズマエッチングによってレジストパターン像を1以上の下地層に転写すること、またはめっきもしくは他の選択的なコーティング技術によって基体のレジストがない領域をめっきすることが挙げられうる。このような処理の後で、既知の剥離手順を用いて残っているレジストが除去されうる。
以下の非限定的な実施例は本発明の例示である。
実施例1
モノマー系PAG合成:トリフェニルスルホニウム4−(メタクリルオキシ)−2,3,5,8−テトラフルオロベンゼンスルホナートの合成
250mLのジクロロメタンおよび100mLの水の混合物に、19.14g(56.93mmol)の4−(メタクリルオキシ)−2,3,5,6−テトラフルオロベンゼンスルホナートナトリウム塩および17.0g(49.52mmol)のトリフェニルスルホニウムブロミドを添加した。この混合物を室温で36時間攪拌した。有機相が水性相から分けられて、水性相が100mLのジクロロメタンで洗浄された。ジクロロメタン溶液は一緒に集められて、引き続いて、1体積%の水酸化アンモニウム(2×100mL)および脱イオン水(3×100mL)で洗浄された。ジクロロメタン溶液はMgSOで乾燥させられ、ろ過された。ジクロロメタンは蒸留除去されて、27.0gの純粋なトリフェニルスルホニウム4−(メタクリルオキシ)−2,3,5,8−テトラフルオロベンゼンスルホナートを生じた。精製された物質についてNMR測定が行われ、以下の結果であった:
HNMR(CDCl,25℃,ppm):2.06(s,3H,CH);5.90(s,1H,=CH);6.42(s,1H,=CH);7.68−7.76(m,15H,ArH)。
19FNMR(CDCl,25℃,ppm):−139.06(m,2F);−154.33(m,2F)。
実施例2
モノマー系PAG合成:トリ−(4−tert−ブチルフェニル)スルホニウム4−(メタクリルオキシ)−2,3,5,8−テトラフルオロベンゼンスルホナートの合成
100mLのジクロロメタンおよび50mLの水の混合物に、6.0g(17.84mmol)の4−(メタクリルオキシ)−2,3,5,6−テトラフルオロベンゼンスルホナートナトリウム塩および8.0g(15.63mmol)のトリ−(4−tert−ブチルフェニル)スルホニウムブロミドを添加した。この混合物を室温で36時間攪拌した。有機相が水性相から分けられて、水性相が70mLのジクロロメタンで洗浄された。ジクロロメタン溶液は一緒に集められて、引き続いて、1体積%の水酸化アンモニウム(2×70mL)および脱イオン水(5×70mL)で洗浄された。ジクロロメタン溶液はMgSOで乾燥させられ、ろ過された。ジクロロメタンは蒸留除去されて、11.70gの純粋なトリ−(4−tert−ブチルフェニル)スルホニウム4−(メタクリルオキシ)−2,3,5,8−テトラフルオロベンゼンスルホナートを生じた。精製された物質についてNMR測定が行われ、以下の結果であった:
HNMR(CDCl,25℃,ppm):1.33(s,27H,3C(CH);2.06(s,3H,CH);5.86(s,1H,=CH);6.41(s,1H,=CH);7.69(m,12H,ArH)。
実施例3
モノマー系PAG合成:ビス−(4−tert−ブチルフェニル)ヨードニウム4−(メタクリルオキシ)−2,3,5,8−テトラフルオロベンゼンスルホナートの合成
100mLのジクロロメタンおよび70mLの水の混合物に、6.4g(19.0mmol)の4−(メタクリルオキシ)−2,3,5,6−テトラフルオロベンゼンスルホナートナトリウム塩および7.5g(16.57mmol)のビス−(4−tert−ブチルフェニル)ヨードニウムアセタートを添加した。この混合物を室温で12時間攪拌した。有機相が水性相から分けられて、水性相が50mLのジクロロメタンで洗浄された。ジクロロメタン溶液は一緒に集められて、引き続いて、1体積%の水酸化アンモニウム(2×50mL)および脱イオン水(5×50mL)で洗浄された。ジクロロメタン溶液はMgSOで乾燥させられ、ろ過された。ジクロロメタンは蒸留除去されて、11.70gの純粋なビス−(4−tert−ブチルフェニル)ヨードニウム4−(メタクリルオキシ)−2,3,5,8−テトラフルオロベンゼンスルホナートを生じた。精製された物質についてNMR測定が行われ、以下の結果であった:
HNMR(CDCl,25℃,ppm):1.30(s,18H,2C(CH);2.09(s,3H,CH);6.05(s,1H,=CH);6.45(s,1H,=CH);7.56(d,4H,ArH);8.20(d,4H,ArH)。
実施例4
ポリマー合成
0.039molのバゾ(Vazo登録商標)52低温重合開始剤(イーアイデュポンデネモラスアンドカンパニー)が、70.30g(0.3mol)のメタクリル酸2−メチル−2−アダマンチル、51.05g(0.3mol)の2−メチル−アクリル酸2−オキソ−テトラヒドロ−フラン−3−イルエステル、34.23g(0.15mol)のアクリル酸ヒドロキシナフチルメチル、および22.40g(0.039mol)の実施例1で形成されたPAGの、アセトニトリル:テトラヒドロフラン2:1混合物178g中の溶液に添加された。このモノマーおよび開始剤溶液は10分間脱ガスされた。不活性雰囲気下で、5mLのモノマーおよび開始剤溶液が、80℃に予備加熱された(オイルバス)反応器に導入された。モノマーおよび開始剤混合物の残りは、2時間の期間にわたって80℃で反応器に供給された。この添加の完了後、反応混合物はさらに二時間還流された。この混合物は室温に冷却され、重合溶液は多量のジイソプロピルエーテル中で沈殿させられ、ろ過され、真空下で乾燥された。得られた粗ポリマーは25−30重量%のテトラヒドロフラン(THF)中に溶解され、ジイソプロピルエーテル中で沈殿させられた。次いで、この物質は0.2ミクロンフィルタを用いてろ過され、ろ取物はジイソプロピルエーテルにゆっくりと添加され、THF:ジイソプロピルエーテルの1:10(体積/体積)溶液を得た。沈殿したポリマーがろ過によって単離され、真空下40℃で一晩乾燥させられた。
実施例5−24および比較例25
ポリマー合成
表1に特定されるようなモノマー種類およびモル供給物比を用いたことを除いて、実施例4におけるのと同じ手順を用いて表1に示されたポリマーが製造された。表1に示されたモノマーの構造は表2〜4に提供される。
Figure 2011178988
Figure 2011178988
Figure 2011178988
Figure 2011178988
実施例26
フォトレジスト製造および処理
実施例4において製造されたポリマー1を4.95g、プロピレングリコールモノメチルエーテルアセタート中のオムノバ(Omnova)PF656界面活性剤の5重量%溶液を0.1g、塩基添加剤(トロジャーズ塩基(Troger’s Base))の1重量%溶液を1.0g、プロピレングリコールメチルエーテルアセタート溶媒を37.91g、および乳酸エチル溶媒を156g混合して一緒にすることにより、ポジ型フォトレジスト組成物が製造された。
レジストは以下のようにリソグラフィ処理された。配合されたレジストはHMDSまたはBARCコートされたシリコンウェハ上にスピンコートされ、130℃で90秒間ソフトベークされた。レジスト層はフォトマスクを通してDUVまたはEUV放射線で露光され、露光された層は約130℃で露光後ベーク(PEB)された。次いで、コーティングされたウェハはアルカリ塩基現像剤(0.26Nの水酸化テトラメチルアンモニウム水溶液)で処理されて、ポジ型レジスト層を現像した。
実施例27−33および比較例34
フォトレジスト製造および処理
表5に特定されるポリマーを使用したことを除いて、実施例26におけるのと同じ手順を使用して、ポジ型フォトレジスト組成物が製造された。各レジストは以下のようにリソグラフィ処理された。有機反射防止コーティング(AR商標19、ロームアンドハースエレクトロニックマテリアルズエルエルシー)を有する200mmシリコンウェハ上にレジストがスピンコートされ、130℃で60秒間ベークされ、70nmの厚みのレジスト膜を形成した。このレジストは193nmのフォトリソグラフィシステムを用いて化学線でパターン状(patternwise)に露光され、130℃90秒間で露光後ベーク(PEB)され、0.26Nの水酸化テトラメチルアンモニウム水溶液で現像されて、レジストパターンを形成した。90nmラインアンドスペースパターン(90nm L:SでのEsize)の頂部および底部において、1:1解像度を提供するのに必要とされる露光線量が表5に示される。
Figure 2011178988
実施例35−42および比較例43
表6に特定されるポリマーを使用したことを除いて、実施例26におけるのと同じ手順を使用して、ポジ型フォトレジスト組成物が製造された。各レジストは以下のようにリソグラフィ処理された。有機反射防止コーティング(AR商標19またはAR商標107、ロームアンドハースエレクトロニックマテリアルズエルエルシー)を有する200mmシリコンウェハ上にレジストがスピンコートされ、130℃で60または90秒間ベークされ、60nmの厚みのレジスト膜を形成した。このレジスト層はEUV放射線(13.5nm波長)でパターン状に露光され、約130℃90秒間で露光後ベークされ、0.26Nの水酸化テトラメチルアンモニウム水溶液で現像されて、レジストパターンを形成した。30nmラインアンドスペースパターン(30nm L:SでのEsize)の頂部および底部において1:1解像度を提供するのに必要とされる露光線量が表6に示される。
Figure 2011178988
表5および6から理解されうるように、本発明に従った実施例について、ラインアンドスペースパターンの頂部および底部で1:1の解像度を提供するのに必要とされる露光線量は、比較例について必要とされるものよりも有意に少なかった。

Claims (10)

  1. ポリマー骨格およびポリマー骨格に共有結合したモノマー系光酸発生剤を含むポリマーであって、
    前記モノマー系光酸発生剤が下記式(1a)または式(1b)でそれぞれ表される重合性スルホナートアニオンを有するスルホニウム塩またはヨードニウム塩の1種以上に由来する;
    Figure 2011178988
    (式中、Yは水素、アルキル、フッ素化アルキルもしくはフッ素であり;R、R、R、RおよびRは独立して置換および非置換アリールから選択され;並びに、Zは直鎖状もしくは分岐ペルフルオロアルキル鎖または置換芳香族基である)
    ポリマー。
  2. Zが末端CF、C(F)(CF)またはC(CFを含む直鎖状または分岐フッ素化アルキル鎖を介してスルホナートアニオンに共有結合されている、請求項1に記載のポリマー。
  3. 光酸不安定基を含む繰り返し単位をさらに含む、請求項1に記載のポリマー。
  4. メタクリル酸2−メチルアダマンタニル、アクリル酸2−メチルアダマンタニル、アクリル酸ヒドロキシアダマンチル、メタクリル酸ヒドロキシアダマンチル、無水マレイン酸、ノルボルネン、3,4−ジヒドロピラン、場合によって置換されたフェニルおよび場合によって置換されたナフチルの1種以上から選択される重合単位をさらに含む、請求項1に記載のポリマー。
  5. ポリマー骨格に結合した1種以上の極性モノマーをさらに含み;
    前記極性モノマーが下記式:
    Figure 2011178988
    の一方または両方で表される、請求項1に記載のポリマー。
  6. 請求項1のポリマーを含むポジ型フォトレジスト組成物。
  7. ポリマー骨格に共有結合しているモノマー系光酸発生剤を含まないポリマー骨格;および
    光酸不安定基;
    を含む第2のポリマーをさらに含む、請求項6に記載のポジ型フォトレジスト組成物。
  8. (a)パターン形成されるべき1以上の層を基体表面上に有する基体;並びに
    (b)パターン形成されるべき1以上の層上の、請求項6のポジ型フォトレジスト組成物の層;
    を含む、コーティングされた基体。
  9. (a)請求項6のポジ型フォトレジスト組成物の層を基体上に適用し;
    (b)フォトレジスト組成物層を活性化放射線にパターン状に露光し;並びに
    (c)露光されたフォトレジスト組成物層を現像して、レジストレリーフ像を提供する;
    ことを含む、電子デバイスを形成する方法。
  10. 活性化放射線が極紫外線もしくは電子線放射線である、請求項9に記載の方法。
JP2010289057A 2009-12-31 2010-12-27 感光性組成物 Active JP5851688B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US33516909P 2009-12-31 2009-12-31
US61/335169 2009-12-31

Publications (2)

Publication Number Publication Date
JP2011178988A true JP2011178988A (ja) 2011-09-15
JP5851688B2 JP5851688B2 (ja) 2016-02-03

Family

ID=43602910

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2010289057A Active JP5851688B2 (ja) 2009-12-31 2010-12-27 感光性組成物

Country Status (6)

Country Link
US (1) US8507176B2 (ja)
EP (2) EP2527380B1 (ja)
JP (1) JP5851688B2 (ja)
KR (1) KR101705043B1 (ja)
CN (1) CN102153695B (ja)
TW (1) TWI444394B (ja)

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011154216A (ja) * 2010-01-27 2011-08-11 Fujifilm Corp 感活性光線性又は感放射線性樹脂組成物及びそれを用いたパターン形成方法
JP2011186089A (ja) * 2010-03-05 2011-09-22 Fujifilm Corp パターン形成方法
JP2012027453A (ja) * 2010-06-25 2012-02-09 Fujifilm Corp 感活性光線性又は感放射線性樹脂組成物、並びに、それを用いたレジスト膜及びパターン形成方法
JP2012093741A (ja) * 2010-10-01 2012-05-17 Jsr Corp 感放射線性樹脂組成物及びそれにより形成されるレジスト被膜
JP2012140620A (ja) * 2010-12-31 2012-07-26 Rohm & Haas Electronic Materials Llc 重合性光酸発生剤
JP2013080032A (ja) * 2011-10-03 2013-05-02 Shin Etsu Chem Co Ltd ポジ型レジスト材料並びにこれを用いたパターン形成方法
JP2014156530A (ja) * 2013-02-15 2014-08-28 Dic Corp フッ素系界面活性剤及びポジ型レジスト組成物。
WO2014171449A1 (ja) * 2013-04-15 2014-10-23 富士フイルム株式会社 パターン形成方法、感活性光線性又は感放射線性樹脂組成物、感活性光線性又は感放射線性膜、電子デバイスの製造方法及び電子デバイス
JP2015018068A (ja) * 2013-07-10 2015-01-29 信越化学工業株式会社 ポジ型レジスト材料、重合性モノマー、高分子化合物並びにこれを用いたパターン形成方法
JP2015117377A (ja) * 2013-12-19 2015-06-25 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC 光酸発生共重合体および関連するフォトレジスト組成物、塗布基板、および電子デバイス形成方法
JP2015528644A (ja) * 2012-08-27 2015-09-28 東京エレクトロン株式会社 Euvレジスト感度の減少
JP2019038998A (ja) * 2017-08-22 2019-03-14 住友化学株式会社 樹脂、レジスト組成物及びレジストパターンの製造方法

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI485511B (zh) * 2009-03-27 2015-05-21 Jsr Corp 敏輻射線性樹脂組成物及聚合物
CN102212100A (zh) 2009-12-10 2011-10-12 罗门哈斯电子材料有限公司 胆酸酯光酸发生剂和包含该发生剂的光致抗蚀剂
CN102603586A (zh) 2010-11-15 2012-07-25 罗门哈斯电子材料有限公司 碱反应性光酸发生剂以及包含其的光致抗蚀剂
EP2472321A1 (en) 2010-12-31 2012-07-04 Rohm and Haas Electronic Materials LLC Method of preparing photoacid-generating monomer
EP2472322A2 (en) 2010-12-31 2012-07-04 Rohm and Haas Electronic Materials LLC Photoacid generating monomer and precursor thereof
JP5815254B2 (ja) * 2011-03-14 2015-11-17 昭和電工株式会社 厚膜金属電極の形成方法、及び厚膜レジストの形成方法
US9182662B2 (en) 2012-02-15 2015-11-10 Rohm And Haas Electronic Materials Llc Photosensitive copolymer, photoresist comprising the copolymer, and articles formed therefrom
JP2013173855A (ja) * 2012-02-27 2013-09-05 Shin-Etsu Chemical Co Ltd 高分子化合物の製造方法、該製造方法によって製造された高分子化合物及びそれを含んだレジスト材料並びにパターン形成方法
US8945814B2 (en) * 2012-09-15 2015-02-03 Rohm And Haas Electronic Materials Llc Acid generators and photoresists comprising same
JP2014074731A (ja) * 2012-10-02 2014-04-24 Tokyo Ohka Kogyo Co Ltd Euv用又はeb用レジスト組成物、及びレジストパターン形成方法
US9772558B2 (en) 2013-09-24 2017-09-26 International Business Machines Corporation Sulfonic acid ester containing polymers for organic solvent based dual-tone photoresists
JP2015082011A (ja) * 2013-10-22 2015-04-27 富士フイルム株式会社 パターン形成方法、並びに、これを用いた電子デバイスの製造方法、及び、電子デバイス
US9182669B2 (en) 2013-12-19 2015-11-10 Rohm And Haas Electronic Materials Llc Copolymer with acid-labile group, photoresist composition, coated substrate, and method of forming an electronic device
US9581901B2 (en) 2013-12-19 2017-02-28 Rohm And Haas Electronic Materials Llc Photoacid-generating copolymer and associated photoresist composition, coated substrate, and method of forming an electronic device
WO2015108487A1 (en) * 2014-01-14 2015-07-23 Nanyang Technological University Electroactive bioadhesive compositions
JP6271378B2 (ja) * 2014-09-05 2018-01-31 信越化学工業株式会社 導電性ポリマー用高分子化合物及びその製造方法
US9527936B2 (en) 2014-10-10 2016-12-27 Rohm And Haas Electronic Materials Llc Polymer comprising repeat units with photoacid-generating functionality and base-solubility-enhancing functionality, and associated photoresist composition and electronic device forming method
US9557642B2 (en) 2014-10-10 2017-01-31 Rohm And Haas Electronic Materials Llc Photoresist composition and associated method of forming an electronic device
US9551930B2 (en) 2014-10-10 2017-01-24 Rohm And Haas Electronic Materials Llc Photoresist composition and associated method of forming an electronic device
US9606434B2 (en) * 2014-10-10 2017-03-28 Rohm And Haas Electronic Materials, Llc Polymer comprising repeat units with photoacid-generating functionality and base-solubility-enhancing functionality, and associated photoresist composition and electronic device forming method
JP6520524B2 (ja) * 2015-07-28 2019-05-29 信越化学工業株式会社 レジスト材料及びパターン形成方法
US11613519B2 (en) 2016-02-29 2023-03-28 Rohm And Haas Electronic Materials Llc Photoacid-generating monomer, polymer derived therefrom, photoresist composition including the polymer, and method of forming a photoresist relief image using the photoresist composition
KR102236312B1 (ko) * 2018-09-14 2021-04-02 주식회사 쓰양코리아 중합체, 이를 포함하는 조성물, 포토레지스트 막 및 전자기기
CN109503752A (zh) * 2018-10-12 2019-03-22 珠海雅天科技有限公司 一种低扩散ArF光刻胶用高分子光敏剂PAG及其应用
KR20210070376A (ko) 2018-11-02 2021-06-14 브레우어 사이언스, 인코포레이션 Pag-고정된 표면 상의 상향식 컨포멀 코팅 및 광패턴화
US20220397827A1 (en) * 2021-05-28 2022-12-15 Rohm And Haas Electronic Materials Korea Ltd. Composition for photoresist underlayer
US20230314944A1 (en) * 2022-03-30 2023-10-05 Shin-Etsu Chemical Co., Ltd. Positive resist composition and pattern forming process

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007328060A (ja) * 2006-06-06 2007-12-20 Jsr Corp パターン形成方法並びにそれに用いられる感放射線性樹脂組成物及び感放射線性酸発生基含有樹脂
WO2008056796A1 (fr) * 2006-11-10 2008-05-15 Jsr Corporation Composition de résine sensible au rayonnement
JP2008133448A (ja) * 2006-10-27 2008-06-12 Shin Etsu Chem Co Ltd 重合性アニオンを有するスルホニウム塩及び高分子化合物、レジスト材料及びパターン形成方法
JP2008248063A (ja) * 2007-03-30 2008-10-16 Shin Etsu Chem Co Ltd 高分子化合物、化学増幅ポジ型レジスト材料及びパターン形成方法
JP2008281990A (ja) * 2007-02-28 2008-11-20 Rohm & Haas Electronic Materials Llc 新規なポリマーおよびフォトレジスト組成物
JP2009275155A (ja) * 2008-05-15 2009-11-26 Jsr Corp 上層膜用組成物及びレジストパターン形成方法

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4442197A (en) 1982-01-11 1984-04-10 General Electric Company Photocurable compositions
DE3565013D1 (en) 1984-02-10 1988-10-20 Ciba Geigy Ag Process for the preparation of a protection layer or a relief pattern
US4603101A (en) 1985-09-27 1986-07-29 General Electric Company Photoresist compositions containing t-substituted organomethyl vinylaryl ether materials
JP3317597B2 (ja) 1994-10-18 2002-08-26 富士写真フイルム株式会社 ポジ型感光性組成物
JP3613491B2 (ja) * 1996-06-04 2005-01-26 富士写真フイルム株式会社 感光性組成物
US6458506B2 (en) 1998-08-14 2002-10-01 Shipley Company, Llc Photoacid generators and photoresists comprising same
JP4991074B2 (ja) 2000-02-27 2012-08-01 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. 光反応性酸発生剤およびそれを含有してなるフォトレジスト
US6482567B1 (en) 2000-08-25 2002-11-19 Shipley Company, L.L.C. Oxime sulfonate and N-oxyimidosulfonate photoacid generators and photoresists comprising same
US6849374B2 (en) 2000-11-03 2005-02-01 Shipley Company, L.L.C. Photoacid generators and photoresists comprising same
US7049044B2 (en) 2002-12-19 2006-05-23 The University Of North Carolina At Charlotte Nanocomposite negative resists for next generation lithographies
US7833690B2 (en) 2001-11-05 2010-11-16 The University Of North Carolina At Charlotte Photoacid generators and lithographic resists comprising the same
US7160669B2 (en) 2002-10-16 2007-01-09 Sumitomo Chemical Company, Limited Chemical amplification type resist composition
US6841333B2 (en) 2002-11-01 2005-01-11 3M Innovative Properties Company Ionic photoacid generators with segmented hydrocarbon-fluorocarbon sulfonate anions
JP4425776B2 (ja) 2004-12-24 2010-03-03 信越化学工業株式会社 レジスト材料及びこれを用いたパターン形成方法
EP1897869A4 (en) 2005-05-11 2010-05-05 Jsr Corp NOVEL COMPOUND, NOVEL POLYMER, AND NOVEL RADIATION SENSITIVE RESIN COMPOSITION
KR101324202B1 (ko) 2006-10-25 2013-11-06 주식회사 동진쎄미켐 술포닐기를 포함하는 포토레지스트 모노머, 폴리머 및 이를포함하는 포토레지스트 조성물
US7569326B2 (en) 2006-10-27 2009-08-04 Shin-Etsu Chemical Co., Ltd. Sulfonium salt having polymerizable anion, polymer, resist composition, and patterning process
KR101417168B1 (ko) 2006-11-10 2014-07-08 제이에스알 가부시끼가이샤 중합성 술폰산 오늄염 및 수지
KR20100071088A (ko) 2007-10-29 2010-06-28 제이에스알 가부시끼가이샤 감방사선성 수지 조성물 및 중합체
JP5104343B2 (ja) 2008-01-28 2012-12-19 富士通株式会社 モノマー、樹脂及び該樹脂を用いたレジスト組成物、並びに、該レジスト組成物を用いた半導体装置の製造方法
JP5131482B2 (ja) 2008-02-13 2013-01-30 信越化学工業株式会社 ポジ型レジスト材料及びパターン形成方法
JP4998746B2 (ja) 2008-04-24 2012-08-15 信越化学工業株式会社 スルホニウム塩を含む高分子化合物、レジスト材料及びパターン形成方法
KR101054485B1 (ko) * 2008-09-23 2011-08-04 금호석유화학 주식회사 오늄염 화합물, 이를 포함하는 고분자 화합물, 상기 고분자화합물을 포함하는 화학증폭형 레지스트 조성물 및 상기 조성물을 이용한 패턴 형성 방법

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007328060A (ja) * 2006-06-06 2007-12-20 Jsr Corp パターン形成方法並びにそれに用いられる感放射線性樹脂組成物及び感放射線性酸発生基含有樹脂
JP2008133448A (ja) * 2006-10-27 2008-06-12 Shin Etsu Chem Co Ltd 重合性アニオンを有するスルホニウム塩及び高分子化合物、レジスト材料及びパターン形成方法
WO2008056796A1 (fr) * 2006-11-10 2008-05-15 Jsr Corporation Composition de résine sensible au rayonnement
JP2008281990A (ja) * 2007-02-28 2008-11-20 Rohm & Haas Electronic Materials Llc 新規なポリマーおよびフォトレジスト組成物
JP2008248063A (ja) * 2007-03-30 2008-10-16 Shin Etsu Chem Co Ltd 高分子化合物、化学増幅ポジ型レジスト材料及びパターン形成方法
JP2009275155A (ja) * 2008-05-15 2009-11-26 Jsr Corp 上層膜用組成物及びレジストパターン形成方法

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011154216A (ja) * 2010-01-27 2011-08-11 Fujifilm Corp 感活性光線性又は感放射線性樹脂組成物及びそれを用いたパターン形成方法
JP2011186089A (ja) * 2010-03-05 2011-09-22 Fujifilm Corp パターン形成方法
JP2012027453A (ja) * 2010-06-25 2012-02-09 Fujifilm Corp 感活性光線性又は感放射線性樹脂組成物、並びに、それを用いたレジスト膜及びパターン形成方法
JP2012093741A (ja) * 2010-10-01 2012-05-17 Jsr Corp 感放射線性樹脂組成物及びそれにより形成されるレジスト被膜
JP2012140620A (ja) * 2010-12-31 2012-07-26 Rohm & Haas Electronic Materials Llc 重合性光酸発生剤
JP2013080032A (ja) * 2011-10-03 2013-05-02 Shin Etsu Chem Co Ltd ポジ型レジスト材料並びにこれを用いたパターン形成方法
JP2015528644A (ja) * 2012-08-27 2015-09-28 東京エレクトロン株式会社 Euvレジスト感度の減少
JP2014156530A (ja) * 2013-02-15 2014-08-28 Dic Corp フッ素系界面活性剤及びポジ型レジスト組成物。
JP2014206686A (ja) * 2013-04-15 2014-10-30 富士フイルム株式会社 パターン形成方法、感活性光線性又は感放射線性樹脂組成物、感活性光線性又は感放射線性膜、電子デバイスの製造方法及び電子デバイス
WO2014171449A1 (ja) * 2013-04-15 2014-10-23 富士フイルム株式会社 パターン形成方法、感活性光線性又は感放射線性樹脂組成物、感活性光線性又は感放射線性膜、電子デバイスの製造方法及び電子デバイス
JP2015018068A (ja) * 2013-07-10 2015-01-29 信越化学工業株式会社 ポジ型レジスト材料、重合性モノマー、高分子化合物並びにこれを用いたパターン形成方法
JP2015117377A (ja) * 2013-12-19 2015-06-25 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC 光酸発生共重合体および関連するフォトレジスト組成物、塗布基板、および電子デバイス形成方法
JP2019038998A (ja) * 2017-08-22 2019-03-14 住友化学株式会社 樹脂、レジスト組成物及びレジストパターンの製造方法
JP7363018B2 (ja) 2017-08-22 2023-10-18 住友化学株式会社 樹脂、レジスト組成物及びレジストパターンの製造方法

Also Published As

Publication number Publication date
TW201136957A (en) 2011-11-01
EP2527380A1 (en) 2012-11-28
EP2341089A1 (en) 2011-07-06
CN102153695B (zh) 2014-02-26
CN102153695A (zh) 2011-08-17
EP2527380B1 (en) 2013-12-18
JP5851688B2 (ja) 2016-02-03
TWI444394B (zh) 2014-07-11
US20110159429A1 (en) 2011-06-30
KR20110079556A (ko) 2011-07-07
EP2341089B1 (en) 2013-02-13
KR101705043B1 (ko) 2017-02-09
US8507176B2 (en) 2013-08-13

Similar Documents

Publication Publication Date Title
JP5851688B2 (ja) 感光性組成物
JP5782283B2 (ja) 新規のポリマーおよびフォトレジスト組成物
KR101591546B1 (ko) 술포늄염을 포함하는 고분자 화합물, 레지스트 재료 및 패턴 형성 방법, 및 술포늄염 단량체 및 그 제조 방법
JP5687442B2 (ja) 光酸発生剤およびこれを含むフォトレジスト
JP2020128393A (ja) 光酸発生剤およびこれを含むフォトレジスト
TWI410399B (zh) 鋶鹽、光阻材料及圖案形成方法
JP5839019B2 (ja) 高分子化合物、化学増幅ポジ型レジスト材料、及びパターン形成方法
KR101764443B1 (ko) ArF 액침 노광용 화학 증폭 포지티브형 레지스트 재료 및 패턴 형성 방법
JP5712963B2 (ja) 高分子化合物、ポジ型レジスト材料並びにこれを用いたパターン形成方法
JP5565293B2 (ja) ポジ型レジスト材料並びにこれを用いたパターン形成方法
TWI476533B (zh) 氟化氬浸潤式曝光用化學增幅正型光阻材料及圖案形成方法
JP2017008068A (ja) 塩基反応性光酸発生剤およびこれを含むフォトレジスト
JP6225126B2 (ja) 新規の樹脂およびこれを含むフォトレジスト組成物
TW201339134A (zh) 聚合性三級酯化合物、高分子化合物、光阻材料及圖案形成方法
KR20080080053A (ko) 신규 폴리머 및 포토레지스트 조성물
JP2005258438A (ja) シアノアダマンチル化合物およびポリマーおよびこれを含有するフォトレジスト
JP2018049177A (ja) 感放射線性樹脂組成物、レジストパターン形成方法、感放射線性酸発生剤、化合物及び化合物の製造方法
TW201408721A (zh) 抗蝕劑用酸擴散抑制劑及包含它的抗蝕劑組合物

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20131226

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20140618

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140620

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140922

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20150312

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150612

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20151130

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20151203

R150 Certificate of patent or registration of utility model

Ref document number: 5851688

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250