JP2011044728A - バンド設計超格子を有する半導体装置 - Google Patents

バンド設計超格子を有する半導体装置 Download PDF

Info

Publication number
JP2011044728A
JP2011044728A JP2010237839A JP2010237839A JP2011044728A JP 2011044728 A JP2011044728 A JP 2011044728A JP 2010237839 A JP2010237839 A JP 2010237839A JP 2010237839 A JP2010237839 A JP 2010237839A JP 2011044728 A JP2011044728 A JP 2011044728A
Authority
JP
Japan
Prior art keywords
superlattice
semiconductor device
layer
semiconductor
layers
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2010237839A
Other languages
English (en)
Inventor
Robert J Mears
メアーズ,ロバート,ジェイ
Jean Augustin Chan Sow Fook Yiptong
イップトング,ジーン,オーガスティン,チャン,ソウ,フック
Marek Hytha
ヒタ,マレック
Scott A Kreps
クレプス,スコット,エイ
Ilija Dukovski
ドゥコフスキー,イリヤ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Atomera Inc
Original Assignee
Mears Technologies Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/603,621 external-priority patent/US20040266116A1/en
Priority claimed from US10/603,696 external-priority patent/US20040262594A1/en
Application filed by Mears Technologies Inc filed Critical Mears Technologies Inc
Publication of JP2011044728A publication Critical patent/JP2011044728A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/15Structures with periodic or quasi periodic potential variation, e.g. multiple quantum wells, superlattices
    • H01L29/151Compositional structures
    • H01L29/152Compositional structures with quantum effects only in vertical direction, i.e. layered structures with quantum effects solely resulting from vertical potential variation
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/15Structures with periodic or quasi periodic potential variation, e.g. multiple quantum wells, superlattices
    • H01L29/151Compositional structures
    • H01L29/152Compositional structures with quantum effects only in vertical direction, i.e. layered structures with quantum effects solely resulting from vertical potential variation
    • H01L29/155Comprising only semiconductor materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's

Abstract

【課題】例えば大きな電荷キャリア移動度を有する半導体装置を提供する。
【解決手段】半導体装置は、複数の積層された層群を有する超格子を有する。また装置は、電荷キャリアが積層された層群と平行な方向に超格子を通って輸送される領域を有する。超格子の各層群は、基本半導体部分を定形する複数の積層された基本半導体分子層と、該基本半導体部分上のエネルギーバンド調整層と、を有する。さらにエネルギーバンド調整層は、少なくとも一つの非半導体分子層を有し、この層は、連接する基本半導体部分の結晶格子内に閉じ込められる。従って超格子は、平行な方向において、エネルギーバンド調整層がない場合に比べて大きな電荷キャリア移動度を有する。
【選択図】図1

Description

本発明は、半導体分野に関し、特に、エネルギーバンド設計に基づいた改良特性を有する半導体およびそれに対応する方法に関する。
例えば電荷キャリアの移動度を高めることにより特性を向上させる方法等、半導体装置の特性を向上させる構造および技術が提案されている。例えば、Currieらの米国特許出願第2003/0057416号には、シリコン、シリコン−ゲルマニウムおよび特性劣化を生じさせる不純物が含まれていない領域を含む緩和シリコンの歪み材料層が示されている。
上部シリコン層において生じる2軸歪は、キャリアの移動度を変化させ、高速および/または低消費電力の装置が得られる。Fitzgeraldらの公開米国特許出願第2003/0034529号には、同様の歪みシリコン技術に基づくCMOSインバーターが示されている。
高木の米国特許第6,472,685B2号には、シリコン層と、該シリコン層間に設置された炭素層とを有する半導体装置が示されており、第2シリコン層の伝導帯と価電子帯は、引張歪を受ける。小さな有効質量を持ち、ゲート電極に印加される電場によって誘起される電子は、第2シリコン層内に閉じ込められ、大きな移動度を示すnチャンネルのMOSFETが有効化される。
石橋らの米国特許第4,937,204号には、超格子が示されており、その中には、わずかのまたは2元系の化合物半導体層を含む、8分子層未満の複数の層が、交互にエピタキシャル成長される。主電流の方向は、超格子の層に対して垂直である。
Wangらの米国特許第5,357,119号には、超格子内に散在する合金を減らすことによって得られる、高移動度のSi−Ge短周期超格子が示されている。これらの考えに沿って、Candelariaの米国特許第5,683,934号は、シリコン合金と、第2の材料とからなるチャンネル層を有する高移動度MOSFETが示されており、第2の材料は、ある百分率でシリコン格子に置換して存在し、引張応力下においてチャンネル層を形成する。
Tsuの米国特許第5,216,262号には、量子井戸構造が示されており、この量子井戸は、2つのバリア領域と、バリア層間に設置された薄いエピタキシャル成長半導体層とを有する。各バリア領域は、SiO2/Siの交互に積層された層で構成され、通常厚さは、2乃至6分子層の範囲である。より厚いシリコン区画は、バリア層間に設置される。
Tsuの「シリコンナノ構造装置の現象」、および2000年9月6日にオンライン公開されたApplied Physics and Materials Science & Processingのp391−402には、シリコンと酸素の半導体−原子超格子(SAS)が示されている。Si/O超格子は、シリコン量子素子および発光装置に有益であることが示されている。特に、緑のエレクトロルミネッセンスダイオード構造が構成され、評価されている。ダイオード構造内の電流の向きは、縦方向、すなわちSASの層に対して垂直である。示されているSASは、酸素原子、CO分子等の吸着化学種によって分離された半導体層を有しても良い。酸素の吸着分子層上でのシリコン成長は、低欠陥密度でエピタキシャルであることが示されている。あるSAS構造は、1.1nm厚さのシリコン部分を有し、この部分は、シリコンの約8原子層で構成され、別の構造は、このシリコン厚さの2倍の厚さを有する。Luoらの「直接ギャップ発光シリコンの化学設計」、Physical Review Letters、89巻、7号(2002年8月12日)には、さらにTsuの発光SAS構造が示されている。
Wang、TsuおよびLofgrenの国際公開第WO02/103,767A1号には、薄いシリコンと、酸素、炭素、窒素、リン、アンチモン、砒素または水素のバリア構成ブロックが示されており、これにより格子を通る垂直な方向の電流の流れが、4桁抑制される。絶縁層および/またはバリア層によって、絶縁層に隣接して低欠陥エピタキシャルシリコンを設置することが可能となる。
Mearsらの英国特許出願第2,347,520号には、電子バンドギャップ設計に適合する非周期光バンドギャップ(APBG)構造の原理が示されている。特に、この出願には、材料パラメータ、例えば最低バンドの位置、有効質量等が示されており、所望のバンド構造特性を有する新しい非周期材料が得られるように調整することができる。また他のパラメータ、例えば電気伝導度、熱伝導度および電気的または磁気的な誘電率等も示されており、材料設計が可能である。
半導体装置内の電荷キャリアの移動度を設計的に向上させた材料について精力的な研究が行われているにも関わらず、未だに大きな改良を行う必要がある。移動度が大きくなると、装置速度が向上し、および/または装置の消費電力は小さくなる。移動度が大きくなると、装置の小型化への移行の流れが続いても、装置の特性を維持することができる。
米国特許第5,683,934号明細書 国際公開第WO02/103,767A1号パンフレット
前述の背景から、本発明の課題は、例えば大きな電荷キャリア移動度を有する半導体装置を提供することである。
本発明によるこのおよび他の課題、特徴および利点は、複数の積層された層群を有する超格子を有する半導体装置によって得ることができる。より具体的には、当該装置は、さらに積層された層群と平行な方向に、前記超格子を通る電荷キャリアの輸送が生じる領域を有する。超格子の各層群は、基本半導体部分を定形する複数の積層された基本半導体分子層と、該基本半導体部分上のエネルギーバンド調整層と、を有する。また前記エネルギーバンド調整層は、基本半導体部分に隣接する結晶格子内に取り込まれた、少なくとも一つの非半導体分子層を有し、前記超格子は、該超格子が存在しない場合に比べて、大きな電荷キャリア移動度を有する。また超格子は、そこに共通のエネルギーバンドを有しても良い。
電荷キャリアは、少なくとも一つの電子とホールを有しても良い。ある好適実施例では、各基本半導体部分は、シリコンで構成され、各エネルギーバンド調整層は、酸素で構成される。各エネルギーバンド調整層は、単一の単分子厚さであっても良く、各基本半導体部分は、8層未満の分子層厚さであっても良い。例えばある実施例では、その厚さは、2乃至6分子層である。
バンド設計の結果、さらに超格子は、実質的に直接エネルギーバンドギャップを有しても良く、これは、光電子装置に特に有意である。超格子は、さらに、層群の最上部に基本半導体キャップ層を有しても良い。
ある実施例では、全ての基本半導体部分は、同じ数の分子層厚さであっても良い。別の実施例では、少なくともいくつかの基本半導体部分は、異なる数の分子層厚さであっても良い。さらに別の実施例では、全ての基本半導体部分は、異なる数の分子層厚さであっても良い。各非半導体分子層は、次の層の成膜の際に熱的に安定であることが好ましく、これにより製造が容易となる。
各基本半導体部分は、IV族半導体、III−V族半導体およびII−VI族半導体からなる群から選定される基本半導体を有しても良い。さらに各エネルギーバンド調整層は、酸素、窒素、フッ素および炭素−酸素からなる群から選定される非半導体を有しても良い。
大きな移動度は、低電気伝導度有効質量から得られる。この低電気伝導度有効質量は、前記超格子が存在しない場合に得られる電気伝導度有効質量の2/3以下である。さらに超格子が、少なくとも一つの種類の導電性ドーパントを有しても良いことは勿論である。
本発明による半導体装置の概略断面図である。 図1に示す超格子の概略断面拡大図である。 図1に示す超格子の一部の概略的な原子構成図である。 図1の装置に用いられる超格子の別の実施例の概略断面拡大図である。 従来技術の両方がバルクのシリコンの場合、および図1乃至3に示す4/1のSi/O超格子の場合の、ガンマ点(G)からのバンド構造の計算結果のグラフである。 従来技術のバルクのシリコンの場合、および図1乃至3に示す4/1のSi/O超格子の場合の、z点からのバンド構造の計算結果のグラフである。 従来技術のバルクのシリコンの場合、および図4に示す5/1/3/1のSi/O超格子の場合の、ガンマおよびz点の両方からのバンド構造の計算結果のグラフである。 本発明による別の半導体装置部分の、製作段階での断面図である。 本発明による別の半導体装置部分の、製作段階での断面図である。 本発明による別の半導体装置部分の、製作段階での断面図である。 本発明による別の半導体装置部分の、製作段階での断面図である。 本発明による別の半導体装置部分の、製作段階での断面図である。 本発明による別の半導体装置部分の、製作段階での断面図である。 本発明による別の半導体装置部分の、製作段階での断面図である。 本発明による別の半導体装置部分の、製作段階での断面図である。
以下、本発明の好適実施例が示されている添付図面を参照して、本発明をより詳しく説明する。ただし本発明は、多くの異なる形態での実施が可能であり、以下に示す実施例に限定されると解してはならない。またこれらの実施例は、本説明をわかり易くするために提供され、当業者には本説明が、本発明の範囲を完全に網羅していることは明らかである。同様の素子には、全体を通して同じ参照符号が付されており、ダッシュ記号は、代替実施例の同様の素子を意味している。
本発明は、原子または分子レベルで、半導体材料の特性を制御する方法に関し、半導体装置には改良された特性が得られる。また本発明は、半導体装置の電気伝導経路に使用される改良材料の検証および創製、使用に関する。
出願人は、これに束縛されるものではないが、ここに示されているある超格子は、電荷キャリアの有効質量を低下させ、これにより、大きな電荷キャリア移動度が得られることを見出した。有効質量は、文献等では多くの定義で表されている。有効質量の改善効果の指標として、出願人は、電子とホールのそれぞれについて、「有効質量テンソルの逆数の電気伝導度」Me -1、Mh -1を使用する。これらは、以下のように定義される:
電子の場合、
Figure 2011044728
ホールの場合、
Figure 2011044728
である。ここで、fはフェルミ−ディラック分布であり、EFはフェルミ順位、Tは温度、E(k,n)は、波動ベクトルk とn番目のエネルギーバンドに対応する状態での電子のエネルギー、添え字iとjは、デカルト座標x、yおよびzを意味し、積分はブリユアン領域(B. Z.)を表し、加重総和は、それぞれ電子およびホールの、フェルミエネルギーを越えるまたはフェルミエネルギー未満のエネルギー持つバンドを表す。
出願人の定義の、有効質量テンソルの逆数の電気伝導度では、有効質量テンソルの逆数の電気伝導度の対応成分の値が大きくなると、材料の電気伝導度のテンソル成分が大きくなる。また出願人は、これに束縛されるものではないが、本願の超格子に、有効質量テンソルの逆数の電気伝導度の値を設定することにより、電荷キャリアの好適輸送方向における材料の電気伝導特性が向上することを見出した。適当なテンソル素子の逆数は、電気伝導度有効質量と呼ばれる。換言すれば、半導体材料構造を特徴付けるため、前述の、キャリアの対象輸送方向について算出された電子/ホールの電気伝導度有効質量が、改良材料の選別に利用される。
前述の指標を用いることで、特定の目的に合わせて改良されたバンド構造を有する材料を選定することが可能となる。そのような一例は、CMOS装置のチャンネル領域の超格子25材料である。本発明の超格子25を有する平坦化MOSFET20は、図1に示されている。ただし当業者には、個々に示された材料は、分離装置および/または集積装置等の、多くの異なる種類の半導体装置に使用できることは明らかである。
図のMOSFET20は、基板21と、ソース/ドレイン領域22、23と、ソース/ドレイン拡張部26、27と、超格子25によってそれらの間に設けられるチャンネル領域とを有する。ソース/ドレインシリサイド層30、31およびソース/ドレイン接続部32、33は、当業者には明らかなように、ソース/ドレイン領域の上に設置される。破線34、35で示されている領域は、元来超格子によって形成された任意残留部であるが、この部分は、後に高ドープされる。別の実施例では、当業者には明らかなように、これらの残留超格子領域34、35は、存在しない。図においてゲート35は、超格子25によって設けられるチャンネルに隣接するゲート絶縁層37と、ゲート絶縁層上のゲート電極層36とを有する。図から明らかなように、MOSFET20には、側壁スペーサ40、41が提供される。
出願人は、MOSFET20のチャンネル領域用の改良材料または構造を選別している。より具体的には、出願人は、電子および/またはホールの適切な電気伝導度有効質量が、実質的にシリコンの値よりも小さくなるエネルギーバンド構造を有する材料または構造を識別している。
図2、3には、超格子25の材料または構造が示されており、この構造は、原子または分子レベルで制御され、従来の原子または分子層の成膜技術を用いて形成される。超格子25は、複数の層群45a乃至45nを有し、これらの層は、図2の概略断面図を参照することによって、積層関係を理解することができる。
図において、超格子25の各層群45a乃至45nは、複数の積層された基本半導体分子層46を有し、これは、各基本半導体部分46a乃至46nで定められ、その上には、エネルギーバンド調整層50が設置される。エネルギーバンド調整層50は、明確化のため図2ではハッチで示されている。
図においてエネルギーバンド調整層50は、基本半導体部分に隣接する結晶格子内に拘束された、一つの非半導体分子層を有する。別の実施例では、2以上のそのような分子層が設けられる。出願人は、これに束縛されるものではないが、エネルギーバンド調整層50および隣接基本半導体部分46a乃至46nによって、超格子25が、該超格子の存在しない場合に比べて、層と平行な方向において、好適な低い電荷キャリアの電気伝導度有効質量を有することを見出した。別の表現では、この平行方向は、積層方向に対して直交している。またエネルギーバンド調整層50によって、超格子25は、共通のエネルギーバンド構造を有する。また、図に示すMOSFET20等の半導体装置では、超格子が存在しない場合に比べて、電気伝導度有効質量が低いため、高い電荷キャリア移動度が得られる。本発明のある実施例では、バンド設計の結果に基づき、超格子25は、さらに実質的に直接エネルギーバンドギャップを有し、これは、例えば以下に詳細を示す光電気装置に特に利点がある。
当業者には明らかなように、MOSFET20のソース/ドレイン領域22、23およびゲート35は、超格子を介して、積層された層群45a乃至45nと平行な方向に電荷キャリアの輸送が生じる領域とみなすことができる。本発明によって、他にもそのような領域を設定することができる。
また超格子25は、図のように、層群45nの上部にキャップ層52を有する。キャップ層52は、複数の基本半導体分子層46を有しても良い。キャップ層52は、2から100の基本半導体分子層を有するが、10から50の分子層であることがより好ましい。
各基本半導体部分46a乃至46nは、IV族半導体、III−V族半導体およびII−VI族半導体からなる群から選定された基本半導体を有しても良い。当然のことながらIV族半導体という言葉は、IV−IV族半導体を含み、これは当業者には明らかである。
各エネルギーバンド調整層50は、例えば酸素、窒素、フッ素および炭素−酸素からなる群から選定された非半導体を有しても良い。非半導体は、次の層の成膜の間、熱的に安定であることが好ましく、これにより製作が容易となる。別の実施例では、非半導体は、所与の半導体処理プロセスが利用できる、別の無機もしくは有機元素または化合物であっても良いことは、当業者には明らかである。
分子層という用語は、原子層と分子層の両方を含むことに留意する必要がある。また、単一の分子層によって提供されるエネルギーバンド調整層50という用語は、占め得るサイトの全てが完全に占められていない単分子を含むことに留意する必要がある。例えば、図3に示す原子図では、基本半導体材料としてのシリコンと、エネルギーバンド調整材料としての酸素との4/1の繰り返し構造が示されている。酸素が存在し得るサイトのうち半分のみが、占められている。別の実施例では、この半分を必ずしも異なる材料が占有する必要がないことは、当業者には明らかである。実際、この概略図においても、所与の分子層内の個々の酸素原子は、正確に平坦面に沿って配置されてはおらず、これは原子成膜の従来技術の当業者には明らかである。
シリコンと酸素は、現在、通常の半導体処理において広く使用されており、これらの材料を用いた製作は、容易に行うことができる。また原子または分子の成膜は、広く利用されている。従って本発明の超格子25を取り入れた半導体装置は、容易に採用して実施できることは、当業者には明らかである。
これに束縛されるものではないが、出願人は、例えばシリコン分子層の数が7以下であるSi/O超格子のような超格子では、超格子のエネルギーバンドが一定または比較的均一であり、所望の利点が得られることを見出した。図2、3に示すSi/Oの4/1繰り返し構造では、X方向における電子とホールの移動度が向上することがモデル計算によって示されている。例えば、電子の電気伝導度有効質量の計算結果(バルクのシリコンが等方性の場合)は、0.26であり、X方向における4/1のSiO超格子の場合は0.12であり、比は0.46である。同様に、ホールの計算結果では、バルクのシリコンとして0.36が得られ、4/1のSi/O超格子の場合は0.16であり、比は0.44となる。
ある半導体装置では、そのような優先方向特性が好ましいが、別の装置では、層群と平行ないかなる方向においても、移動度がより均一に増大することが有意である場合がある。また、電子とホールの両方の移動度が増大することが好ましい場合や、これらの電荷キャリアの一方のみの移動度が増大することが好ましい場合があることは、当業者には明らかである。
超格子25の4/1のSi/Oの低い電気伝導度有効質量は、電子とホールのいずれの場合も、超格子が存在しない場合の2/3以下である。当然のことながら、超格子25は、さらに少なくとも一種類の導電性ドーパントを有しても良く、これは当業者には明らかである。
次の図4には、本発明の超格子25’の別の実施例を示す。この超格子は、以下に示す別の特徴を有する。本実施例では、繰り返しパターンは3/1/5/1である。より正確には、最低部の基本半導体部分46a’は、3つの分子層を有し、2番目に低い基本半導体部分46b’は5つの分子層を有する。このパターンは、超格子25’全体にわたって繰り返される。エネルギーバンド調整層50’は、それぞれ、単一の分子層を有する。Si/Oを含むそのような超格子25’では、層の面の配向とは無関係に電荷キャリアの移動度が増大する。図4において特に言及されない別の素子は、図2を参照して示した前述のものと同様であり、ここではこれ以上説明しない。
ある装置の実施例では、超格子の全ての基本半導体部分は、同数の分子層厚さを有する。別の実施例では、少なくともいくつかの基本半導体部分は、異なる数の分子層厚さを有する。さらに別の実施例では、全ての基本半導体部分は、異なる数の分子層厚さを有する。
図5A乃至5Cには、密度汎関数理論(DFT)を用いて計算されたバンド構造を示す。従来よりDFTでは、バンドギャップの絶対値に比べて、バンドギャップが小さく見積もられることが知られている。従ってギャップを上回る全てのバンドは、適切な「切り取り補正」によってシフトされる。ただし、バンドの形状には信頼性があることが確認されている。垂直なエネルギー軸は、この観点で解釈する必要がある。
図5Aには、バルクがシリコンの場合(実線で示されている)と、図1乃至3に示す4/1のSi/O超格子25の場合(破線で示されている)の、ガンマ点(G)からのバンド構造の計算結果を示す。方向は、4/1のSi/O構造のユニットセルに対して示されており、従来のSiのユニットセルに対しては示されていない。ただし図の(001)方向は、従来のSiのユニットセルの(001)方向に対応するため、Siの伝導帯が最小となる予測位置が示されていることになる。図の(100)および(010)方向は、従来のSiユニットセルの(110)および(−110)方向に対応する。図の4/1のSi/O構造のSiのバンドは、適切な逆格子方向にそれらを折り返して示されていることは、当業者には明らかである。
4/1のSi/O構造の伝導帯最小値は、バルクのシリコン(Si)に対するガンマ点に位置するのに対して、価電子帯最小値は、z点と称する(001)方向におけるブリユアン領域の端部で生じることがわかる。4/1のSi/O構造の伝導帯の最小値の曲率は、Siの伝導帯最小値に比べて大きくなっている。これは、追加酸素層によって導入された摂動によるバンド分離のためである。
図5Bには、バルクのシリコン(実線)と、4/1のSi/O構造の超格子25(破線)の、z点からのバンド構造の計算結果を示す。この図では、(100)方向の価電子帯の曲率が、大きくなっていることが示されている。
図5Cには、バルクのシリコン(実線)と、図4の5/1/3/1のSi/O構造の超格子25’(破線)の、ガンマ点およびz点からのバンド構造の計算結果を示す。5/1/3/1のSi/O構造の対称性のため、(100)と(010)方向におけるバンド構造の計算結果は、等しくなっている。従って電気伝導度有効質量と移動度は、層と平行な面、すなわち(001)積層方向と垂直な面では等方性となることが予想される。5/1/3/1のSi/Oの例では、伝導帯の最小値と、価電子帯の最大値は、いずれもz点と一致するかz点に近くなることに留意する必要がある。曲率の増大は、有効質量が低下することを示唆しているが、有効質量テンソルの逆数の電気伝導度計算によって、適切な比較および識別を行うことができる。これにより、さらに出願人は、5/1/3/1の超格子25’が、実質的に直接バンドギャップとなることを見出した。当業者には明らかなように、光遷移の適切なマトリクス素子は、直接バンドギャップと間接バンドギャップの挙動を識別する別の指標となる。
図6A乃至6Hには、PMOSおよびNMOSトランジスタを製作する単純なCMOS製作プロセスにおいて、前述の超格子25によって提供されるチャンネル領域を形成する工程が示されている。この例では、最初の処理プロセスにおいて、<100>配向を有するP型またはN型に低ドープされた単結晶シリコン402の8インチウェハを使用する。例えば、一つはNMOSで、もう一つがPMOSの2つのトランジスタを形成する方法が示されている。図6Aでは、隔離のため、基板402に深N−ウェル404が注入される。図6Bでは、従来技術で調製されるSiO2/Si3N4マスクを用いて、それぞれN−ウェルとP−ウェルの領域406、408が形成される。これは、例えばn−ウェルおよびp−ウェルの注入、除去、内部拡散、洗浄、再成長のステップを伴う。除去ステップでは、マスク(この場合、フォトレジストおよび窒化珪素)が除去される。内部拡散ステップは、注入エネルギーが高エネルギー(200乃至300keV)ではなく、低い場合(すなわち80keV)に、ドーパントを適当な深さに設置するために利用される。通常の内部拡散処理は、1100乃至1150℃で、約9乃至10時間実施される。また内部拡散ステップでは、注入ダメージを除去するためのアニール処理が行われる。注入処理が、イオンを適正な深さに注入させるため高エネルギーで実施され、その後アニール処理が行われる場合、この処理温度は低温で、処理時間も短い。洗浄ステップは、酸化処理ステップの前に実施され、有機物、金属等による炉からの汚染の影響が回避される。以上の処理には、他の公知の方法や処理を用いても良い。
図6C乃至6Hでは、片側200にはNMOS装置が示され、他の側400にはPMOS装置が示されている。図6Cには、相互に分離された浅い溝が示されており、ウェハがパターン化され、溝410がエッチングされてから(0.3乃至0.8μm)、その内部に薄い酸化物層が形成成長され、溝がSiO2で充填され、その後、表面が平坦化される。図6Dには、チャンネル領域412、414として、本発明の超格子を定形、成膜した状態が示されている。SiO2マスク(図示されていない)が形成され、原子層成膜法を用いて本発明の超格子が成膜され、エピタキシャルシリコンキャップ層が形成され、表面が平坦化され、図6Dの構造が得られる。
エピタキシャルシリコンキャップ層は、好適な厚さを有し、ゲート酸化物の成長中に、あるいはその後の他の酸化処理の際に、超格子が減損することを回避する。同時に、シリコンキャップ層の厚さが減少したり最小化して、超格子の導電経路が減少することが回避される。従来から良く知られている関係に従えば、酸化物形成には、下地のシリコンの約45%が消費される。シリコンキャップ層は、成長ゲート酸化物厚さの45%に、当業者には明らかなように、製作誤差によるわずかの増分が加わった厚さとなる。本実施例では、25Åのゲートが成長すると仮定した場合、約13乃至15Åのシリコンキャップ厚さとなる。
図6Eには、ゲート酸化物層とゲートが形成された後の装置を示す。これらの層を形成するため、薄いゲート酸化物が設置され、他結晶の成膜、パターン化処理、エッチング処理の各ステップが実施される。他結晶の成膜とは、酸化物へのシリコンの低圧化学蒸着(LPCVD)を意味する(従って、他結晶材料が形成される)。このステップには、導電性を付与するための、P+またはAs−によるドーピングステップが含まれ、層の厚さは、約250nmとなる。
このステップで得られる厚さは、処理プロセスの精度に依存するため、厚さ250nm は、一例である。パターン化処理ステップは、フォトレジストのスピンコート処理、ベーキング処理、露光処理(写真転写処理ステップ)およびレジストの現像処理の各ステップで構成される。通常は、その後、エッチング処理時のマスクとして利用される別の層(酸化物または窒化物層)にパターンが転写される。通常エッチングステップでは、材料を選択的に(例えば、シリコンを酸化物に比べて10倍早く)エッチングするプラズマエッチング処理(異方性ドライエッチ)が行われ、写真転写パターンが対象材料に転写される。
図6Fでは、低ドープのソースおよびドレイン領域420、422が形成される。これらの領域は、n型およびp型のLDDイオン注入法を用いて形成され、熱処理後に洗浄される。「LDD」とは、n型低ドープドレイン、またはソース側では、p型低ドープソースを意味する。「LDD」は、ソース/ドレインと同じイオン種の低エネルギー/低イオン注入である。アニール処理ステップが、LDDイオン注入後に行われても良い。ただし特定の処理では、アニール処理は省略される場合がある。洗浄ステップでは、化学エッチング処理が行われ、酸化物層の成膜前に金属および有機物が除去される。
図6Gでは、スペーサの形成と、ソースおよびドレインのイオン注入が示されている。SiO2マスクが成膜され、エッチングされる。n型およびp型のイオン注入によって、ソースおよびドレイン領域430、432、434、436が形成される。次に構造部がアニール処理され、洗浄される。図6Hには、サリサイド化とも呼ばれる、自己整合型シリサイドの形成が示されている。サリサイド化処理には、金属(例えばチタン)の成膜、窒素アニール処理、金属エッチングおよび第2のアニール処理の各ステップが含まれる。当然のことながら、本発明に使用されるこの処理や装置は、単なる一例であって、本発明には、多くの他の処理や装置が利用できることは、当業者には明らかである。他の処理や装置では、本発明の構造部は、ウェハの一部に形成されても良く、実質的にウェハの全面に形成されても良い。
本発明の別の製作処理法では、選択的な成膜は実施されない。その代わりに、ブランケット層が形成され、マスキング処理ステップによって装置間の材料が除去される。エッチング停止層としては、STI領域が用いられる。これは、パターン処理された酸化物/Siウェハ上の成膜の制御に利用しても良い。ある実施例では、原子層成膜手段を用いる必要がなくなる。例えばCVD手段を用いて、分子層の制御が可能な処理条件で分子層を形成しても良いことは当業者には明らかである。前述のような平坦化処理は、いくつかの処理の実施例では不要である。超格子構造部は、STI領域の形成前に形成しても良く、この場合マスク処理ステップが除去できる。また、さらに別の変更例として、例えば超格子構造部は、ウェルの形成前に形成することも可能である。
本発明による方法は、複数の積層された層群45a乃至45nを有する超格子25を形成するステップを含む。またこの方法は、積層された層群と平行な方向に、超格子を通る電荷キャリアの輸送が生じる領域を形成するステップを含む。超格子の各層群は、基本半導体部分を定形する複数の積層された基本半導体分子層と、該基本半導体部分上のエネルギーバンド調整層と、を有する。前述のように、エネルギーバンド調整層は、基本半導体部分と隣接する結晶格子内に少なくとも一つの非半導体分子層を有し、超格子部は、この層内に共通のエネルギーバンド構造を有するため、超格子が存在しない場合に比べて、電荷キャリア移動度は大きくなる。
また当業者には、前述の説明および関連図面から、本発明の有意な利点を有する多くの変形や他の実施形態を容易に推考することが可能である。従って、本発明は、前述の特定の実施例に限定されるものではなく、他の修正や実施例は、添付の特許請求の範囲に属することを理解する必要がある。

Claims (16)

  1. 複数の積層された層群を有する超格子と、
    前記積層された層群と平行な方向に、前記超格子を通る電荷キャリアの輸送が生じる領域と、
    を有する半導体装置であって、
    前記超格子の各層群は、基本シリコン部分を形成する複数の積層された基本シリコン単分子層と、該基本半導体部分上のエネルギーバンド調整層と、を有し、
    前記エネルギーバンド調整層は、基本シリコン部分に隣接する結晶格子内に取り込まれた、少なくとも一つの酸素単分子層を有し、前記超格子は、該超格子が存在しない場合に比べて、前記平行な方向において大きな電荷キャリア移動度を有し、
    前記少なくとも1つの酸素単分子層における酸素原子の取り得るサイトの少なくとも一部は、酸素原子によって占められていないことを特徴とする半導体装置。
  2. 前記超格子は、共通のエネルギーバンド構造を有することを特徴とする請求項1に記載の半導体装置。
  3. 前記大きな移動度を有する電荷キャリアは、少なくとも一つの電子およびホールを有することを特徴とする請求項1に記載の半導体装置。
  4. 各エネルギーバンド調整層は、単一の単分子層厚さであることを特徴とする請求項1に記載の半導体装置。
  5. 各基本シリコン部分は、8つの単分子層厚さ未満であることを特徴とする請求項1に記載の半導体装置。
  6. 各基本シリコン部分は、2つ乃至6つの単分子層厚さであることを特徴とする請求項1に記載の半導体装置。
  7. さらに前記超格子は、実質的に直接エネルギーバンドギャップを有することを特徴とする請求項1に記載の半導体装置。
  8. さらに前記超格子は、層群の最上部に基本シリコンキャップ層を有することを特徴とする請求項1に記載の半導体装置。
  9. 全ての前記基本シリコン部分は、同数の単分子層厚さであることを特徴とする請求項1に記載の半導体装置。
  10. 前記基本シリコン部分の少なくとも一部は、異なる数の単分子層厚さであることを特徴とする請求項1に記載の半導体装置。
  11. 全ての前記基本シリコン部分は、異なる数の単分子層厚さであることを特徴とする請求項1に記載の半導体装置。
  12. 各酸素単分子層は、次の層の成膜の間、熱的に安定であることを特徴とする請求項1に記載の半導体装置。
  13. さらに、前記超格子と隣接する基板を有することを特徴とする請求項1に記載の半導体装置。
  14. 前記大きな電荷キャリア移動度は、前記超格子が存在しない場合に比べて、前記平行な方向における電荷キャリアの電気伝導度有効質量が低いために得られることを特徴とする請求項1に記載の半導体装置。
  15. 前記低い電気伝導度有効質量は、前記超格子が存在しない場合の電気伝導度有効質量の2/3以下であることを特徴とする請求項14に記載の半導体装置。
  16. さらに前記超格子は、少なくとも一つの種類の導電性ドーパントを有することを特徴とする請求項1に記載の半導体装置。
JP2010237839A 2003-06-26 2010-10-22 バンド設計超格子を有する半導体装置 Pending JP2011044728A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/603,621 US20040266116A1 (en) 2003-06-26 2003-06-26 Methods of fabricating semiconductor structures having improved conductivity effective mass
US10/603,696 US20040262594A1 (en) 2003-06-26 2003-06-26 Semiconductor structures having improved conductivity effective mass and methods for fabricating same
US10/647,060 US6958486B2 (en) 2003-06-26 2003-08-22 Semiconductor device including band-engineered superlattice

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2006515379A Division JP4918355B2 (ja) 2003-06-26 2004-06-28 バンド設計超格子を有する半導体装置

Publications (1)

Publication Number Publication Date
JP2011044728A true JP2011044728A (ja) 2011-03-03

Family

ID=33493657

Family Applications (5)

Application Number Title Priority Date Filing Date
JP2006515377A Expired - Fee Related JP4918354B2 (ja) 2003-06-26 2004-06-28 バンド設計超格子を有する半導体装置を製作する方法
JP2006515376A Active JP4742035B2 (ja) 2003-06-26 2004-06-28 バンド設計超格子を有する半導体装置
JP2006515379A Active JP4918355B2 (ja) 2003-06-26 2004-06-28 バンド設計超格子を有する半導体装置
JP2010237839A Pending JP2011044728A (ja) 2003-06-26 2010-10-22 バンド設計超格子を有する半導体装置
JP2010237837A Pending JP2011044727A (ja) 2003-06-26 2010-10-22 半導体装置を製作する方法

Family Applications Before (3)

Application Number Title Priority Date Filing Date
JP2006515377A Expired - Fee Related JP4918354B2 (ja) 2003-06-26 2004-06-28 バンド設計超格子を有する半導体装置を製作する方法
JP2006515376A Active JP4742035B2 (ja) 2003-06-26 2004-06-28 バンド設計超格子を有する半導体装置
JP2006515379A Active JP4918355B2 (ja) 2003-06-26 2004-06-28 バンド設計超格子を有する半導体装置

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2010237837A Pending JP2011044727A (ja) 2003-06-26 2010-10-22 半導体装置を製作する方法

Country Status (7)

Country Link
US (8) US6897472B2 (ja)
EP (2) EP1644984B1 (ja)
JP (5) JP4918354B2 (ja)
AU (2) AU2004301905B2 (ja)
CA (2) CA2530067C (ja)
DE (4) DE602004017472D1 (ja)
WO (2) WO2005013371A2 (ja)

Families Citing this family (145)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6749687B1 (en) * 1998-01-09 2004-06-15 Asm America, Inc. In situ growth of oxide and silicon layers
US20070015344A1 (en) * 2003-06-26 2007-01-18 Rj Mears, Llc Method for Making a Semiconductor Device Including a Strained Superlattice Between at Least One Pair of Spaced Apart Stress Regions
US20050282330A1 (en) * 2003-06-26 2005-12-22 Rj Mears, Llc Method for making a semiconductor device including a superlattice having at least one group of substantially undoped layers
US7045813B2 (en) * 2003-06-26 2006-05-16 Rj Mears, Llc Semiconductor device including a superlattice with regions defining a semiconductor junction
US7531829B2 (en) 2003-06-26 2009-05-12 Mears Technologies, Inc. Semiconductor device including regions of band-engineered semiconductor superlattice to reduce device-on resistance
US7045377B2 (en) * 2003-06-26 2006-05-16 Rj Mears, Llc Method for making a semiconductor device including a superlattice and adjacent semiconductor layer with doped regions defining a semiconductor junction
US7535041B2 (en) 2003-06-26 2009-05-19 Mears Technologies, Inc. Method for making a semiconductor device including regions of band-engineered semiconductor superlattice to reduce device-on resistance
US7446002B2 (en) * 2003-06-26 2008-11-04 Mears Technologies, Inc. Method for making a semiconductor device comprising a superlattice dielectric interface layer
US20070020860A1 (en) * 2003-06-26 2007-01-25 Rj Mears, Llc Method for Making Semiconductor Device Including a Strained Superlattice and Overlying Stress Layer and Related Methods
US7659539B2 (en) * 2003-06-26 2010-02-09 Mears Technologies, Inc. Semiconductor device including a floating gate memory cell with a superlattice channel
US7227174B2 (en) * 2003-06-26 2007-06-05 Rj Mears, Llc Semiconductor device including a superlattice and adjacent semiconductor layer with doped regions defining a semiconductor junction
US20070010040A1 (en) * 2003-06-26 2007-01-11 Rj Mears, Llc Method for Making a Semiconductor Device Including a Strained Superlattice Layer Above a Stress Layer
US7491587B2 (en) * 2003-06-26 2009-02-17 Mears Technologies, Inc. Method for making a semiconductor device having a semiconductor-on-insulator (SOI) configuration and including a superlattice on a thin semiconductor layer
US20060220118A1 (en) * 2003-06-26 2006-10-05 Rj Mears, Llc Semiconductor device including a dopant blocking superlattice
US7514328B2 (en) 2003-06-26 2009-04-07 Mears Technologies, Inc. Method for making a semiconductor device including shallow trench isolation (STI) regions with a superlattice therebetween
US7598515B2 (en) * 2003-06-26 2009-10-06 Mears Technologies, Inc. Semiconductor device including a strained superlattice and overlying stress layer and related methods
US7612366B2 (en) * 2003-06-26 2009-11-03 Mears Technologies, Inc. Semiconductor device including a strained superlattice layer above a stress layer
US20060289049A1 (en) * 2003-06-26 2006-12-28 Rj Mears, Llc Semiconductor Device Having a Semiconductor-on-Insulator (SOI) Configuration and Including a Superlattice on a Thin Semiconductor Layer
US20070020833A1 (en) * 2003-06-26 2007-01-25 Rj Mears, Llc Method for Making a Semiconductor Device Including a Channel with a Non-Semiconductor Layer Monolayer
US7531828B2 (en) * 2003-06-26 2009-05-12 Mears Technologies, Inc. Semiconductor device including a strained superlattice between at least one pair of spaced apart stress regions
US7586165B2 (en) 2003-06-26 2009-09-08 Mears Technologies, Inc. Microelectromechanical systems (MEMS) device including a superlattice
JP4059183B2 (ja) * 2003-10-07 2008-03-12 ソニー株式会社 絶縁体薄膜の製造方法
US7268362B2 (en) * 2005-02-25 2007-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. High performance transistors with SiGe strain
US20060265803A1 (en) * 2005-05-25 2006-11-30 Gestion Ultra Internationale Inc. Hydromassaging bathing tub with adjustable elevated seat
CN101258100B (zh) * 2005-05-31 2012-01-04 梅尔斯科技公司 包括超晶格的微型机电系统(mems)器件及制造方法
CN101371349B (zh) * 2005-06-20 2011-04-13 梅尔斯科技公司 包括其间具有超晶格的浅沟槽隔离区域的半导体器件及相关方法
WO2007005862A1 (en) * 2005-06-30 2007-01-11 Mears Technologies, Inc. Semiconductor device having a semiconductor-on-insulator (soi) configuration and including a superlattice on a thin semiconductor layer and associated methods
WO2007011627A1 (en) * 2005-07-15 2007-01-25 Mears Technologies, Inc. Semiconductor device including a strained superlattice layer above a stress layer and associated methods
WO2007011790A1 (en) * 2005-07-15 2007-01-25 Mears Technologies, Inc. Semiconductor device including a channel with a non-semiconductor monolayer and associated methods
WO2007011628A1 (en) * 2005-07-15 2007-01-25 Mears Technologies, Inc. Semiconductor device including a strained superlattice and overlying stress layer and related methods
EP1905092A1 (en) * 2005-07-15 2008-04-02 Mears Technologies, Inc. Semiconductor device including a strained superlattice between at least one pair of spaced apart stress regions and associated methods
TW200725886A (en) * 2005-09-23 2007-07-01 Mears R J Llc Semiconductor device including regions of band-engineered simiconductor superlattice to reduce device-on resistance
TWI316294B (en) * 2005-12-22 2009-10-21 Mears Technologies Inc Method for making an electronic device including a selectively polable superlattice
WO2007098138A2 (en) * 2006-02-21 2007-08-30 Mears Technologies, Inc. Semiconductor device comprising a lattice matching layer and associated methods
US20080012004A1 (en) * 2006-03-17 2008-01-17 Mears Technologies, Inc. Spintronic devices with constrained spintronic dopant
US7625767B2 (en) 2006-03-17 2009-12-01 Mears Technologies, Inc. Methods of making spintronic devices with constrained spintronic dopant
US7901968B2 (en) * 2006-03-23 2011-03-08 Asm America, Inc. Heteroepitaxial deposition over an oxidized surface
EP2020035A1 (en) * 2006-05-01 2009-02-04 Mears Technologies, Inc. Semiconductor device including a dopant blocking superlattice and associated methods
AU2007247955A1 (en) * 2006-05-05 2007-11-15 Mears Technologies, Inc. Semiconductor device having a semiconductor-on-insulator configuration and a superlattice and associated methods
JP2009536463A (ja) * 2006-05-05 2009-10-08 メアーズ テクノロジーズ, インコーポレイテッド 超格子チャネルを有する浮遊ゲートメモリセルを含む半導体素子及び関連方法
US7777290B2 (en) * 2006-06-13 2010-08-17 Wisconsin Alumni Research Foundation PIN diodes for photodetection and high-speed, high-resolution image sensing
US7928425B2 (en) * 2007-01-25 2011-04-19 Mears Technologies, Inc. Semiconductor device including a metal-to-semiconductor superlattice interface layer and related methods
US7880161B2 (en) * 2007-02-16 2011-02-01 Mears Technologies, Inc. Multiple-wavelength opto-electronic device including a superlattice
US7812370B2 (en) * 2007-07-25 2010-10-12 Taiwan Semiconductor Manufacturing Company, Ltd. Tunnel field-effect transistor with narrow band-gap channel and strong gate coupling
TWI348766B (en) * 2007-10-04 2011-09-11 Taiwan Tft Lcd Ass Method of fabricating thin film transistor
JP5156419B2 (ja) * 2008-02-05 2013-03-06 日本電信電話株式会社 半導体素子
US7834345B2 (en) * 2008-09-05 2010-11-16 Taiwan Semiconductor Manufacturing Company, Ltd. Tunnel field-effect transistors with superlattice channels
US8587075B2 (en) * 2008-11-18 2013-11-19 Taiwan Semiconductor Manufacturing Company, Ltd. Tunnel field-effect transistor with metal source
US20110215299A1 (en) 2010-03-08 2011-09-08 Mears Technologies, Inc. Semiconductor device including a superlattice and dopant diffusion retarding implants and related methods
US9127345B2 (en) 2012-03-06 2015-09-08 Asm America, Inc. Methods for depositing an epitaxial silicon germanium layer having a germanium to silicon ratio greater than 1:1 using silylgermane and a diluent
US9171715B2 (en) 2012-09-05 2015-10-27 Asm Ip Holding B.V. Atomic layer deposition of GeO2
US9196769B2 (en) 2013-06-25 2015-11-24 L-3 Communications Cincinnati Electronics Corporation Superlattice structures and infrared detector devices incorporating the same
US9337210B2 (en) 2013-08-12 2016-05-10 Micron Technology, Inc. Vertical ferroelectric field effect transistor constructions, constructions comprising a pair of vertical ferroelectric field effect transistors, vertical strings of ferroelectric field effect transistors, and vertical strings of laterally opposing pairs of vertical ferroelectric field effect transistors
KR101855023B1 (ko) 2013-11-22 2018-05-04 아토메라 인코포레이티드 정지층을 통한 초격자 펀치를 포함하는 수직 반도체 디바이스 및 관련된 방법
CN105900241B (zh) 2013-11-22 2020-07-24 阿托梅拉公司 包括超晶格耗尽层堆叠的半导体装置和相关方法
US9218963B2 (en) 2013-12-19 2015-12-22 Asm Ip Holding B.V. Cyclical deposition of germanium
US9276134B2 (en) 2014-01-10 2016-03-01 Micron Technology, Inc. Field effect transistor constructions and memory arrays
US9263577B2 (en) 2014-04-24 2016-02-16 Micron Technology, Inc. Ferroelectric field effect transistors, pluralities of ferroelectric field effect transistors arrayed in row lines and column lines, and methods of forming a plurality of ferroelectric field effect transistors
WO2015191561A1 (en) 2014-06-09 2015-12-17 Mears Technologies, Inc. Semiconductor devices with enhanced deterministic doping and related methods
US9472560B2 (en) 2014-06-16 2016-10-18 Micron Technology, Inc. Memory cell and an array of memory cells
US9159829B1 (en) 2014-10-07 2015-10-13 Micron Technology, Inc. Recessed transistors containing ferroelectric material
US9722046B2 (en) 2014-11-25 2017-08-01 Atomera Incorporated Semiconductor device including a superlattice and replacement metal gate structure and related methods
US9305929B1 (en) 2015-02-17 2016-04-05 Micron Technology, Inc. Memory cells
US9899479B2 (en) 2015-05-15 2018-02-20 Atomera Incorporated Semiconductor devices with superlattice layers providing halo implant peak confinement and related methods
US9721790B2 (en) 2015-06-02 2017-08-01 Atomera Incorporated Method for making enhanced semiconductor structures in single wafer processing chamber with desired uniformity control
US10134982B2 (en) 2015-07-24 2018-11-20 Micron Technology, Inc. Array of cross point memory cells
US9853211B2 (en) 2015-07-24 2017-12-26 Micron Technology, Inc. Array of cross point memory cells individually comprising a select device and a programmable device
US10918747B2 (en) 2015-07-30 2021-02-16 Vital Vio, Inc. Disinfecting lighting device
US10357582B1 (en) 2015-07-30 2019-07-23 Vital Vio, Inc. Disinfecting lighting device
JP2018525848A (ja) 2015-07-30 2018-09-06 バイタル バイオ、 インコーポレイテッド 単一ダイオード殺菌
US10026751B2 (en) * 2015-10-02 2018-07-17 Samsung Electronics Co., Ltd. Semiconductor device including a repeater/buffer at higher metal routing layers and methods of manufacturing the same
US9558939B1 (en) * 2016-01-15 2017-01-31 Atomera Incorporated Methods for making a semiconductor device including atomic layer structures using N2O as an oxygen source
DE102016001387A1 (de) 2016-02-09 2017-08-10 Azur Space Solar Power Gmbh Empfängerbaustein
WO2017197108A1 (en) 2016-05-11 2017-11-16 Atomera Incorporated Dram architecture to reduce row activation circuitry power and peripheral leakage and related methods
US10249745B2 (en) 2016-08-08 2019-04-02 Atomera Incorporated Method for making a semiconductor device including a resonant tunneling diode structure having a superlattice
US10107854B2 (en) 2016-08-17 2018-10-23 Atomera Incorporated Semiconductor device including threshold voltage measurement circuitry
US10854591B2 (en) 2016-11-04 2020-12-01 Samsung Electronics Co., Ltd. Semiconductor device including a repeater/buffer at upper metal routing layers and methods of manufacturing the same
US10396145B2 (en) 2017-01-12 2019-08-27 Micron Technology, Inc. Memory cells comprising ferroelectric material and including current leakage paths having different total resistances
WO2018213385A1 (en) 2017-05-16 2018-11-22 Atomera Incorporated Semiconductor device and method including a superlattice as a gettering layer
US10367064B2 (en) 2017-06-13 2019-07-30 Atomera Incorporated Semiconductor device with recessed channel array transistor (RCAT) including a superlattice
US10109479B1 (en) 2017-07-31 2018-10-23 Atomera Incorporated Method of making a semiconductor device with a buried insulating layer formed by annealing a superlattice
CN111247640B (zh) * 2017-08-18 2023-11-03 阿托梅拉公司 包括与超晶格sti界面相邻的非单晶纵梁的半导体器件和方法
US10617774B2 (en) 2017-12-01 2020-04-14 Vital Vio, Inc. Cover with disinfecting illuminated surface
US10309614B1 (en) 2017-12-05 2019-06-04 Vital Vivo, Inc. Light directing element
US10396223B2 (en) 2017-12-15 2019-08-27 Atomera Incorporated Method for making CMOS image sensor with buried superlattice layer to reduce crosstalk
US10608027B2 (en) 2017-12-15 2020-03-31 Atomera Incorporated Method for making CMOS image sensor including stacked semiconductor chips and image processing circuitry including a superlattice
US10355151B2 (en) 2017-12-15 2019-07-16 Atomera Incorporated CMOS image sensor including photodiodes with overlying superlattices to reduce crosstalk
US10367028B2 (en) 2017-12-15 2019-07-30 Atomera Incorporated CMOS image sensor including stacked semiconductor chips and image processing circuitry including a superlattice
US10608043B2 (en) 2017-12-15 2020-03-31 Atomera Incorporation Method for making CMOS image sensor including stacked semiconductor chips and readout circuitry including a superlattice
US10304881B1 (en) 2017-12-15 2019-05-28 Atomera Incorporated CMOS image sensor with buried superlattice layer to reduce crosstalk
CN111542925B (zh) * 2017-12-15 2023-11-03 阿托梅拉公司 包括堆叠的半导体芯片的cmos图像传感器和包括超晶格的读出电路系统及相关方法
US10361243B2 (en) 2017-12-15 2019-07-23 Atomera Incorporated Method for making CMOS image sensor including superlattice to enhance infrared light absorption
US10461118B2 (en) 2017-12-15 2019-10-29 Atomera Incorporated Method for making CMOS image sensor including photodiodes with overlying superlattices to reduce crosstalk
US10529768B2 (en) 2017-12-15 2020-01-07 Atomera Incorporated Method for making CMOS image sensor including pixels with read circuitry having a superlattice
US10529757B2 (en) 2017-12-15 2020-01-07 Atomera Incorporated CMOS image sensor including pixels with read circuitry having a superlattice
US10615209B2 (en) 2017-12-15 2020-04-07 Atomera Incorporated CMOS image sensor including stacked semiconductor chips and readout circuitry including a superlattice
US10276625B1 (en) 2017-12-15 2019-04-30 Atomera Incorporated CMOS image sensor including superlattice to enhance infrared light absorption
CN111937119A (zh) 2018-03-08 2020-11-13 阿托梅拉公司 包括具有超晶格的增强接触结构的半导体器件和相关方法
US10727049B2 (en) 2018-03-09 2020-07-28 Atomera Incorporated Method for making a semiconductor device including compound semiconductor materials and an impurity and point defect blocking superlattice
US10468245B2 (en) 2018-03-09 2019-11-05 Atomera Incorporated Semiconductor device including compound semiconductor materials and an impurity and point defect blocking superlattice
US10413626B1 (en) 2018-03-29 2019-09-17 Vital Vio, Inc. Multiple light emitter for inactivating microorganisms
EP3776073A1 (en) 2018-04-12 2021-02-17 Atomera Incorporated Semiconductor device and method including vertically integrated optical and electronic devices and comprising a superlattice
WO2019199926A1 (en) 2018-04-12 2019-10-17 Atomera Incorporated Device and method for making an inverted t channel field effect transistor (itfet) including a superlattice
US10566191B1 (en) 2018-08-30 2020-02-18 Atomera Incorporated Semiconductor device including superlattice structures with reduced defect densities
US10811498B2 (en) 2018-08-30 2020-10-20 Atomera Incorporated Method for making superlattice structures with reduced defect densities
US10593761B1 (en) 2018-11-16 2020-03-17 Atomera Incorporated Method for making a semiconductor device having reduced contact resistance
US10854717B2 (en) 2018-11-16 2020-12-01 Atomera Incorporated Method for making a FINFET including source and drain dopant diffusion blocking superlattices to reduce contact resistance
US10840337B2 (en) 2018-11-16 2020-11-17 Atomera Incorporated Method for making a FINFET having reduced contact resistance
US10840335B2 (en) 2018-11-16 2020-11-17 Atomera Incorporated Method for making semiconductor device including body contact dopant diffusion blocking superlattice to reduce contact resistance
US10580866B1 (en) 2018-11-16 2020-03-03 Atomera Incorporated Semiconductor device including source/drain dopant diffusion blocking superlattices to reduce contact resistance
US10847618B2 (en) 2018-11-16 2020-11-24 Atomera Incorporated Semiconductor device including body contact dopant diffusion blocking superlattice having reduced contact resistance
US10580867B1 (en) 2018-11-16 2020-03-03 Atomera Incorporated FINFET including source and drain regions with dopant diffusion blocking superlattice layers to reduce contact resistance
US10818755B2 (en) 2018-11-16 2020-10-27 Atomera Incorporated Method for making semiconductor device including source/drain dopant diffusion blocking superlattices to reduce contact resistance
US10840336B2 (en) 2018-11-16 2020-11-17 Atomera Incorporated Semiconductor device with metal-semiconductor contacts including oxygen insertion layer to constrain dopants and related methods
US11639897B2 (en) 2019-03-29 2023-05-02 Vyv, Inc. Contamination load sensing device
US11094818B2 (en) 2019-04-23 2021-08-17 Atomera Incorporated Method for making a semiconductor device including a superlattice and an asymmetric channel and related methods
US11541135B2 (en) 2019-06-28 2023-01-03 Vyv, Inc. Multiple band visible light disinfection
US11170834B2 (en) 2019-07-10 2021-11-09 Micron Technology, Inc. Memory cells and methods of forming a capacitor including current leakage paths having different total resistances
US10937868B2 (en) 2019-07-17 2021-03-02 Atomera Incorporated Method for making semiconductor devices with hyper-abrupt junction region including spaced-apart superlattices
US10840388B1 (en) 2019-07-17 2020-11-17 Atomera Incorporated Varactor with hyper-abrupt junction region including a superlattice
US10879357B1 (en) 2019-07-17 2020-12-29 Atomera Incorporated Method for making a semiconductor device having a hyper-abrupt junction region including a superlattice
US11183565B2 (en) 2019-07-17 2021-11-23 Atomera Incorporated Semiconductor devices including hyper-abrupt junction region including spaced-apart superlattices and related methods
US10825902B1 (en) 2019-07-17 2020-11-03 Atomera Incorporated Varactor with hyper-abrupt junction region including spaced-apart superlattices
US10937888B2 (en) 2019-07-17 2021-03-02 Atomera Incorporated Method for making a varactor with a hyper-abrupt junction region including spaced-apart superlattices
US10825901B1 (en) 2019-07-17 2020-11-03 Atomera Incorporated Semiconductor devices including hyper-abrupt junction region including a superlattice
US10868120B1 (en) 2019-07-17 2020-12-15 Atomera Incorporated Method for making a varactor with hyper-abrupt junction region including a superlattice
US11369704B2 (en) 2019-08-15 2022-06-28 Vyv, Inc. Devices configured to disinfect interiors
US11878084B2 (en) 2019-09-20 2024-01-23 Vyv, Inc. Disinfecting light emitting subcomponent
US11437487B2 (en) 2020-01-14 2022-09-06 Atomera Incorporated Bipolar junction transistors including emitter-base and base-collector superlattices
US11302823B2 (en) 2020-02-26 2022-04-12 Atomera Incorporated Method for making semiconductor device including a superlattice with different non-semiconductor material monolayers
TW202234700A (zh) * 2020-02-26 2022-09-01 美商安托梅拉公司 包含具有不同非半導體材料單層的超晶格之半導體元件及其相關方法
US11177351B2 (en) 2020-02-26 2021-11-16 Atomera Incorporated Semiconductor device including a superlattice with different non-semiconductor material monolayers
US11075078B1 (en) 2020-03-06 2021-07-27 Atomera Incorporated Method for making a semiconductor device including a superlattice within a recessed etch
US11569368B2 (en) 2020-06-11 2023-01-31 Atomera Incorporated Method for making semiconductor device including a superlattice and providing reduced gate leakage
US11469302B2 (en) 2020-06-11 2022-10-11 Atomera Incorporated Semiconductor device including a superlattice and providing reduced gate leakage
US11837634B2 (en) 2020-07-02 2023-12-05 Atomera Incorporated Semiconductor device including superlattice with oxygen and carbon monolayers
US11804531B2 (en) 2020-07-23 2023-10-31 Taiwan Semiconductor Manufacturing Co., Ltd. Thin film transfer using substrate with etch stop layer and diffusion barrier layer
TWI803219B (zh) 2021-03-03 2023-05-21 美商安托梅拉公司 包含具超晶格之接地面層之射頻半導體元件及相關方法
US11810784B2 (en) 2021-04-21 2023-11-07 Atomera Incorporated Method for making semiconductor device including a superlattice and enriched silicon 28 epitaxial layer
US11923418B2 (en) 2021-04-21 2024-03-05 Atomera Incorporated Semiconductor device including a superlattice and enriched silicon 28 epitaxial layer
US11682712B2 (en) 2021-05-26 2023-06-20 Atomera Incorporated Method for making semiconductor device including superlattice with O18 enriched monolayers
US11728385B2 (en) 2021-05-26 2023-08-15 Atomera Incorporated Semiconductor device including superlattice with O18 enriched monolayers
US11631584B1 (en) 2021-10-28 2023-04-18 Atomera Incorporated Method for making semiconductor device with selective etching of superlattice to define etch stop layer
US11721546B2 (en) 2021-10-28 2023-08-08 Atomera Incorporated Method for making semiconductor device with selective etching of superlattice to accumulate non-semiconductor atoms
WO2023215382A1 (en) 2022-05-04 2023-11-09 Atomera Incorporated Dram sense amplifier architecture with reduced power consumption and related methods

Family Cites Families (67)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US505887A (en) * 1893-10-03 Drawing-rolls
US34529A (en) * 1862-02-25 Improvement in oil-cans
US4485128A (en) * 1981-11-20 1984-11-27 Chronar Corporation Bandgap control in amorphous semiconductors
JPH0656887B2 (ja) 1982-02-03 1994-07-27 株式会社日立製作所 半導体装置およびその製法
US4594603A (en) * 1982-04-22 1986-06-10 Board Of Trustees Of The University Of Illinois Semiconductor device with disordered active region
JPS6127681A (ja) 1984-07-17 1986-02-07 Res Dev Corp Of Japan 超格子構造のチヤネル部をもつ電界効果トランジスタ
US4882609A (en) 1984-11-19 1989-11-21 Max-Planck Gesellschaft Zur Forderung Der Wissenschafter E.V. Semiconductor devices with at least one monoatomic layer of doping atoms
JPS61145820A (ja) 1984-12-20 1986-07-03 Seiko Epson Corp 半導体薄膜材料
JPS61210679A (ja) 1985-03-15 1986-09-18 Sony Corp 半導体装置
JPS61220339A (ja) 1985-03-26 1986-09-30 Nippon Telegr & Teleph Corp <Ntt> 半導体材料特性の制御方法
EP0214047B1 (en) * 1985-08-20 1993-12-22 Fujitsu Limited Field effect transistor
JPH06101559B2 (ja) * 1985-10-04 1994-12-12 日本電信電話株式会社 超格子電子素子
JPS62219665A (ja) 1986-03-20 1987-09-26 Fujitsu Ltd 超格子薄膜トランジスタ
JPS62256478A (ja) * 1986-04-30 1987-11-09 Sumitomo Electric Ind Ltd 化合物半導体装置
US4908678A (en) * 1986-10-08 1990-03-13 Semiconductor Energy Laboratory Co., Ltd. FET with a super lattice channel
JP2709374B2 (ja) * 1986-10-08 1998-02-04 株式会社 半導体エネルギー研究所 絶縁ゲイト型電界効果半導体装置
JPS6394682A (ja) * 1986-10-08 1988-04-25 Semiconductor Energy Lab Co Ltd 絶縁ゲイト型電界効果半導体装置
US5005887A (en) * 1990-04-09 1991-04-09 Davidson Textron Inc. Energy absorbing bumper fastener system
US5081513A (en) 1991-02-28 1992-01-14 Xerox Corporation Electronic device with recovery layer proximate to active layer
US5270247A (en) * 1991-07-12 1993-12-14 Fujitsu Limited Atomic layer epitaxy of compound semiconductor
US5216262A (en) 1992-03-02 1993-06-01 Raphael Tsu Quantum well structures useful for semiconductor devices
JPH0643482A (ja) * 1992-07-24 1994-02-18 Matsushita Electric Ind Co Ltd 空間光変調素子およびその製造方法
US5357119A (en) * 1993-02-19 1994-10-18 Board Of Regents Of The University Of California Field effect devices having short period superlattice structures using Si and Ge
US5606177A (en) 1993-10-29 1997-02-25 Texas Instruments Incorporated Silicon oxide resonant tunneling diode structure
US5796119A (en) * 1993-10-29 1998-08-18 Texas Instruments Incorporated Silicon resonant tunneling
US5466949A (en) 1994-08-04 1995-11-14 Texas Instruments Incorporated Silicon oxide germanium resonant tunneling
US5627386A (en) * 1994-08-11 1997-05-06 The United States Of America As Represented By The Secretary Of The Army Silicon nanostructure light-emitting diode
US5561302A (en) 1994-09-26 1996-10-01 Motorola, Inc. Enhanced mobility MOSFET device and method
US5577061A (en) 1994-12-16 1996-11-19 Hughes Aircraft Company Superlattice cladding layers for mid-infrared lasers
FR2734097B1 (fr) * 1995-05-12 1997-06-06 Thomson Csf Laser a semiconducteurs
US6326650B1 (en) * 1995-08-03 2001-12-04 Jeremy Allam Method of forming a semiconductor structure
JP3529938B2 (ja) * 1996-04-19 2004-05-24 富士通株式会社 半導体集積回路装置及び半導体装置の製造方法
US6344271B1 (en) 1998-11-06 2002-02-05 Nanoenergy Corporation Materials and products using nanostructured non-stoichiometric substances
EP0843361A1 (en) 1996-11-15 1998-05-20 Hitachi Europe Limited Memory device
JPH10173177A (ja) 1996-12-10 1998-06-26 Mitsubishi Electric Corp Misトランジスタの製造方法
US6058127A (en) 1996-12-13 2000-05-02 Massachusetts Institute Of Technology Tunable microcavity and method of using nonlinear materials in a photonic crystal
US5994164A (en) 1997-03-18 1999-11-30 The Penn State Research Foundation Nanostructure tailoring of material properties using controlled crystallization
US6255150B1 (en) 1997-10-23 2001-07-03 Texas Instruments Incorporated Use of crystalline SiOx barriers for Si-based resonant tunneling diodes
US6376337B1 (en) 1997-11-10 2002-04-23 Nanodynamics, Inc. Epitaxial SiOx barrier/insulation layer
JP3443343B2 (ja) * 1997-12-03 2003-09-02 松下電器産業株式会社 半導体装置
JP3547037B2 (ja) 1997-12-04 2004-07-28 株式会社リコー 半導体積層構造及び半導体発光素子
US6154475A (en) * 1997-12-04 2000-11-28 The United States Of America As Represented By The Secretary Of The Air Force Silicon-based strain-symmetrized GE-SI quantum lasers
US6608327B1 (en) 1998-02-27 2003-08-19 North Carolina State University Gallium nitride semiconductor structure including laterally offset patterned layers
JP3854731B2 (ja) * 1998-03-30 2006-12-06 シャープ株式会社 微細構造の製造方法
US6888175B1 (en) 1998-05-29 2005-05-03 Massachusetts Institute Of Technology Compound semiconductor structure with lattice and polarity matched heteroepitaxial layers
RU2142665C1 (ru) * 1998-08-10 1999-12-10 Швейкин Василий Иванович Инжекционный лазер
US6586835B1 (en) * 1998-08-31 2003-07-01 Micron Technology, Inc. Compact system module with built-in thermoelectric cooling
EP1020900B1 (en) * 1999-01-14 2009-08-05 Panasonic Corporation Semiconductor device and method for fabricating the same
EP1168539B1 (en) 1999-03-04 2009-12-16 Nichia Corporation Nitride semiconductor laser device
GB9905196D0 (en) 1999-03-05 1999-04-28 Fujitsu Telecommunications Eur Aperiodic gratings
US6350993B1 (en) * 1999-03-12 2002-02-26 International Business Machines Corporation High speed composite p-channel Si/SiGe heterostructure for field effect devices
US6281532B1 (en) * 1999-06-28 2001-08-28 Intel Corporation Technique to obtain increased channel mobilities in NMOS transistors by gate electrode engineering
US6570898B2 (en) 1999-09-29 2003-05-27 Xerox Corporation Structure and method for index-guided buried heterostructure AlGalnN laser diodes
US7225393B2 (en) * 1999-10-01 2007-05-29 Matsushita Electric Industrial Co., Ltd. Viterbi decoder and Viterbi decoding method
US6501092B1 (en) 1999-10-25 2002-12-31 Intel Corporation Integrated semiconductor superlattice optical modulator
RU2173003C2 (ru) * 1999-11-25 2001-08-27 Септре Электроникс Лимитед Способ образования кремниевой наноструктуры, решетки кремниевых квантовых проводков и основанных на них устройств
US6562678B1 (en) * 2000-03-07 2003-05-13 Symetrix Corporation Chemical vapor deposition process for fabricating layered superlattice materials
US6582972B1 (en) * 2000-04-07 2003-06-24 Symetrix Corporation Low temperature oxidizing method of making a layered superlattice material
DE10025264A1 (de) * 2000-05-22 2001-11-29 Max Planck Gesellschaft Feldeffekt-Transistor auf der Basis von eingebetteten Clusterstrukturen und Verfahren zu seiner Herstellung
US7301199B2 (en) 2000-08-22 2007-11-27 President And Fellows Of Harvard College Nanoscale wires and related devices
TW459394B (en) * 2000-11-02 2001-10-11 Integrated Crystal Technology Superlattice infrared photodetector
US6521549B1 (en) 2000-11-28 2003-02-18 Lsi Logic Corporation Method of reducing silicon oxynitride gate insulator thickness in some transistors of a hybrid integrated circuit to obtain increased differential in gate insulator thickness with other transistors of the hybrid circuit
US20020100942A1 (en) * 2000-12-04 2002-08-01 Fitzgerald Eugene A. CMOS inverter and integrated circuits utilizing strained silicon surface channel MOSFETs
US6559471B2 (en) * 2000-12-08 2003-05-06 Motorola, Inc. Quantum well infrared photodetector and method for fabricating same
JP2005504436A (ja) * 2001-09-21 2005-02-10 アンバーウェーブ システムズ コーポレイション 画定された不純物勾配を有するひずみ材料層を使用する半導体構造、およびその構造を製作するための方法。
WO2003079415A2 (en) * 2002-03-14 2003-09-25 Amberwave Systems Corporation Methods for fabricating strained layers on semiconductor substrates
US7023010B2 (en) * 2003-04-21 2006-04-04 Nanodynamics, Inc. Si/C superlattice useful for semiconductor devices

Also Published As

Publication number Publication date
US20050087738A1 (en) 2005-04-28
EP1644981A2 (en) 2006-04-12
US7034329B2 (en) 2006-04-25
WO2005013371A2 (en) 2005-02-10
JP2007521646A (ja) 2007-08-02
JP2011044727A (ja) 2011-03-03
US20050087736A1 (en) 2005-04-28
US20040262628A1 (en) 2004-12-30
US20040266045A1 (en) 2004-12-30
US6952018B2 (en) 2005-10-04
WO2005013371A3 (en) 2005-04-14
AU2004301905B2 (en) 2007-10-18
US20040262597A1 (en) 2004-12-30
US6830964B1 (en) 2004-12-14
JP4742035B2 (ja) 2011-08-10
EP1644984A1 (en) 2006-04-12
AU2004306355B2 (en) 2007-10-18
US20040262596A1 (en) 2004-12-30
DE602004025349D1 (de) 2010-03-18
US20050017235A1 (en) 2005-01-27
US6897472B2 (en) 2005-05-24
US6927413B2 (en) 2005-08-09
EP1644981B1 (en) 2010-01-27
DE602004017472D1 (de) 2008-12-11
US7071119B2 (en) 2006-07-04
JP4918354B2 (ja) 2012-04-18
CA2530067A1 (en) 2005-04-14
AU2004301905A1 (en) 2005-02-10
US6891188B2 (en) 2005-05-10
JP4918355B2 (ja) 2012-04-18
US20040262595A1 (en) 2004-12-30
CA2530067C (en) 2012-05-01
EP1644984B1 (en) 2009-09-16
JP2007521649A (ja) 2007-08-02
AU2004306355A1 (en) 2005-04-14
US6958486B2 (en) 2005-10-25
DE602004023200D1 (de) 2009-10-29
WO2005034245A1 (en) 2005-04-14
DE602004016855D1 (de) 2008-11-13
JP2007521647A (ja) 2007-08-02
CA2530050A1 (en) 2005-02-10

Similar Documents

Publication Publication Date Title
JP4918355B2 (ja) バンド設計超格子を有する半導体装置
JP2007521648A (ja) バンド設計超格子を有するmosfetを有する半導体装置
US7033437B2 (en) Method for making semiconductor device including band-engineered superlattice
JP2008543053A (ja) 実質的にドーピングされていない層からなる少なくとも1の群を有する超格子を含む半導体素子
US20050282330A1 (en) Method for making a semiconductor device including a superlattice having at least one group of substantially undoped layers
JP2008543052A (ja) 超格子誘電界面層を有する半導体素子
JP2009535861A (ja) ドーパントを阻止する超格子を有する半導体素子及び関連方法
JP2009536463A (ja) 超格子チャネルを有する浮遊ゲートメモリセルを含む半導体素子及び関連方法
JP2009536464A (ja) 絶縁体上に半導体が存在する配置及び超格子を有する半導体素子並びに関連方法

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20121204

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20130507