JP2010504422A - 廃棄流を搬送するための装置 - Google Patents

廃棄流を搬送するための装置 Download PDF

Info

Publication number
JP2010504422A
JP2010504422A JP2009523351A JP2009523351A JP2010504422A JP 2010504422 A JP2010504422 A JP 2010504422A JP 2009523351 A JP2009523351 A JP 2009523351A JP 2009523351 A JP2009523351 A JP 2009523351A JP 2010504422 A JP2010504422 A JP 2010504422A
Authority
JP
Japan
Prior art keywords
conduit means
chamber
pump
vacuum pump
waste stream
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2009523351A
Other languages
English (en)
Other versions
JP5542440B2 (ja
Inventor
イアン ディヴィッド ストーンズ
Original Assignee
エドワーズ リミテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by エドワーズ リミテッド filed Critical エドワーズ リミテッド
Publication of JP2010504422A publication Critical patent/JP2010504422A/ja
Application granted granted Critical
Publication of JP5542440B2 publication Critical patent/JP5542440B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/564Means for minimising impurities in the coating chamber such as dust, moisture, residual gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/85978With pump
    • Y10T137/86083Vacuum pump
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/85978With pump
    • Y10T137/86131Plural
    • Y10T137/86163Parallel

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Non-Positive Displacement Air Blowers (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Vapour Deposition (AREA)
  • Refuse Collection And Transfer (AREA)

Abstract

第1及び第2のチャンバから排気された廃棄流を搬送する装置が提供される。本装置は、第1のチャンバから排気された廃棄流を受け入れる入口と出口とを含む第1の導管手段と、第2のチャンバから排気された廃棄流を受け入れる入口と出口とを含む第2の導管手段とを備える。第1及び第2の導管手段の出口は共に接続される。第1の導管手段は、第2のチャンバから排気された廃棄流の成分が第1のチャンバに向かって移動するの阻止するために、第1の導管手段の出口に向かって搬送されているガスの音速チョークを発生させる手段を備える。
【選択図】 図3

Description

本発明は、第1及び第2のチャンバから排気される廃棄流を搬送する装置に関する。
半導体デバイスの製作における第1のステップは、気化前駆体の化学反応により半導体基板上に薄膜を形成することである。基板上に薄膜を堆積させる1つの公知の技法は、化学蒸着(CVD)法である。この技法において、プロセスガスが基板を収容する真空プロセスチャンバに供給されて反応し、基板表面を覆って薄膜を形成する。
基板上にアルミニウム層を堆積させるのに使用されるCVDプロセスは、MOCVD(有機金属化学蒸着)法であり、当該プロセスでは、窒素又はアルゴンなどのキャリアガス内に同伴した有機アルミニウム前駆体を、プロセスチャンバに供給する。前駆体を還元するために、水素もまたプロセスチャンバ内に供給される。プロセスチャンバは真空排気されて、ほぼ500℃未満の堆積温度まで加熱され、この温度で前駆体が分解して基板上にアルミニウムが堆積される。
物理蒸着(PVD)は、原子レベルでの材料の移動を伴う蒸発コーティング技法である。半導体製造に使用されるPVD技法の1つの例はスパッタコーティングであり、当該技法では、真空プロセスチャンバ内部に配置された固体ターゲット材料内の原子が、励起イオンによる材料との衝撃に起因して気相内に排出される。これらの原子は、プロセスチャンバ内部に配置された基板上に堆積され、基板上に薄膜を形成する。
プロセスチャンバの残留ガス内の汚染物の存在は、基板上に形成される膜又は層の品質に有害な可能性がある。支配的な残留ガスは通常水であるが、酸素又は水素の場合もある。生成された層内の不純物は、低密度で低応力の膜、膜内に内在する応力、膜の高電気抵抗、及び膜抵抗の正温度係数の低下のうちの1つ又はそれ以上をもたらす。軽ガスである水素は、特に侵入性になる場合があり、生成層の水素脆化をもたらす恐れがある。従ってPVDプロセスでは、水素による汚染の回避がとりわけ重要である。
こうした堆積プロセスにおいては、プロセスチャンバでの堆積ガスの滞留時間は比較的短く、よって、チャンバに供給されたガスの僅かな割合だけが加工中に消費される。その結果、プロセスチャンバに供給されたガスの大部分は、堆積プロセスからの副生成物と共にチャンバから排気され、プロセスチャンバを真空排気するのに使用される真空ポンプに導管を介して搬送される。
プロセスツールは通常、複数のプロセスチャンバを備え、これらのチャンバでは、同様の又は異なるプロセスを所与の何れかの時間で行うことができる。例えば、1つ又はそれ以上の堆積チャンバに加えて、プロセスツールは、1つ又はそれ以上のエッチングチャンバを備えることができ、ここでは基板内及び/又は基板上に堆積される薄膜内に形状がエッチングされる。その結果、プロセスツールの1つのチャンバからの廃棄流は、プロセスツールの別のチャンバで実施されているプロセスと相容れない場合がある。この観点から、チャンバを真空排気するのに使用されるポンプ装置は、一般に、図1に示されるように各プロセスチャンバのための2次ポンプを備える。図1は、第1及び第2のプロセスチャンバ2、12を表し、各々がそれぞれのターボ分子真空ポンプ4、14によって真空排気されている。各ターボ分子真空ポンプは、プロセスチャンバ2、12から排気された廃棄流の分離を保持するためにそれぞれの1次ポンプ6、16によって支援される。
ポンプ装置に関連する信頼性及びコストを改善しながら、全体のポンプ装置の複雑性、フットプリント及び出力要件を低減することが望ましい。従って、多くの別個の2次ポンプを補助する単一の1次ポンプを提供することが好ましく、多くの場合、汚染が問題とならないポンプ装置で実装される構成である。この構成の一実施例が図2に示されており、各ターボ分子真空ポンプ4、14は、その出口に接続されたそれぞれの排気導管8、18を有する。排気導管8、18は、合流して共通の排気導管を形成し、これは1次真空ポンプ10の入口に接続される。
残念ながら、2次ポンプ14によって排気されるような廃棄流のうちの1つの成分と他のチャンバ2内で実施されているプロセスとの間に不適合性が存在する場合には、汚染が問題となる可能性がある。真空領域での汚染の3つの顕著な例には以下のものがある。
・水素、これは極めて少量であってもスパッタリングされた膜の脆性を生じさせる可能性がある;
・ヘリウム、これが存在すると、雰囲気の熱伝達特性に影響を与え、膜のバルク特性に影響を及ぼす;
・水、これはプロセスチャンバ内で前駆体材料と反応することができるので、極めて有害となる可能性がある;
汚染物質が軽ガス、すなわち水素などの比較的小さな分子量を有するガスである場合、汚染が特に顕著になる。この軽ガスは、チャンバ12から発生し、ターボ分子真空ポンプ14を介して排気導管18内に搬送されることがある。排気導管8、18間の接続に起因して、この軽ガスは、排気導管8からターボ分子真空ポンプ4を経由してチャンバ2内に逆方向に移動する可能性がある。
軽ガスの分圧は、ターボ分子真空ポンプ4による圧縮に起因して、排気導管8内に比べてチャンバ2内でより低い可能性が高いが、プロセスによっては、微量の汚染であっても望ましくない。これらの状況では、共通の1次ポンプ10を使用するポンプ装置は不適切である。
多くのターボ分子真空ポンプは、軽ガスに対するよりも、より重いガスを排気するのに最適化されている。窒素又はアルゴンのような、より重いガスに対する典型的な圧縮比は、1×108を超えることがあるのに対し、水素に対する圧縮比は、1×103と1×105との間であり、ヘリウムに対しては1×105から1×107の範囲であるようである。
ポンプ機構に付加的なポンプ段を付加することによるターボ分子真空ポンプの圧縮の増大は、軽ガスに対する圧縮比を更に増大させ、その結果、ターボ分子真空ポンプの出口から入口への汚染成分の逆方向移動を低減させることになる。しかしながら、追加のポンプ段の導入は、ポンプのコスト及び寸法を増大させることになる。
本発明の目的は、共通の1次ポンプ又は共通の導管部を設けることができるように、真空ポンプを通る廃棄流の成分の逆方向移動の問題に取り組むことである。
本発明の第1の態様は、第1及び第2のチャンバから排気された廃棄流を搬送する装置を提供し、該装置は、
第1のチャンバから排気された廃棄流を受け入れる入口と、出口とを含む第1の導管手段と、
第2のチャンバから排気された廃棄流を受け入れる入口と、第1の導管手段の出口と連通した出口とを含む第2の導管手段と、
を備え、
第1の導管手段は、該第1の導管手段の一部の断面積を局所的に減少させる手段を含み、第2のチャンバから排気された廃棄流の成分が第1チャンバに向かう移動を阻止する程度にまで、第1の導管手段の出口に向かって搬送されるガスの局所密度を増大させるようにすることを特徴とする。
第1の導管手段の一部の断面積を局所的に減少させる手段を導入することにより、第1の導管手段を通って搬送されるガスの密度を局所的に増大させることができる。このことは、第2のチャンバから排気された廃棄流の望ましくない成分に対する妨害をもたらし、この廃棄流が第1のチャンバに向けて逆移動する割合がより少なくなる。換言すれば、汚染物質の逆方向輸送が特定の抑制比により抑制される。
第1の導管の一部の断面積を局所的に減少させる手段は、例えば開口(アパーチャ)プレートなどの流れ絞り部を含むことができる。プレートの開口は、配置される第1の導管手段の他の部分よりも実質的に小さい直径のものであり、例えば25〜40mmに比べ、1.5〜4.5mmの直径とすることができる。ガスポートを流れ絞り部の上流側に設けることができ、ガスポートにパージガスを供給するための手段も共に設けられる。パージガスは、窒素、アルゴン或いは第1のチャンバ内部で実施されているプロセスと適合する別の媒体とすることができる。
廃棄流の成分は、例えば水素のような軽ガスの気体成分とすることができる。或いは、廃棄流の成分は、蒸気又は固体成分であってもよい。
本装置は、第1及び第2の導管手段からの廃棄流を受け入れるための共通の排気導管を備えることができる。
本発明の第2の態様は、上述の装置、並びに第1のチャンバを真空排気し且つ第1の導管手段の入口に接続された出口を有する第1の真空ポンプと、第2のチャンバを真空排気し且つ第2の導管手段の入口に接続された出口を有する第2の真空ポンプとを備えるポンプ装置を提供する。
第1の真空ポンプ及び第2の真空ポンプは各々、ターボ分子真空ポンプなどの2次真空ポンプであってもよい。1次ポンプは、第1及び第2の導管手段の各々の出口に接続することができる。或いは、第1の真空ポンプ及び第2の真空ポンプは各々、1次ポンプとすることができる。
分離した補助ポンプを使用する従来技術のポンプ装置を示す図である。 共用の補助ポンプを有する別の従来技術のポンプ装置を示す図である。 1つのチャンバの廃棄流からの成分が別のチャンバに向かう逆方向移動の阻止手段を有するポンプ装置を示す図である。 チョーク流れ及び自由噴流膨張の原理を示す図である。 真空排気される複数のチャンバを有するポンプ装置を示す図である。 1次ポンプ内への汚染物の逆方向移動の阻止手段を有するポンプ装置を示す図である。
本発明を、例のみとして添付図面を参照しながら以下に詳細に説明する。
ポンプ装置を図3に示す。ポンプ装置は、例えば、チャンバ22の出口に接続された入口を有するターボ分子真空ポンプ20である2次ポンプと、例えば、チャンバ32の出口に接続された入口を有するターボ分子真空ポンプ30である2次ポンプとを備える。
フットプリント、出力要件及びポンプ装置の部品点数を低減するためには、単一の1次ポンプ、すなわち補助ポンプ40によって補助されるターボ分子真空ポンプ20、30を有することが望ましい。補助ポンプ40の入口は、チャンバ22、32から排気された廃棄流を搬送する装置によってターボ分子真空ポンプに接続される。当該装置は、第1及び第2の排気導管24、34と、共通の第3の排気導管44とを備える。第1及び第2の排気導管24、34は各々、それぞれのターボ分子真空ポンプ20、30の出口に接続された入口と、出口とを有する。これらの出口の各々は、共通の排気導管44の一方端に接続され、該共通の排気導管は、他方端で補助ポンプ40の入口に接続される。
作動中に各ターボ分子真空ポンプによって輸送された廃棄流の組成は、チャンバ22、23に供給されるプロセスガスと、これらのプロセスから生じる副生物とによって決定される。1つのチャンバ、例えば、チャンバ32からの廃棄流は、他のチャンバ、例えばチャンバ22で行われているプロセスに対して有害であることが判明した成分を含む場合があるので、あらゆる物質又は汚染物がターボ分子ポンプ30からターボ分子ポンプ20を通ってチャンバ22内に逆方向に輸送されるのを防止することが望ましい。廃棄流が、軽ガスすなわち低い相対分子量を有するガス、例えば水素などを含む場合、ターボ分子真空ポンプ機構は、このようなガスではより低い圧縮比、例えば1×103から1×105を有するので、より高いレベルの汚染が生じる。チャンバ22内への何らかの汚染物の輸送の割合は、排気導管24、34内の他のガスの相対圧力及び流量、並びにターボ分子ポンプ20の圧縮及びポンプ速度によって決定される。
チャンバ32から排気された廃棄流の成分がチャンバ22に向かって移動するのを阻止するために、第1の排気導管24は、第1の排気導管24の出口に向けてターボ分子ポンプ20から搬送されているガスの密度を局所的に高める手段、或いは限界において当該ガスの音速チョークを発生させる手段を備える。
図4は、音速チョーク及び自由噴流膨張の現象を示している。定常圧縮粘性流体流れが、通る導管の断面に対する幾何学的絞りに出合うと、その流れにはベンチュリ効果が生じる。換言すれば、導管に沿った質量流量を一定に保つために、狭窄部での流体の圧力は低下し、狭窄部での流体の速度及び密度は増大する。このベンチュリ効果の限界条件は、狭窄部での圧力がもはや低下できず、流体流の速度が臨界値すなわち音速(マッハ1)に達すると生じる。こうした条件下では、流れはチョークされているといわれる。要因の組み合わせが、ベンチュリ効果の生じる程度及び最終的にはチョーク流の発現に影響する。これらの因子としては、狭窄部又は開口の直径、狭窄部の長さ、質量流量、導管を通って輸送されている種、狭窄部前後の圧力差、及びガスの温度が挙げられる。導管内部でベンチュリ効果が始まることにより、打ち勝った汚染流体流れに抗する流れに対する有意な妨害が導入され、その結果、汚染物質の抑制が達成される。
極端な場合に、ベンチュリ効果により、図4に示すようなチョーク状態が生じることになる。図4に示された開口の下流側では突然の膨張がもたらされ、これにより自由噴流膨張が生じ、図示のように、アパーチャの下流側にある周囲流体の何れかの逆方向流又はクロス流が容易には通過できない「沈黙領域(Zone of silence)」が得られることになる。従って、こうした流れに対する障壁が強化される。
再度図3を参照すると、例えば開口プレートである流れ絞り部26が、第1の排気導管24内に配置されている。流れ絞り部26は、第1の排気導管24の断面積を局所的に減少させる働きをし、これにより、プレート前後の所与の圧力変動及びプレートを通る所与の質量流量において、流れ絞り部26のアパーチャを通って移動する流体の速度及び密度が上述のように強制的に高められ、最終的にはチョーク流状態を得ることができる。
汚染物質の逆方向移動の一定レベルの抑制を達成するために、一定ガス源が流れ絞り部26の上流側に配置されたガスポート28を通って導入される。大きな分子量を有し且つチャンバ22で実施されているプロセスと適合性のあるパージガス、例えば窒素、アルゴン又は他のガスが、所与の圧力及び質量流量でポート28を通って導入される。分離したパージガス源の導入により、汚染物の逆方向移動の阻止又は抑制を常時制御できるようになり、これによりターボ分子ポンプ20が運転を停止した場合であっても流れ絞り部26の上流側の状態が予測可能にされる。こうした期間では、第2の排気導管34内に残存する廃棄流のあらゆる望ましくない成分がチャンバ22に向かって逆方向に移動しないことを確実にするためにパージガスの流れを維持することが望ましい。その結果、定常状態流れ状況が、流れ絞り部26での増大した局所密度を有して発生し、或いは極限では、図4に示されるようにショックシステムが流れ絞り部26の下流側で発生し、流れ絞り部26を通る逆流に対する確実な妨害が達成される。
ポート28を単独で通るパージガスの供給は、流れ絞り部26を通る正の質量速度を高め、その結果、チャンバ22に向かう物質の逆方向伝搬を抑制する。流れ絞り部26で遷移流又は粘性流が達成されると、かなりの抑制比(最大105)を得ることができる。パージガスの供給速度が臨界値まで高まると、チョーク状態が達成され、流れ絞り部26を通るあらゆる汚染流の逆方向伝搬が最小化される(ここでは抑制比が105を超えるので)。
図3は、2つの真空チャンバ22、32のみに取り付けられたポンプ装置を示し、これらのうちの一方が、他方に悪影響を及ぼす可能性のある汚染物質を受け入れ、又は発生する。この原理は、例えば図5に示されるように、2次ポンプ120、130の分離クラスタによって複数のチャンバ122、132を真空排気させるポンプ装置を対象とするように容易に拡張することができる。上記で概説された理由で、2次ポンプ120、130の全てを補助するために単一の1次ポンプ140が設けられることが望ましい。図3の装置に関して説明されたものと同様にして、開口プレート又は他の流れ絞り部126が、2次ポンプ120に接続された第1の排気導管124内に設けられる。流れ絞り部126は、第1の排気導管124と、第2の排気導管134と、第3の共通の排気導管144との間の接合部の前の第1の排気導管124内に配置され、第3の共通の排気導管144は1次ポンプ140の入口に接続される。パージガスポート128が流れ絞り部126の上流側に設けられ、上述のようにパージガスが供給できるようになり、定常的で予測可能な流れ状況、或いはショックシステムを流れ絞り部126の下流側で達成できるようになる。
図6は、2次ポンプ220、230の分離クラスタを補助するために別個の1次ポンプ240、250が設けられているポンプ装置を示す。結果として、廃棄流は、ポンプ装置を通る流体経路の大部分については分離が維持されている。このような状況においては、比較的汚れた廃棄流内に存在する何れかの汚染物が「クリーン」なチャンバに逆方向に移動する割合は、上述の実施例に比べてより低減されることになる。しかしながら、例えば排気導管255内の廃棄流の成分が排気導管225内の廃棄流の成分と反応して、有害或いは装置の信頼性に影響を及ぼす可能性のある副生成物を生じる恐れがある。副生成物は固形物を含むことができ、これは、1次ポンプ240、250のポンプ機構の内部表面上に蓄積して、クリアランスを減少させ、ステータ要素とロータ要素との衝突の可能性を生じることがある。従って、こうした反応は、ポンプ機構の焼付き又は腐食につながり、更に発火源のとなる可能性もあり、可燃性材料が1次ポンプを通って輸送されているときには特に危険になる可能性がある。例えば、1次ポンプ/導管を加熱して凝縮を阻止し、或いはポンプ機構の表面に溶媒を供給して何らかの堆積物を溶解することによって、1次ポンプ近傍でのこれらの反応の発生を防ぐことが可能とすることができるが、このような措置は高価になる可能性がある。従って、排気導管255とのあらゆる接合部の前に、1次ポンプ240の排気導管255内に流れ絞り部226及びパージガス供給ポート228を設けて、損傷を受けやすい領域での廃棄流の成分の混合を阻止することが有利である。次に、共通の排気導管244を用いて、あらゆる組み合わされた廃棄流を終端部260に搬送することができ、ここで、組み合わされた廃棄流は、更なる処理を受けることができ、或いは、大気に排出することもできる。
チョーク流状態を達成するために、前述の流れ絞り部の何れかの前後で必要とされる圧力差は通常、およそ2:1(P上流側:P下流側、又はPu:Pd)である。標準的なシステムでの例示的な値は、4.5mmの開口直径及び1000sccmの流量に対応して、Pu=5mbar及びPd=2.1mbarである。第1の排気導管の例示的な直径は、約25から40mmであり、従って、流れ絞り部の4.5mm開口直径は、直径の実質的な縮小を表している。

Claims (18)

  1. 第1及び第2のチャンバから排気された廃棄流を搬送する装置であって、
    前記第1のチャンバから排気された廃棄流を受け入れる入口と、出口とを含む第1の導管手段と、
    前記第2のチャンバから排気された廃棄流を受け入れる入口と、出口とを含む第2の導管手段と、を備え、
    前記第1の導管手段及び前記第2の導管手段は、連通状態にあり、
    前記第1の導管手段は、前記第1の導管手段の一部の断面積を局所的に減少させる手段を含み、前記第1の導管手段の出口に向かって搬送されるガスの局所密度を、前記第2のチャンバから排気された前記廃棄流の成分が前記第1チャンバに向かって移動するのを阻止する程度にまで増大させる、
    ことを特徴とする装置。
  2. 前記第1の導管手段の一部の断面積を局所的に減少させる手段が流れ絞り部を含む、
    請求項1に記載の装置。
  3. 前記流れ絞り部は、開口プレートである、
    請求項2に記載の装置。
  4. 前記第1の導管手段は、前記流れ絞り部の上流側に配置されたガスポートと、前記ガスポートにパージガスを供給する手段とを含む、
    請求項2或いは請求項3に記載の装置。
  5. 前記パージガスが窒素である、
    請求項4に記載の装置。
  6. 前記パージガスがアルゴンである、
    請求項4に記載の装置。
  7. 前記廃棄流の前記成分がガス状成分である、
    請求項1から請求項6の何れか1項に記載の装置。
  8. 前記ガス状成分が軽ガスである、
    請求項7に記載の装置。
  9. 前記ガス状成分が水素である、
    請求項8に記載の装置。
  10. 前記ガス状成分がヘリウムである、
    請求項8に記載の装置。
  11. 前記廃棄流の成分が蒸気成分である、
    請求項1から請求項6の何れか1項に記載の装置。
  12. 前記廃棄流の成分が固体成分である、
    請求項1から請求項6の何れか1項に記載の装置。
  13. 前記第1の導管手段及び第2の導管手段からの前記廃棄流を受け入れるための共通の排気導管を備える、
    請求項1から請求項12の何れか1項に記載の装置。
  14. 請求項1から請求項13の何れか1項に記載の装置と、
    第1のチャンバを真空排気し且つ前記第1の導管手段の入口に接続された出口を有する第1の真空ポンプと、
    第2のチャンバを真空排気し且つ前記第2の導管手段の入口に接続された出口を有する第2の真空ポンプと、
    を備えるポンプ装置。
  15. 前記第1の真空ポンプと前記第2の真空ポンプとは、各々2次真空ポンプである、
    請求項14に記載のポンプ装置。
  16. 前記2次真空ポンプは、ターボ分子真空ポンプである、
    請求項15に記載のポンプ装置。
  17. 前記第1の導管手段及び第2の導管手段の各々の出口に接続された1次ポンプを備える、
    請求項14から請求項16の何れかに記載のポンプ装置。
  18. 前記第1の真空ポンプと前記第2の真空ポンプとは、各々1次ポンプである、
    請求項14に記載のポンプ装置。
JP2009523351A 2006-08-08 2007-07-19 廃棄流を搬送するための装置 Active JP5542440B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
GB0615722.6 2006-08-08
GB0615722A GB0615722D0 (en) 2006-08-08 2006-08-08 Apparatus for conveying a waste stream
PCT/GB2007/050414 WO2008017880A1 (en) 2006-08-08 2007-07-19 Apparatus for conveying a waste stream

Publications (2)

Publication Number Publication Date
JP2010504422A true JP2010504422A (ja) 2010-02-12
JP5542440B2 JP5542440B2 (ja) 2014-07-09

Family

ID=37056002

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009523351A Active JP5542440B2 (ja) 2006-08-08 2007-07-19 廃棄流を搬送するための装置

Country Status (8)

Country Link
US (1) US8684031B2 (ja)
EP (1) EP2049702B1 (ja)
JP (1) JP5542440B2 (ja)
KR (1) KR101374040B1 (ja)
GB (1) GB0615722D0 (ja)
SG (1) SG176454A1 (ja)
TW (1) TWI403660B (ja)
WO (1) WO2008017880A1 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2022009504A (ja) * 2017-12-05 2022-01-14 東京エレクトロン株式会社 プラズマ処理装置
JP2023033356A (ja) * 2021-10-26 2023-03-10 東京エレクトロン株式会社 プラズマ処理装置

Families Citing this family (341)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
FR2955628B1 (fr) * 2010-01-27 2013-10-04 Centre Nat Rech Scient Procede et dispositif de modulation du debit massique d'un ecoulement de gaz
US9443753B2 (en) * 2010-07-30 2016-09-13 Applied Materials, Inc. Apparatus for controlling the flow of a gas in a process chamber
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
CN102634766B (zh) * 2012-04-24 2016-01-27 上海华虹宏力半导体制造有限公司 真空溅镀装置及其使用方法
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) * 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP5808454B1 (ja) 2014-04-25 2015-11-10 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラムおよび記録媒体
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
GB2533933A (en) 2015-01-06 2016-07-13 Edwards Ltd Improvements in or relating to vacuum pumping arrangements
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
GB2540581A (en) * 2015-07-22 2017-01-25 Edwards Ltd Abatement system
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US11049624B2 (en) * 2015-12-07 2021-06-29 Ge-Hitachi Nuclear Energy Americas Llc Nuclear reactor liquid metal coolant backflow control
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
KR20230025590A (ko) * 2021-08-13 2023-02-22 삼성디스플레이 주식회사 배출 방법, 배출 시스템 및 이를 포함하는 기판 처리 장치
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
GB2618801A (en) * 2022-05-17 2023-11-22 Edwards Ltd Fluid routing for a vacuum pumping system

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05234902A (ja) * 1992-02-20 1993-09-10 Fujitsu Ltd 排気方法及び減圧処理装置
JP2004218648A (ja) * 1999-03-05 2004-08-05 Tadahiro Omi 真空装置
WO2005042160A2 (en) * 2003-10-29 2005-05-12 Asm America, Inc. Reaction system for growing a thin film

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2867234A (en) * 1951-02-14 1959-01-06 Bastian Blessing Co Pressure regulator safety valve
US4725204A (en) 1986-11-05 1988-02-16 Pennwalt Corporation Vacuum manifold pumping system
JP3442604B2 (ja) * 1996-02-15 2003-09-02 株式会社フジキン 混合ガスの供給方法及び混合ガス供給装置並びにこれらを備えた半導体製造装置
JPH11230036A (ja) * 1998-02-18 1999-08-24 Ebara Corp 真空排気システム
US6165272A (en) * 1998-09-18 2000-12-26 Taiwan Semiconductor Manufacturing Company, Ltd Closed-loop controlled apparatus for preventing chamber contamination
KR100384907B1 (ko) * 1999-03-05 2003-05-23 동경 엘렉트론 주식회사 진공 장치
JP4421393B2 (ja) * 2004-06-22 2010-02-24 東京エレクトロン株式会社 基板処理装置
EP1827668A1 (en) 2004-12-08 2007-09-05 Danfoss A/S Bubble-tolerant micro-mixers

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05234902A (ja) * 1992-02-20 1993-09-10 Fujitsu Ltd 排気方法及び減圧処理装置
JP2004218648A (ja) * 1999-03-05 2004-08-05 Tadahiro Omi 真空装置
WO2005042160A2 (en) * 2003-10-29 2005-05-12 Asm America, Inc. Reaction system for growing a thin film

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2022009504A (ja) * 2017-12-05 2022-01-14 東京エレクトロン株式会社 プラズマ処理装置
JP7204857B2 (ja) 2017-12-05 2023-01-16 東京エレクトロン株式会社 プラズマ処理装置
JP2023033356A (ja) * 2021-10-26 2023-03-10 東京エレクトロン株式会社 プラズマ処理装置
JP7462728B2 (ja) 2021-10-26 2024-04-05 東京エレクトロン株式会社 プラズマ処理装置

Also Published As

Publication number Publication date
US20100126605A1 (en) 2010-05-27
TW200819668A (en) 2008-05-01
KR20090046823A (ko) 2009-05-11
JP5542440B2 (ja) 2014-07-09
US8684031B2 (en) 2014-04-01
WO2008017880A9 (en) 2009-03-05
KR101374040B1 (ko) 2014-03-12
EP2049702B1 (en) 2013-07-03
WO2008017880A1 (en) 2008-02-14
TWI403660B (zh) 2013-08-01
SG176454A1 (en) 2011-12-29
GB0615722D0 (en) 2006-09-20
EP2049702A1 (en) 2009-04-22

Similar Documents

Publication Publication Date Title
JP5542440B2 (ja) 廃棄流を搬送するための装置
US7273526B2 (en) Thin-film deposition apparatus
KR101099854B1 (ko) 처리 진공 챔버로부터 가스를 배기하는 방법 및 진공 배기 장치
US6972055B2 (en) Continuous flow deposition system
KR100813367B1 (ko) 기판 처리 장치 및 처리관
JP4423914B2 (ja) 処理装置及びその使用方法
KR100371724B1 (ko) Cvd장치및방법
JP4365785B2 (ja) 成膜装置
JP2009117844A (ja) 基板処理チャンバのためのマルチポートポンピングシステム
JP2009534574A (ja) 真空排気システム
KR100606398B1 (ko) 반도체 처리용의 성막 방법
KR20080049788A (ko) 가스 펌핑 방법
JP2018041898A (ja) 成膜方法および成膜システム
US8211500B2 (en) Copper film deposition method
US8277567B2 (en) Method of cleaning turbo pump and chamber/turbo pump clean process
KR20070098104A (ko) 가스커튼을 구비한 박막증착장치
US7323220B2 (en) Gas phase growth system, method of operating the system, and vaporizer for the system
KR101993487B1 (ko) 가스 스트림을 처리하기 위한 장치
TWI608119B (zh) 原子層沉積設備及其抽氣速率控制方法
US7282158B2 (en) Method of processing a workpiece
JP3098093B2 (ja) 化学気相成長装置
JP2020107651A (ja) ガス供給機構、ガス供給方法、および成膜装置
US20240068094A1 (en) Piping, semiconductor manufacturing apparatus, and method for manufacturing semiconductor device
KR20050071353A (ko) 원자층 침착 장치
CN217378024U (zh) 一种半导体加热器

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20100610

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20120829

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120903

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20121203

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20121210

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130304

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20131209

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140117

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140407

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140507

R150 Certificate of patent or registration of utility model

Ref document number: 5542440

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250