JP2009541519A - 高ケイ素含有薄膜熱硬化性樹脂 - Google Patents

高ケイ素含有薄膜熱硬化性樹脂 Download PDF

Info

Publication number
JP2009541519A
JP2009541519A JP2009515987A JP2009515987A JP2009541519A JP 2009541519 A JP2009541519 A JP 2009541519A JP 2009515987 A JP2009515987 A JP 2009515987A JP 2009515987 A JP2009515987 A JP 2009515987A JP 2009541519 A JP2009541519 A JP 2009541519A
Authority
JP
Japan
Prior art keywords
composition
coating
substrate
photoresist
alkyl
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2009515987A
Other languages
English (en)
Inventor
アブダラ・デイヴィッド・ジェイ
ツァン・ルチ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
EMD Performance Materials Corp
Original Assignee
AZ Electronic Materials USA Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by AZ Electronic Materials USA Corp filed Critical AZ Electronic Materials USA Corp
Publication of JP2009541519A publication Critical patent/JP2009541519A/ja
Pending legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L83/00Compositions of macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon only; Compositions of derivatives of such polymers
    • C08L83/04Polysiloxanes
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08KUse of inorganic or non-macromolecular organic substances as compounding ingredients
    • C08K5/00Use of organic ingredients
    • C08K5/02Halogenated hydrocarbons
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/04Polysiloxanes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0752Silicon-containing compounds in non photosensitive layers or as additives, e.g. for dry lithography
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0757Macromolecular compounds containing Si-O, Si-C or Si-N bonds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S430/00Radiation imagery chemistry: process, composition, or product thereof
    • Y10S430/1053Imaging affecting physical property or radiation sensitive material, or producing nonplanar or printing surface - process, composition, or product: radiation sensitive composition or product or process of making binder containing
    • Y10S430/1055Radiation sensitive composition or product or process of making
    • Y10S430/114Initiator containing

Landscapes

  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Wood Science & Technology (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Polymers & Plastics (AREA)
  • Medicinal Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Materials For Photolithography (AREA)
  • Silicon Polymers (AREA)
  • Compositions Of Macromolecular Compounds (AREA)

Abstract

低誘電率(low-k)材料の形成に並びにフォトリソグラフィ工業用の反射防止性を有するハードマスク材料の形成に有用な、薄膜熱硬化性樹脂を形成するのに使用できる高ケイ素含有樹脂組成物が開示される。

Description

本発明は、一般的には、低誘電率(low-k dielectric constant)材料、並びにフォトリソグラフィ工業のための反射防止性を有するハードマスク材料を形成するのに有用な、薄膜熱硬化性樹脂を形成するのに使用できる高ケイ素含有樹脂組成物に関する。
マイクロ電子デバイスの寸法は一層微細化されているために、それらの製造に使用される材料の物理的性質を完全に利用することの重要性がより大きな事柄となっている。このことは、金属ライン、ビア及び電子部品を互いに絶縁するのに使用される誘電材料に特に当てはまる。なぜならば、これらの材料による静電容量に対する寄与の故である。工業的には、ここ30年程は、二酸化ケイ素がデバイス製造のための誘電材料として使用されてきたが、それの比較的高い誘電率(k〜4.1)のために、将来に向けてはあまり適さないものになる恐れがある。しかし、最近では、シロキサン類が、低誘電率絶縁層及び反射防止性を示すパターン転写ハードマスクとしてマイクロリソグラフィ製造に浸透してきた。
加えて、現在慣用の半導体製造においては、光がフォトレジストを透過し、基材から反射してフォトレジスト中に戻ることを防ぐために(このような光の反射は、入射した光と干渉を起こして、フォトレジストの不均一な露光を招く恐れがある)、従来技術では、一つまたはそれ以上の反射防止膜層を、フォトレジストの堆積またはスピンコートの前に堆積することができる。反射防止膜が無いと、反射した露光放射線と入射した露光放射線の干渉が定在波効果を起こす恐れがあり、これが、フォトレジスト層の厚さ中の放射線の均一さを乱す。像が形成された領域全体中での反射の変動は、類似の寸法を有するように設計された図形(feature)に望ましくない線幅変動を招き得る。
米国特許第5,290,354号明細書 米国特許第5,320,868号明細書 米国特許第6,770,726号明細書 米国特許第6,414,173号明細書 米国特許第6,203,721号明細書 米国特許第4,559,213号明細書
本発明は、(a) 式((A)j1SiO(3-j)/2)で表される少なくとも一種の繰り返し単位及び式((A)k2SiO(3-k)/2)で表される少なくとも一種の繰り返し単位を含むポリマー[但し、前記式中、各R1は、独立して、任意の化学線波長で吸収を示す同一のまたは異なる発色団であり; 各R2は、独立して、水素、アルキル、アルケニル、シクロアルキル、及びアラルキルから選択され; 各Aは、上記の繰り返し単位のいずれかを形成するモノマーの未反応官能基であり; j及びkは、それぞれ、0≦j≦1及び0≦k≦1の範囲内の整数であり; R1とR2の比率は、約1:99〜約60:40である]、(b) ハライド源、及び(c)溶剤を含む組成物に関する。該ポリマーは、式(Rfw(RgzSiO(4-w-z)/2[式中、Rf及びRgは、各々独立して、R1及びR2から選択され; そしてw及びzは、それぞれ0≦(wまたはz)≦2の範囲内の整数であるが、但し(4−w−z)は0ではない]で表される追加の単位も含むことができる。基材上に像を形成する方法、並びに基材、本発明の組成物、及びフォトレジストを含む製造品も提供される。更に、基材上に像を形成する方法における本発明の組成物の使用、及び基材上に反射防止膜を形成する方法における本発明の組成物の使用も提供される。
[発明の詳細な説明]
本発明は、(a) 式((A)j1SiO(3-j)/2)で表される少なくとも一種の繰り返し単位及び式((A)k2SiO(3-k)/2)で表される少なくとも一種の繰り返し単位を含むポリマー[但し、前記式中、各R1は、独立して、任意の化学線波長に吸収を示す同一のまたは異なる発色団であり; 各R2は、独立して、水素、アルキル、アルケニル、シクロアルキル、及びアラルキルから選択され; 各Aは、上記の繰り返し単位のいずれかを形成するモノマーの未反応官能基であり; j及びkは、それぞれ、0≦j≦1及び0≦k≦1の範囲内の整数であり、R1とR2の比率は約1:99〜約60:40である]、(b) ハライド源; 及び(c) 溶剤を含む組成物に関する。前記ポリマーは、式(Rfw(RgzSiO(4-w-z)/2[式中、Rf及びRgは、それぞれ独立して、R1及びR2から選択され; そしてw及びzは、それぞれ0≦(wまたはz)≦2の範囲内の整数であり、但し(4−w−z)は0ではない]で表される追加の単位を含むこともできる。基材上に像を形成する方法、並びに基材、本発明の組成物及びフォトレジストを含む製造品も提供される。
本発明のポリマーは、((A)j1SiO(3-j)/2)及び((A)k2SiO(3-k)/2)の繰り返し単位を含む。これは、当業者には既知の方法、例えば三官能性オルガノケイ素モノマー、例えばRSiA3、RSiCl3、シランエステル(RSi(ORx3[式中、Rxはアルキル基であることができる]、RSi(アシルオキシ)3、またはRSi(アルキルケトキシム)3、あるいはこれらの加水分解された形のもの[前記式中、RはR1またはR2であることができる]を加水分解縮合反応することによって製造することができる。本発明のポリマーを製造することについての更なる情報は、米国特許第5,290,354号明細書、米国特許第5,320,868号明細書、及び米国特許第6,770,726号明細書に記載されている。これらの反応は、小さなオリゴマー、複合樹脂(complex resins)及び網状体を形成し得る。
発色団であるR1の例としては、次のものには限定されないが、置換されていないかもしくは置換されたフルオレン、ビニレンフェニレン、アントラセン、ペリレン、フェニル、ベンジル、カルコン、フタルイミド類、パモ酸、アクリジン、アゾ化合物、ジベンゾフラン、及びこれらの任意の誘導体、チオフェン類、ナフタレン、ベンゼン、クリセン類、ピレン類、フルオロアントレン類、アントロン類、ベンゾフェノン類、チオキサントン類、酸素、窒素、硫黄及びこれらの組み合わせから選択される異種原子を含むヘテロ環式芳香族環、並びに上記のものの誘導体などが挙げられる。
2のアルキル、アルケニル、シクロアルキル、及びアラルキル基は、置換されていないかまたは置換されていることができる。適当な置換基としては、本発明に関連して基の性質を変化させないもの、例えばハロゲン原子(例えば、フッ素、塩素、臭素、ヨウ素)、ヒドロキシ、アルコキシ、メルカプト、アルキルメルカプト、ニトロ、ニトロソ、シアノ、スルホキシ、及びこれらの類似物などが挙げられる。アルキルの例としては、メチル、ブチル、イソアミル、及びこれらの類似物、アルケニル、例えばビニル、アリル及びこれらの類似物、シクロアルキル、例えばシクロヘキシル、シクロペンチル、アダマンチル、及びこれらの類似物、アルコキシ、例えばメトキシ基、エトキシ基、ヒドロキシエトキシ基、プロポキシ基、ヒドロキシプロポキシ基、n−ブトキシ基、イソブトキシ基、sec−ブトキシ基及びt−ブトキシ基、アラルキル、例えばベンジル基、フェネチル基及びクミル基、アリールオキシ、例えばフェノキシ基、及びアリールオキシカルボニル基、例えばベンゾイルオキシ基などが挙げられる。
該ポリマーの例としては、ポリ(フェニル−メチルシルセスキオキサン),90:10フェニル:メチル; ポリ(フェニル−プロピルシルセスキオキサン),70:30フェニル:プロピル; ポリ(フェニル−ビニルシルセスキオキサン),90:10フェニル:ビニル; 共重合されたフェニルシルセスキオキサン−ベンジルシルセスキオキサン、ポリ(フェニル−イソブチルシルセスキオキサン)、ポリ(フェニル−イソアミルシルセスキオキサン)、ポリ(フェニル−n−ヘキシルシルセキオキサン)、ポリ(フェニル−ベンゾイルシルセスキオキサン)、ポリ(フェニル−p−フェノキシシルセスキオキサン)、ポリ(ナフチル−メチルシルセスキオキサン)、ポリ(アントリル−メチルシルセスキオキサン)、(40%フェニル−45%メチルシルセスキオキサン)−(5%フェニルメチルシロキサン)(10%ジフェニルシロキサン)ターポリマー及びこれらの類似物などが挙げられる。該ポリマーは、式(Rfw(RgzSiO(4-w-z)/2[式中、Rf及びRgは、それぞれ独立して、上述のようにR1及びR2から選択され、そしてw及びzは、それぞれ0≦(wまたはz)≦2の範囲内であり、但し(4−w−z)は0または3ではない]で表される一種または二種以上の繰り返し単位も含むことができる。
該組成物の他の成分の一つはハライド源である。このハライド源は、ハライドアニオンを供して該ポリマーと反応するものであれば、ほぼ任意の材料であることができる。本発明の組成物の用途に依存して、他のハライド源の中でも或る種のハライド源を使用することがより有利であり得る。ハライドの源の例には、脂肪族第四アンモニウム塩(例えば、テトラC1-6アルキルアンモニウムハライド、例えばテトラメチルアンモニウムクロライド、テトラエチルアンモニウムクロライド、テトラメチルアンモニウムブロマイド及びテトラエチルアンモニウムブロマイド、トリC1-6アルキルC8-20アルキルアンモニウムハライド、例えばトリメチルラウリルアンモニウムクロライド及びトリメチルラウリルアンモニウムブロマイド、ジC1-6アルキルジC8-20アルキルアンモニウムハライド、例えばジメチルジラウリルアンモニウムクロライド及びジメチルジラウリルアンモニウムブロマイド)、特にテトラC1-4アルキルアンモニウムハライド(例えば、テトラC1-2アルキルアンモニウムハライド)、トリC1-4アルキルC10-16アルキルアンモニウムハライド(例えば、トリC1-2アルキルC10-14アルキルアンモニウムハライド)、ジC1-4アルキルジC10-16アルキルアンモニウムハライド(例えば、ジC1-2アルキルジC10-14アルキルアンモニウムハライド)、脂肪族/アリール第四アンモニウム塩(例えば、ベンジルトリC1-16アルキルアンモニウムハライド)などが挙げられる。これらの塩の例には、テトラブチルアンモニウムクロライド、ベンジルトリメチルアンモニウムクロライド、テトラエチルアンモニウムクロライド、ベンジルトリブチルアンモニウムクロライド、セチルトリメチルアンモニウムクロライド、メチルトリオクチルアンモニウムクロライド、テトラブチルアンモニウムクロライド、ベンジルトリメチルアンモニウムクロライド、並びに対応するフルオライド、ブロマイド及びアイオダイドなどが挙げられる。
適当なハライド源の他の例は、ジ四級アンモニウムジハライド塩、例えば以下の一般式を有する化合物である。
[(R')3+(Z)m+(R')3](X-2
式中、各R'は、独立して、炭素原子数1〜20のアルキル、炭素原子数1〜20のヘテロアルキル、アリール、ヘテロアリール、炭素原子数3〜6のシクロアルキル、炭素原子数3〜6のシクロへテロアルキル、またはこれらの組み合わせであり; Nは、四座配位元素の窒素であるか、または脂肪環式、ヘテロ脂肪環式またはヘテロ芳香族構造中の異種原子の窒素であり; Xはアニオンであり; Zは、炭素原子数1〜20のアルキル、炭素原子数2〜20のアルケニル、アリール、炭素原子数1〜20のヘテロアルキル、炭素原子数2〜20のヘテロアルケニル、及びヘテロアリールからなる群から選択される橋掛け員であり; そしてmは1〜10である。これらの化合物の例には、 [(CH33+(CH26+(CH33](Cl-2、[(C373+(CH26+(C373](Cl-2、[(CH33+(C246+(CH33](Br-2、[(C653+(CH26+(CH33](Cl-2、[(C653+(C242+(CH33](Cl-2、及びこれらの類似物などが挙げられる。ジ第四アンモニウムハライド塩の他の例は、N,N’−ジフルオロ−2,2’−ビピリジニウム(ビステトラフルオロボレート)(MEC−31として知られる)である。更に別の例は、テトラキス(ジメチルアミノ)エテン(TDAE)/CF3錯体である。
ハライド源の他の例には、以下の一般式
[アリール]q[アルキル]rSi[F]s
[式中、qは1または2であり、rは1または2であり、そしてsは2または3である]
を有する、テトラアルキルアンモニウムジハロトリアリール(またはトリアルキルもしくはアリール−アルキル混合物)ジシリケートが挙げられる。
他の例は、以下の式
Figure 2009541519
[式中、R1は0個〜3個の置換基であり、これらは、それぞれ独立して、アルキル、アルケニル、アリールアルカノイル、アルコキシまたはニトロであり; そしてR2はアルキル基である]
を有する化合物、例えばテトラブチルアンモニウムジフルオロトリフェニルシリケートである。
他の例は、以下の式を有する化合物である。
Figure 2009541519
[式中、R1及びR2は上に定義した通りである]
これらのタイプの塩は、米国特許第6,414,173号明細書及び同第6,203,721号明細書により詳しく記載されている。これらの特許文献の内容は本明細書に掲載されたものとする。
追加のジ第四アンモニウムハライド塩は、以下の式で示される、DABCO(1,4−ジアザビシクロ[2.2.2]オクタン)のジ第四アンモニウム塩でもある。
Figure 2009541519
[式中、nは1〜10であり、そしてXはハライドである]
これらの塩は、米国特許第4,559,213号明細書により詳しく記載されている。この特許文献の内容は本明細書に掲載されたものとする。
他のハライド源には、アルカリ金属塩(例えば、LiCl、NaCl、KCl、KBrなど)、アルカリ土類金属塩(例えばCaCl2、MgCl2など)、ピリジニウム塩、例えばベンジル−3−ヒドロキシピリジニウムクロライド、イミダゾリジン塩、例えば1,3−ジデシル−2−メチルイミダゾリウムクロライド、テトラゾリウム塩、例えば2,3,5−トリフェニル−テトラゾリウムクロライド、及びこれらの類似物などが挙げられる。更に別の他のハライド源には、熱の作用下に脱離反応によってハライドを放出することができるハロゲン化された有機化合物が挙げられる。
多くの場合において、上記の塩中の窒素原子は、第VA族元素、例えばリン、アンチモン、及びヒ素によって置き換えることができ、例えばテトラブチルホスホニウムクロライド、テトラメチルホスホニウムクロライド、テトラフェニルホスホニウムクロライド、及びこれらの類似物などがある。
他のハライド源には、1−フルオロ−4−クロロメチル−1,4−ジアゾニアビシクロ[2.2.2]オクタンビス(テトラフルオロボレート)(商品名 Selectfluor)、1−フルオロ−4−ヒドロキシ−1,4−ジアゾニアビシクロ[2.2.2]オクタンビス(テトラフルオロボレート)(商品名 Accufluor)、N,N’−ジフルオロ−2,2’−ビピリジニウムビス(テトラフルオロボレート)、‘N−F’試薬(例えばR2N−F)、‘[N−F]+’試薬(例えばR3+−F)、2,2−ジフルオロ−1,3−ジメチルイミダゾリジン、ジエチルアミノスルファートリフルオライド、RabN−CF2−Rc[Raは水素またはアルキルであり、そしてRb及びRcは、それぞれ、アルキルまたはアリールから選択される](商品名 Fluorinox)、パーフルオロブタンスルホニルフルオライド、及びこれらの類似物などの材料が挙げられる。
一般的に、ハライド源は、該組成物の全樹脂固形物に基づいて約1〜約20%の量でこの組成物中に存在する。本発明者らは、本発明の最良の結果は、本発明の組成物を基材のコーティングなどに使用する前に約24時間放置した場合に達成されることを見出した。
本発明の組成物中の他の成分の一つは溶剤である。該フォトレジスト用に好適な溶剤としては、例えば、ケトン類、例えばアセトン、メチルエチルケトン、メチルイソブチルケトン、シクロヘキサノン、イソホロン、メチルイソアミルケトン、2−ヘプタノン4−ヒドロキシ、及び4−メチル2−ペンタノン; C1〜C10脂肪族アルコール、例えばメタノール、エタノール、n−プロパノール、イソプロパノール、n−ブタノール、sec−ブタノール、フェノール、及びこれらの類似物; 芳香族基含有アルコール類、例えばベンジルアルコール; 環状カーボネート類、例えばエチレンカーボネート及びプロピレンカーボネート; 脂肪族または芳香族炭化水素(例えば、ヘキサン、トルエン、キシレンなど、及びこれらの類似物); 環状エーテル類、例えばジオキサン及びテトラヒドロフラン; エチレングリコール; プロピレングリコール; ヘキシレングリコール; エーテルアルコール類、例えば1−ブトキシエトキシ−2−プロパノール、3−メチル−3−メトキシブタノール、エチレングリコールn−ブチルエーテル、エチレングリコールフェニルエーテル、エチレングリコールモノメチルエーテル、エチレングリコールモノエチルエーテル、エチレングリコールプロピルエーテル、ジエチレングリコールヘキシルエーテル、ジエチレングリコールモノエチルエーテル、ジエチレングリコールモノメチルエーテル、ジエチレングリコールモノブチルエーテル、ジエチレングリコールモノプロピルエーテル、プロピレングリコールエチルエーテル、プロピレングリコールイソブチルエーテル、プロピレングリコールモノメチルエーテル、プロピレングリコールモノブチルエーテル、プロピレングリコールモノエチルエーテル、プロピレングリコールn−プロピルエーテル、プロピレングリコールフェニルエーテル、プロピレングリコール−t−ブチルエーテル、ジプロピレングリコールエチルエーテル、ジプロピレングリコールモノブチルエーテル、ジプロピレングリコールモノメチルエーテル、ジプロピレングリコールモノプロピルエーテル、トリエチレングリコールエチルエーテル、トリエチレングリコールメチルエーテル、トリエチレングリコールn−ブチルエーテル、トリプロピレングリコールメチルエーテル、トリプロピレングリコールn−ブチルエーテル; エチレングリコールアルキルエーテルアセテート類、例えばメチルセロソルブアセテート及びエチルセロソルブアセテート; プロピレングリコールアルキルエーテルアセテート類、例えばプロピレングリコールメチルエーテルアセテート、プロピレングリコールエチルエーテルアセテート、プロピレングリコールプロピルエーテルアセテート、及びプロピレングリコールブチルエーテルアセテート; プロピレングリコールアルキルエーテルプロピオネート類、例えばプロピレングリコールメチルエーテルプロピオネート、プロピレングリコールエチルエーテルプロピオネート、プロピレングリコールプロピルエーテルプロピオネート、及びプロピレングリコールブチルエーテルプロピオネート; 2−メトキシエチルエーテル(ジグリム); エーテル部分及びヒドロキシ部分の両方を有する溶剤、例えばメトキシブタノール、エトキシブタノール、メトキシプロパノール、及びエトキシプロパノール; エステル類、例えばメチルアセテート、エチルアセテート、プロピルアセテート、及びブチルアセテートメチル−ピルベート、エチルピルベート; エチル2−ヒドロキシプロピオネート、メチル2−ヒドロキシ2−メチルプロピオネート、エチル2−ヒドロキシ2−メチルプロピオネート、メチルヒドロキシアセテート、エチルヒドロキシアセテート、ブチルヒドロキシアセテート、乳酸メチル、乳酸エチル、乳酸プロピル、乳酸ブチル、メチル3−ヒドロキシプロピオネート、エチル3−ヒドロキシプロピオネート、プロピル3−ヒドロキシプロピオネート、ブチル3−ヒドロキシプロピオネート、メチル2−ヒドロキシ3−メチルブタン酸、メチルメトキシアセテート、エチルメトキシアセテート、プロピルメトキシアセテート、ブチルメトキシアセテート、メチルエトキシアセテート、エチルエトキシアセテート、プロピルエトキシアセテート、ブチルエトキシアセテート、メチルプロポキシアセテート、エチルプロポキシアセテート、プロピルプロポキシアセテート、ブチルプロポキシアセテート、メチルブトキシアセテート、エチルブトキシアセテート、プロピルブトキシアセテート、ブチルブトキシアセテート、メチル2−メトキシプロピオネート、エチル2−メトキシプロピオネート、プロピル2−メトキシプロピオネート、ブチル2−メトキシプロピオネート、メチル2−エトキシプロピオネート、エチル2−エトキシプロピオネート、プロピル2−エトキシプロピオネート、ブチル2−エトキシプロピオネート、メチル2−ブトキシプロピオネート、エチル2−ブトキシプロピオネート、プロピル2−ブトキシプロピオネート、ブチル2−ブトキシプロピオネート、メチル3−メトキシプロピオネート、エチル3−メトキシプロピオネート、プロピル3−メトキシプロピオネート、ブチル3−メトキシプロピオネート、メチル3−エトキシプロピオネート、エチル3−エトキシプロピオネート、プロピル3−エトキシプロピオネート、ブチル3−エトキシプロピオネート、メチル3−プロポキシプロピオネート、エチル3−プロポキシプロピオネート、プロピル3−プロポキシプロピオネート、ブチル3−プロポキシプロピオネート、メチル3−ブトキシプロピオネート、エチル3−ブトキシプロピオネート、プロピル3−ブトキシプロピオネート、及びブチル3−ブトキシプロピオネート; オキシイソ酪酸エステル類、例えば、メチル−2−ヒドロキシイソブチレート、メチルα−メトキシイソブチレート、エチルメトキシイソブチレート、メチルα−エトキシイソブチレート、エチルα−エトキシイソブチレート、メチルβ−メトキシイソブチレート、エチルβ−メトキシイソブチレート、メチルβ−エトキシイソブチレート、エチルβ−エトキシイソブチレート、メチルβ−イソプロポキシイソブチレート、エチルβ−イソプロポキシイソブチレート、イソプロピルβ−イソプロポキシイソブチレート、ブチルβ−イソプロポキシイソブチレート、メチルβ−ブトキシイソブチレート、エチルβ−ブトキシイソブチレート、ブチルβ−ブトキシイソブチレート、メチルα−ヒドロキシイソブチレート、エチルα−ヒドロキシイソブチレート、イソプロピルα−ヒドロキシイソブチレート、及びブチルα−ヒドロキシイソブチレート; 及び他の溶剤、例えば二塩基性エステル類、及びガンマ−ブチロラクトン; ケトンエーテル誘導体、例えばジアセトンアルコールメチルエーテル; ケトンアルコール誘導体、例えばアセトールもしくはジアセトンアルコール; ラクトン類、例えばブチロラクトン; アミド誘導体、例えばジメチルアセトアミドもしくはジメチルホルムアミド、アニソール、及びこれらの混合物などを挙げることができる。高められた安定性及び/または溶解性のためには、アルコール類及びエーテルアルコール類から選択される溶剤が好ましいことが見出された。
該組成物に加えることができる任意の成分は、本発明組成物によって形成されるフィルムの誘電率を下げるために使用することができる孔源(porogen)である。本明細書において“孔源(porogen)”とは、任意の孔形成化合物、例えば分解して小分子になり、次いで揮発することによってナノ孔を形成することができる、熱及び/または放射線に不安定な有機ポリマーを意味する。このような多孔低誘電率(low-k)層を形成するための条件は、当業者には周知である。
本発明の組成物は、当業者には周知の技術、例えばディップコート法、スピンコート法またはスプレーコート法などを用いて基材上に塗布することができる。反射防止膜の膜厚は約0.01μm〜約1μmの範囲である。被膜は、残留溶剤を除去し、そして望ましいならば架橋を誘発し、そして反射防止膜を不溶化することにより、反射防止膜とフォトレジストとの間の相互混合を防ぐために、ホットプレートまたは熱対流炉あるいは他の周知の加熱方法で加熱することができる。
フォトレジスト組成物にはネガ型とポジ型の二つのタイプのものがある。ネガ型フォトレジスト組成物を放射線で像様露光すると、放射線に曝された領域のレジスト組成物が、現像剤溶液に溶けにくくなり(例えば架橋反応が起こる)、他方、未露光の領域のフォトレジスト被膜は、このような溶液に比較的可溶性のまま残る。それ故、露光されたネガ型レジストを現像剤で処理すると、フォトレジスト被膜の未露光の領域が除去されて、被膜にネガ型の像が形成される。それにより、フォトレジスト組成物が付着していたその下にある基材表面の所望の部分が裸出される。
これに対し、ポジ型フォトレジスト組成物を放射線で像様露光すると、放射線に曝された領域のフォトレジスト組成物が現像剤溶液に溶けやすくなり(例えば転位反応が起こる)、他方、未露光の部分は現像剤溶液に比較的不溶性のまま残る。それゆえ、露光されたポジ型フォトレジストを現像剤で処理すると、被膜の露光された領域が除去され、そしてフォトレジスト被膜にポジ型の像が形成される。この場合もまた、下にある表面の所望の部分が裸出される。
ネガ型フォトレジスト及びポジ型フォトレジスト組成物並びにそれらの使用法は、当業者には周知である。
本発明の方法の一つは、本発明の組成物で基材を被覆し、そして被膜がフォトレジストの塗布溶液中にまたは水性アルカリ性現像剤中に不溶性になるのに十分な程度まで、塗布溶剤を除去し、及びポリマーを架橋させるのに十分な温度及び十分な時間、この基材をホットプレートまたは熱対流炉あるいは他の周知の加熱方法で加熱することを含む。当業者には周知の方法を用いてエッジビーズリムーバを適用して基材の縁を清掃することができる。加熱は、約70℃〜約250℃の範囲の温度である。温度が70℃より低いと、溶剤の除去及び架橋の量が不十分となる恐れがあり、他方、250℃よりも高い温度では、ポリマーが化学的に不安定になる恐れがある。次いで、フォトレジスト組成物のフィルムを反射防止膜の上に塗布しそしてベーク処理してフォトレジスト溶剤を実質的に除去する。このフォトレジストを像様露光し、そして水性アルカリ性現像剤中で現像して、処理されたレジストを除去する。現像の前及び露光の後に任意の加熱段階をプロセスに組み入れることができる。フォトレジストの塗布及び像形成方法は当業者には周知であり、使用した特定のタイプのレジストに最適化される。次いで、パターン化された基材は適当なエッチングチャンバ中でドライエッチングして、反射防止膜の露光された部分を除去することができ、この際、残ったフォトレジストはエッチングマスクとして働く。
本発明は更に、上記の方法における本発明の組成物の使用、及び基材に反射防止膜を形成するための使用も提供する。
以下の具体例は、本発明の組成物を製造及び使用する方法の詳細な例示を与えるものである。しかし、これらの例は、本発明の範囲を如何様にも限定もしくは減縮することを意図したものではなく、本発明を実施するために排他的に使用しなければならない条件、パラメータまたは値を教示するものと解釈するべきものではない。
例1
ポリ(フェニル−メチルシルセスキオキサン)90:10フェニル:メチルを、Gelest社から入手した。プロピレングリコールモノメチルエーテル中2.5重量%のポリ(フェニル−メチルシルセスキオキサン)90:10フェニル:メチルの溶液を調製した。ポリ(フェニル−メチルシルセスキオキサン)の固形物含有率を基準にして2重量%〜10重量%の範囲の様々な量のテトラブチルアンモニウムクロライドを、前記溶液の各分割量に加え、そして混合した。これらの分割量を24時間放置した。次いで、各々の溶液の各分割量を異なるケイ素ウェハ上に載せそして2000rpmでスピンキャストして60nmの膜厚(加熱後に測定した値)を形成した。次いで、被覆された各ウェハを250℃で加熱した。膜厚を、VASE(角度可変分光エリプソメトリ)で測定した。次いで、被覆された各ウェハをプロピレングリコールモノメチルエーテルアセテート中に30秒間浸漬し、そして膜厚をVASEで測定した。テトラブチルアンモニウムクロライドの量を0重量%から10重量%の範囲で増やしたところ、テトラブチルアンモニウムクロライドが0重量%では膜が完全に損失し、テトラブチルアンモニウムクロライドが2.5重量%〜10重量%では、膜厚の損失は2〜3.5nmの範囲であった。
例2
10gのポリ(フェニル−プロピルシルセスキオキサン)[70:30フェニル:プロピル; Gelest,Inc.,Morrisville,PAから入手可能]及び130gの乳酸エチルを、ボトル中で攪拌して一緒にした。この調合物を一日放置した後、この調合物の一分割量をケイ素ウェハ上にスピンコートし、そしてこのウェハを200℃に加熱した。このウェハの一部をPGMEA中に浸すことによって、得られた膜を溶解性について試験した。浸漬した領域において全ての膜が除去された。この試験を、250℃及び300℃でベーク処理して繰り返したが、結果は同じであった。
例3
5gのポリ(フェニル−プロピルシルセスキオキサン)[70:30フェニル:プロピル; Gelest,Inc.,Morrisville,PAから入手可能]、95gの乳酸エチル及び0.25gのテトラブチルアンモニウムジフルオロトリフェニルシリケートを、適当なボトル中で混合して一緒にした。この調合物を一日放置した後、この調合物の一分割量をケイ素ウェハ上にスピンコートし、そしてこのウェハを150℃に加熱した。このウェハの一部をPGMEA中に浸けることによって、得られた膜を溶解性について試験した。浸漬した領域において全ての膜が残存した。膜をベーク処理するためにより高い温度を使用すると、浸漬した領域の膜は影響を受けなかった。ウェハをベーク処理しないと、膜は完全に除去される。
例4
5gのポリ(フェニル−プロピルシルセスキオキサン)[70:30フェニル:プロピル; Gelest,Inc., Morrisville,PAから入手可能]、100gのArFシンナー(AZ Electronic Materialsから入手可能)、及び0.25gのテトラブチルアンモニウムフルオライドを適当なボトル中で混合して一緒にした。この調合物を一日放置した後、この調合物の一分割量をケイ素ウェハ上にスピンコートし、そしてこのウェハを250℃に加熱した。このウェハの一部をPGMEA中に浸けることによって、得られた膜を溶解性について試験した。浸漬した領域の全ての膜が残存した。膜をベーク処理するためにより高い温度を使用すると、浸漬した領域の膜は影響されなかった。ウェハをベーク処理しないと、膜は完全に除去される。
例5
例1の各2ml分割量を適当な各ボトル中に入れ、そしてそれぞれの場合において、PGME中のテトラブチルアンモニウムクロライド(TBAC)の5%溶液を攪拌しながら様々な量で加えることによって四つの異なる調合物を調製した。すなわち(a)TBACの5%溶液をゼロ滴(対照品); (b)TBACの5%溶液を1滴; (c)TBACの5%溶液を5滴; 及び(d)TBACの5%溶液を15滴とした。これらの調合物を一日放置した後、それぞれの調合物の各分割量をケイ素ウェハにスピンコートし、そしてこのウェハを250℃に加熱した。このウェハの一部をPGMEA中に漬けることによって、調合物の各分割量によって形成された膜を溶解性について試験した。TBAC無添加の調合物((a);対照品)を除き、他の全ての膜は損なわれずに残存し、硬化した。

Claims (16)

  1. (a) 式((A)j1SiO(3-j)/2)で表される少なくとも一種の繰り返し単位、及び式((A)k2SiO(3-k)/2)で表される少なくとも一種の繰り返し単位を含むポリマー、但し前記式中、各R1は、独立して、任意の化学線波長に吸収を示す同一のもしくは異なる発色団であり; 各R2は、独立して、水素、アルキル、アルケニル、シクロアルキル、及びアラルキルから選択され; 各Aは、上記の繰り返し単位のいずれかを形成するモノマーの未反応官能基であり; j及びkは、それぞれ、0≦j≦1及び0≦k≦1の範囲内の整数であり、R1とR2の比は約1:99〜約60:40であり;
    (b) ハライド源; 及び
    (c) 溶剤、
    を含む組成物。
  2. 1とR2の比が約10:90〜約30:70である、請求項1の組成物。
  3. 2がアルキルまたはアルケニルである、請求項1または2の組成物。
  4. 1が、置換されていないかもしくは置換されたフルオレン、ビニレンフェニレン、アントラセン、ペリレン、フェニル、カルコン、フタルイミド類、パモ酸、アクリジン、アゾ化合物、ジベンゾフラン、及びこれらの任意の誘導体、チオフェン類、ナフトエ酸、ナフタレン、ベンゼン、クリセン類、ピレン類、フルオロアントレン類、アントロン類、ベンゾフェノン類、チオキサントン類、酸素、窒素、硫黄及びこれらの組み合わせから選択される異種原子を含むヘテロ環式芳香族環、並びに上記のものの誘導体から選択される、請求項1〜3のいずれか一つの組成物。
  5. 孔源(porogen)を更に含む、請求項1〜4のいずれか一つの組成物。
  6. ポリマーが、式(Rfw(RgzSiO(4-w-z)/2[式中、Rf及びRgは、それぞれ独立して、R1及びR2から選択され、そしてw及びzは、それぞれ、0≦(wまたはz)≦2の範囲の整数であり、但し、(4−w−z)は0ではない]で表される単位を更に含む、請求項1〜5のいずれか一つの組成物。
  7. ハライド源が、第四アンモニウムハライド塩またはジ第四アンモニウムハライド塩である、請求項1〜6のいずれか一つの組成物。
  8. ハライド源が第四アンモニウムハライド塩である、請求項7の組成物。
  9. 第四アンモニウムハライド塩がテトラC1-10アンモニウムハライドである、請求項8の組成物。
  10. 第四アンモニウムハライド塩がテトラブチルアンモニウムクロライドである、請求項8の組成物。
  11. ハライド源がジ第四アンモニウムハライド塩である、請求項7の組成物。
  12. ハライド源が、組成物の全樹脂固形物を基準にして約1〜約20%の量で存在する、請求項1〜11のいずれか一つの組成物。
  13. a) 請求項1〜12のいずれか一つの組成物で基材を被覆し; b) 段階a)の被膜を加熱し; c) 段階b)の被膜の上に、フォトレジスト溶液から被膜を形成し; d) フォトレジスト被膜を加熱して、被膜から溶剤を実質的に除去し; e) フォトレジスト被膜を像様露光し; f) 水性アルカリ性現像剤を用いて像を現像し; g) 場合によっては、現像の前及び後に基材を加熱し; 及びh) 段階b)の組成物をドライエッチングすることを含む、基材上に像を形成する方法。
  14. 基材; この基材上に形成された反射防止組成物、この際、この反射防止組成物は請求項1〜12のいずれか一つのものである; 及びこの反射防止膜組成物上に形成されたフォトレジスト組成物の層を含む、製造品。
  15. 請求項13の方法における、請求項1〜12のいずれか一つの組成物の使用。
  16. 基材上に反射防止膜を形成するための、請求項1〜12のいずれか一つの組成物の使用。
JP2009515987A 2006-06-22 2007-06-20 高ケイ素含有薄膜熱硬化性樹脂 Pending JP2009541519A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/425,817 US7704670B2 (en) 2006-06-22 2006-06-22 High silicon-content thin film thermosets
PCT/IB2007/001846 WO2007148221A1 (en) 2006-06-22 2007-06-20 High silicon-content thin film thermosets

Publications (1)

Publication Number Publication Date
JP2009541519A true JP2009541519A (ja) 2009-11-26

Family

ID=38462021

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009515987A Pending JP2009541519A (ja) 2006-06-22 2007-06-20 高ケイ素含有薄膜熱硬化性樹脂

Country Status (8)

Country Link
US (1) US7704670B2 (ja)
EP (1) EP2035502A1 (ja)
JP (1) JP2009541519A (ja)
KR (1) KR20090028514A (ja)
CN (1) CN101472996A (ja)
MY (1) MY146378A (ja)
TW (1) TW200804522A (ja)
WO (1) WO2007148221A1 (ja)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7416834B2 (en) * 2006-09-27 2008-08-26 Az Electronic Materials Usa Corp. Antireflective coating compositions
US7666575B2 (en) * 2006-10-18 2010-02-23 Az Electronic Materials Usa Corp Antireflective coating compositions
US7736837B2 (en) * 2007-02-20 2010-06-15 Az Electronic Materials Usa Corp. Antireflective coating composition based on silicon polymer
US8026040B2 (en) * 2007-02-20 2011-09-27 Az Electronic Materials Usa Corp. Silicone coating composition
KR20090114476A (ko) * 2007-02-26 2009-11-03 에이제트 일렉트로닉 머트리얼즈 유에스에이 코프. 실록산 중합체의 제조 방법
US8524441B2 (en) * 2007-02-27 2013-09-03 Az Electronic Materials Usa Corp. Silicon-based antireflective coating compositions
US8475937B2 (en) * 2008-03-26 2013-07-02 Dow Corning Corporation Silicone composition and organic light-emitting diode
US20090253081A1 (en) * 2008-04-02 2009-10-08 David Abdallah Process for Shrinking Dimensions Between Photoresist Pattern Comprising a Pattern Hardening Step
US20090253080A1 (en) * 2008-04-02 2009-10-08 Dammel Ralph R Photoresist Image-Forming Process Using Double Patterning
US20100040838A1 (en) * 2008-08-15 2010-02-18 Abdallah David J Hardmask Process for Forming a Reverse Tone Image
US8084186B2 (en) * 2009-02-10 2011-12-27 Az Electronic Materials Usa Corp. Hardmask process for forming a reverse tone image using polysilazane
EP2450946B1 (en) * 2009-06-30 2017-01-18 Mitsubishi Materials Corporation Method for removing ferroelectric csd coating film
JP5571788B2 (ja) * 2009-07-23 2014-08-13 ダウ コーニング コーポレーション ダブルパターニング方法及び材料
US8828252B2 (en) * 2009-07-23 2014-09-09 Dow Corning Corporation Method and materials for reverse patterning
US20120301710A1 (en) * 2010-02-19 2012-11-29 Lintec Corporation Transparent conductive film, process for producing same, and electronic device employing transparent conductive film
JP5725151B2 (ja) * 2011-02-28 2015-05-27 Jsr株式会社 多層レジストプロセス用シリコン含有膜形成組成物及びパターン形成方法
US11884839B2 (en) 2016-08-29 2024-01-30 Nissan Chemical Corporation Acetal-protected silanol group-containing polysiloxane composition

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08319422A (ja) * 1995-05-26 1996-12-03 Kanegafuchi Chem Ind Co Ltd ラダー型ポリシロキサンを主成分とする成形体の作製方法
JP2005099693A (ja) * 2003-09-05 2005-04-14 Hitachi Chem Co Ltd 反射防止膜形成用組成物及びそれを用いた反射防止膜の製造方法、光学部品、太陽電池ユニット

Family Cites Families (130)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2899412A (en) 1959-08-11 Polysulfone resins from bicycloheptene
US2310605A (en) 1939-02-03 1943-02-09 Freeport Sulphur Co Production of sulphur dioxideolefin resins
US2625525A (en) 1949-11-17 1953-01-13 Phillips Petroleum Co Terpolymers of sulfur dioxide, monoolefinic materials and a liquid polymer of a conjugated diene, and their production
US2703793A (en) 1951-07-10 1955-03-08 Du Pont Process for preparing interpolymers of so2 with propylene and an acrylate
GB744264A (en) 1952-09-29 1956-02-01 Phillips Petroleum Co Improvements in or relating to resins
US2779749A (en) 1952-09-29 1957-01-29 Phillips Petroleum Co Stabilized unsaturate-so2 resins containing acrylates
GB757046A (en) 1953-04-20 1956-09-12 Phillips Petroleum Co Improvements in or relating to resin/rubber compositions
US2794014A (en) 1953-10-15 1957-05-28 Dow Chemical Co Water-soluble heteropolymers of acrylic acid, allyl alcohol, and sulfur dioxide and processes for producing the same
US2778812A (en) 1953-11-23 1957-01-22 Dow Chemical Co Water soluble copolymers of so2, an acrylic acid and a vinyl alkyl ether
US2943077A (en) 1956-11-01 1960-06-28 Du Pont Copolymers of ethylene and sulfur dioxide
US3313785A (en) 1963-06-11 1967-04-11 Union Carbide Corp Polysulfones and method for their production
US3318844A (en) 1963-12-23 1967-05-09 Gen Electric Organopolysiloxanes
US3474054A (en) 1966-09-13 1969-10-21 Permalac Corp The Surface coating compositions containing pyridine salts or aromatic sulfonic acids
GB1118950A (en) 1966-12-29 1968-07-03 Andersen & Brunn England Ltd Improvements relating to bottle capsules
US3663507A (en) 1970-01-02 1972-05-16 Minnesota Mining & Mfg Linear polyarylsulfones having functional groups thereon
US3890287A (en) 1973-09-21 1975-06-17 Dow Chemical Co Polysulfone copolymers
US3893127A (en) 1973-09-27 1975-07-01 Rca Corp Electron beam recording media
US3884696A (en) 1974-03-05 1975-05-20 Bell Telephone Labor Inc Positive photoresist comprising polysulfones formed by reacting vinyl aromatic hydrocarbons with sulfur dioxide
US3898350A (en) 1974-06-27 1975-08-05 Ibm Terpolymers for electron beam positive resists
US3935331A (en) 1975-01-09 1976-01-27 Rca Corporation Preparation of olefin SO2 copolymer electron beam resist films and use of same for recording
US3935332A (en) 1975-01-09 1976-01-27 Rca Corporation Development of poly(1-methyl-1-cyclopentene-SO2) electron beam resist
US4267257A (en) 1976-07-30 1981-05-12 Rca Corporation Method for forming a shallow surface relief pattern in a poly(olefin sulfone) layer
US4153741A (en) 1976-07-30 1979-05-08 Rca Corporation Method for forming a surface relief pattern in a poly(olefin sulfone) layer
US4126712A (en) 1976-07-30 1978-11-21 Rca Corporation Method of transferring a surface relief pattern from a wet poly(olefin sulfone) layer to a metal layer
US4045318A (en) 1976-07-30 1977-08-30 Rca Corporation Method of transferring a surface relief pattern from a poly(olefin sulfone) layer to a metal layer
US4097618A (en) 1977-03-09 1978-06-27 Rca Corporation Method of transferring a surface relief pattern from a poly(1-methyl-1-cyclopropene sulfone) layer to a non-metallic inorganic layer
US4289845A (en) 1978-05-22 1981-09-15 Bell Telephone Laboratories, Inc. Fabrication based on radiation sensitive resists and related products
US4200729A (en) 1978-05-22 1980-04-29 King Industries, Inc Curing amino resins with aromatic sulfonic acid oxa-azacyclopentane adducts
US4251665A (en) 1978-05-22 1981-02-17 King Industries, Inc. Aromatic sulfonic acid oxa-azacyclopentane adducts
US4341861A (en) 1980-12-23 1982-07-27 Rca Corporation Aqueous developable poly(olefin sulfone) terpolymers
US4393160A (en) 1980-12-23 1983-07-12 Rca Corporation Aqueous developable poly(olefin sulfone) terpolymers
US4355094A (en) 1981-03-16 1982-10-19 Rca Corporation Positive radiation sensitive resist terpolymers
US4405776A (en) 1981-03-16 1983-09-20 Rca Corporation Positive radiation sensitive resist terpolymer from omega alkynoic acid
US4396704A (en) 1981-04-22 1983-08-02 Bell Telephone Laboratories, Incorporated Solid state devices produced by organometallic plasma developed resists
JPS5852638A (ja) 1981-09-24 1983-03-28 Hitachi Ltd 放射線感応性組成物
US4396702A (en) 1981-11-10 1983-08-02 Rca Corporation Method of forming pattern in positive resist media
US4397938A (en) 1981-12-14 1983-08-09 Rca Corporation Method of forming resist patterns using X-rays or electron beam
US4397939A (en) 1981-12-14 1983-08-09 Rca Corporation Method of using a positive electron beam resist medium
US4504372A (en) 1982-03-12 1985-03-12 Ciba-Geigy Corporation Acid-curable composition containing a masked curing catalyst, and a process for its preparation
US4398001A (en) 1982-03-22 1983-08-09 International Business Machines Corporation Terpolymer resist compositions
US4491628A (en) 1982-08-23 1985-01-01 International Business Machines Corporation Positive- and negative-working resist compositions with acid generating photoinitiator and polymer with acid labile groups pendant from polymer backbone
DE3563273D1 (en) 1984-03-19 1988-07-14 Nippon Oil Co Ltd Novel electron beam resist materials
CA1269794A (en) 1985-10-15 1990-05-29 Eit Drent Copolymers of so.sub.2 and ethene
JP2608429B2 (ja) 1987-11-09 1997-05-07 東レ・ダウコーニング・シリコーン株式会社 パターン形成用材料およびパターン形成方法
US5200544A (en) 1988-02-25 1993-04-06 At&T Bell Laboratories Resist materials
US4996136A (en) 1988-02-25 1991-02-26 At&T Bell Laboratories Radiation sensitive materials and devices made therewith
DE69125634T2 (de) 1990-01-30 1998-01-02 Wako Pure Chem Ind Ltd Chemisch verstärktes Photolack-Material
US5072024A (en) 1990-04-27 1991-12-10 The Standard Oil Company Synthesis of N-substituted amides by condensation of nitriles with certain organic hydroxyl compounds
US5457003A (en) 1990-07-06 1995-10-10 Nippon Telegraph And Telephone Corporation Negative working resist material, method for the production of the same and process of forming resist patterns using the same
US5298367A (en) 1991-03-09 1994-03-29 Basf Aktiengesellschaft Production of micromoldings having a high aspect ratio
KR950000074B1 (ko) 1991-03-28 1995-01-09 금호석유화학 주식회사 이산화황과 핵치환 트리알킬게르밀스티렌(Trialkeylgermylstyrene)의 다원공중합체
US5187019A (en) 1991-09-06 1993-02-16 King Industries, Inc. Latent catalysts
US6773864B1 (en) 1991-11-15 2004-08-10 Shipley Company, L.L.C. Antihalation compositions
TW211080B (ja) 1991-12-12 1993-08-11 American Telephone & Telegraph
US5384376A (en) 1992-12-23 1995-01-24 Eastman Kodak Company Organic/inorganic hybrid materials
US6770726B1 (en) 1995-09-12 2004-08-03 Gelest, Inc. β-substituted organosilsesquioxane polymers
WO1997010282A1 (en) 1995-09-12 1997-03-20 Gelest, Inc. Beta-substituted organosilsesquioxanes and use thereof
US5843624A (en) 1996-03-08 1998-12-01 Lucent Technologies Inc. Energy-sensitive resist material and a process for device fabrication using an energy-sensitive resist material
EP0921167A4 (en) * 1996-08-22 2000-01-12 Kaneka Corp CURABLE COMPOSITION FOR EXTERIOR COATING AND ARTICLES THEREOF COVERED
US6808859B1 (en) 1996-12-31 2004-10-26 Hyundai Electronics Industries Co., Ltd. ArF photoresist copolymers
US5871872A (en) 1997-05-30 1999-02-16 Shipley Company, Ll.C. Dye incorporated pigments and products made from same
JPH11286549A (ja) 1998-02-05 1999-10-19 Canon Inc 感光性樹脂及び該感光性樹脂を用いたレジスト、該レジストを用いた露光装置及び露光方法及び該露光方法で得られた半導体装置
US6069259A (en) 1998-02-06 2000-05-30 Rensselaer Polytechnic Institute Multifunctional polymerizible alkoxy siloxane oligomers
US6087064A (en) 1998-09-03 2000-07-11 International Business Machines Corporation Silsesquioxane polymers, method of synthesis, photoresist composition, and multilayer lithographic method
US6849377B2 (en) 1998-09-23 2005-02-01 E. I. Du Pont De Nemours And Company Photoresists, polymers and processes for microlithography
US6139920A (en) 1998-12-21 2000-10-31 Xerox Corporation Photoresist compositions
JP4096138B2 (ja) 1999-04-12 2008-06-04 Jsr株式会社 レジスト下層膜用組成物の製造方法
US6790587B1 (en) 1999-05-04 2004-09-14 E. I. Du Pont De Nemours And Company Fluorinated polymers, photoresists and processes for microlithography
WO2000077575A1 (en) 1999-06-10 2000-12-21 Alliedsignal Inc. Spin-on-glass anti-reflective coatings for photolithography
US6890448B2 (en) 1999-06-11 2005-05-10 Shipley Company, L.L.C. Antireflective hard mask compositions
JP2001106785A (ja) 1999-08-05 2001-04-17 Canon Inc 感光性樹脂及び該感光性樹脂を用いたレジスト組成物、該レジスト組成物を用いたパターン形成方法、該パターン形成方法により製造されるデバイス及び該感光性樹脂を有するレジストを用いた露光方法
KR100427440B1 (ko) 1999-12-23 2004-04-17 주식회사 하이닉스반도체 유기 반사방지 화합물 및 그의 제조방법
US6479202B2 (en) * 2000-03-22 2002-11-12 Konica Corporation Electrophotographic photoreceptor, electrophotographic image forming method, electrophotographic image forming apparatus and processing cartridge
JP3795333B2 (ja) 2000-03-30 2006-07-12 東京応化工業株式会社 反射防止膜形成用組成物
US20040176488A1 (en) * 2000-06-06 2004-09-09 Shyama Mukherjee Low dielectric materials and methods of producing same
EP1302813A4 (en) 2000-06-21 2005-02-23 Asahi Glass Co Ltd RESIST COMPOSITION
US6420088B1 (en) 2000-06-23 2002-07-16 International Business Machines Corporation Antireflective silicon-containing compositions as hardmask layer
US6818250B2 (en) 2000-06-29 2004-11-16 The Regents Of The University Of Colorado Method for forming SIO2 by chemical vapor deposition at room temperature
US20030176614A1 (en) 2000-06-30 2003-09-18 Nigel Hacker Organohydridosiloxane resins with high organic content
US6368400B1 (en) * 2000-07-17 2002-04-09 Honeywell International Absorbing compounds for spin-on-glass anti-reflective coatings for photolithography
US6447980B1 (en) 2000-07-19 2002-09-10 Clariant Finance (Bvi) Limited Photoresist composition for deep UV and process thereof
TW556047B (en) 2000-07-31 2003-10-01 Shipley Co Llc Coated substrate, method for forming photoresist relief image, and antireflective composition
JP3660941B2 (ja) 2000-12-15 2005-06-15 東京都 分解性高分子化合物
CN1221861C (zh) 2001-02-09 2005-10-05 旭硝子株式会社 光致抗蚀剂组合物
TW594416B (en) * 2001-05-08 2004-06-21 Shipley Co Llc Photoimageable composition
US6723488B2 (en) 2001-11-07 2004-04-20 Clariant Finance (Bvi) Ltd Photoresist composition for deep UV radiation containing an additive
WO2003044600A1 (en) 2001-11-15 2003-05-30 Honeywell International Inc. Spin-on anti-reflective coatings for photolithography
JP4184813B2 (ja) 2002-02-19 2008-11-19 コダックグラフィックコミュニケーションズ株式会社 感光性組成物、感光性平版印刷版およびこれを用いた平版印刷版の作製方法
KR100678991B1 (ko) 2002-03-25 2007-02-05 신에쓰 가가꾸 고교 가부시끼가이샤 신규 에스테르, 중합체, 레지스트 조성물 및 패턴 형성 방법
US6737117B2 (en) 2002-04-05 2004-05-18 Dow Corning Corporation Hydrosilsesquioxane resin compositions having improved thin film properties
US6730454B2 (en) 2002-04-16 2004-05-04 International Business Machines Corporation Antireflective SiO-containing compositions for hardmask layer
US6787281B2 (en) 2002-05-24 2004-09-07 Kodak Polychrome Graphics Llc Selected acid generating agents and their use in processes for imaging radiation-sensitive elements
US6919161B2 (en) 2002-07-02 2005-07-19 Shin-Etsu Chemical Co., Ltd. Silicon-containing polymer, resist composition and patterning process
US7108958B2 (en) 2002-07-31 2006-09-19 Brewer Science Inc. Photosensitive bottom anti-reflective coatings
AU2003295517A1 (en) 2002-11-12 2004-06-03 Honeywell International Inc Anti-reflective coatings for photolithography and methods of preparation thereof
JP2004177952A (ja) * 2002-11-20 2004-06-24 Rohm & Haas Electronic Materials Llc 多層フォトレジスト系
EP1566836A1 (en) 2002-11-27 2005-08-24 Tokyo Ohka Kogyo Co., Ltd. Semiconductor multilayer interconnection forming method
US7041748B2 (en) 2003-01-08 2006-05-09 International Business Machines Corporation Patternable low dielectric constant materials and their use in ULSI interconnection
TW200424767A (en) 2003-02-20 2004-11-16 Tokyo Ohka Kogyo Co Ltd Immersion exposure process-use resist protection film forming material, composite film, and resist pattern forming method
ATE377036T1 (de) 2003-05-23 2007-11-15 Dow Corning Siloxan-harz basierte anti- reflektionsbeschichtung mit hoher nassätzgeschwindigkeit
KR100882409B1 (ko) 2003-06-03 2009-02-05 신에쓰 가가꾸 고교 가부시끼가이샤 반사 방지용 실리콘 수지, 반사 방지막 재료, 이것을 이용한 반사 방지막 및 패턴 형성 방법
US7202013B2 (en) 2003-06-03 2007-04-10 Shin-Etsu Chemical Co., Ltd. Antireflective film material, and antireflective film and pattern formation method using the same
WO2005007747A2 (en) * 2003-07-03 2005-01-27 Dow Corning Corporation Photosensitive silsesquioxane resin
US7223517B2 (en) 2003-08-05 2007-05-29 International Business Machines Corporation Lithographic antireflective hardmask compositions and uses thereof
US7115532B2 (en) 2003-09-05 2006-10-03 Micron Technolgoy, Inc. Methods of forming patterned photoresist layers over semiconductor substrates
JP4355943B2 (ja) 2003-10-03 2009-11-04 信越化学工業株式会社 フォトレジスト下層膜形成材料及びパターン形成方法
WO2005037907A1 (en) 2003-10-07 2005-04-28 Honeywell International Inc. Coatings and hard mask compositions for integrated circuit applications, methods of production and uses thereof
US20050123760A1 (en) * 2003-10-15 2005-06-09 Cammack J. K. Light-emitting nanoparticle compositions
KR100570206B1 (ko) 2003-10-15 2006-04-12 주식회사 하이닉스반도체 유기 반사방지막용 광 흡수제 중합체 및 이의 제조 방법과상기 중합체를 포함하는 유기 반사 방지막 조성물
US6939664B2 (en) * 2003-10-24 2005-09-06 International Business Machines Corporation Low-activation energy silicon-containing resist system
US7108212B2 (en) 2003-11-11 2006-09-19 Keystone Engineering & Manufacturing Corporation Angular tool and holding block
US8053159B2 (en) 2003-11-18 2011-11-08 Honeywell International Inc. Antireflective coatings for via fill and photolithography applications and methods of preparation thereof
US20050118541A1 (en) 2003-11-28 2005-06-02 Applied Materials, Inc. Maintenance of photoresist adhesion and activity on the surface of dielectric ARCS for 90 nm feature sizes
JP4340167B2 (ja) 2004-02-03 2009-10-07 信越化学工業株式会社 珪素含有レジスト下層膜材料及びパターン形成方法
JP4491283B2 (ja) 2004-06-10 2010-06-30 信越化学工業株式会社 反射防止膜形成用組成物を用いたパターン形成方法
US7691556B2 (en) 2004-09-15 2010-04-06 Az Electronic Materials Usa Corp. Antireflective compositions for photoresists
ATE400672T1 (de) 2004-12-17 2008-07-15 Dow Corning Verfahren zur ausbildung einer antireflexionsbeschichtung
JP5412037B2 (ja) 2004-12-17 2014-02-12 ダウ・コーニング・コーポレイション シロキサン樹脂、シロキサン樹脂の調製方法および抗反射コーティング組成物
JP5296297B2 (ja) 2005-04-04 2013-09-25 東レ・ファインケミカル株式会社 縮合多環式炭化水素基を有するシリコーン共重合体及びその製造方法
JP4602842B2 (ja) 2005-06-07 2010-12-22 東京応化工業株式会社 反射防止膜形成用組成物、それを用いた反射防止膜
EP1742108B1 (en) 2005-07-05 2015-10-28 Rohm and Haas Electronic Materials, L.L.C. Coating compositions for use with an overcoated photoresist
EP1762895B1 (en) 2005-08-29 2016-02-24 Rohm and Haas Electronic Materials, L.L.C. Antireflective Hard Mask Compositions
US7678529B2 (en) 2005-11-21 2010-03-16 Shin-Etsu Chemical Co., Ltd. Silicon-containing film forming composition, silicon-containing film serving as etching mask, substrate processing intermediate, and substrate processing method
JP4597844B2 (ja) 2005-11-21 2010-12-15 信越化学工業株式会社 フォトレジスト膜のリワーク方法
EP1845132B8 (en) 2006-04-11 2009-04-01 Shin-Etsu Chemical Co., Ltd. Silicon-containing film-forming composition, silicon-containing film, silicon-containing film-bearing substrate, and patterning method
US7855043B2 (en) 2006-06-16 2010-12-21 Shin-Etsu Chemical Co., Ltd. Silicon-containing film-forming composition, silicon-containing film, silicon-containing film-bearing substrate, and patterning method
US20080026332A1 (en) * 2006-06-19 2008-01-31 Kabushiki Kaisha Toshiba Developing agent and manufacturing method thereof
US20070298349A1 (en) 2006-06-22 2007-12-27 Ruzhi Zhang Antireflective Coating Compositions Comprising Siloxane Polymer
US8026040B2 (en) 2007-02-20 2011-09-27 Az Electronic Materials Usa Corp. Silicone coating composition
US7736837B2 (en) 2007-02-20 2010-06-15 Az Electronic Materials Usa Corp. Antireflective coating composition based on silicon polymer

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08319422A (ja) * 1995-05-26 1996-12-03 Kanegafuchi Chem Ind Co Ltd ラダー型ポリシロキサンを主成分とする成形体の作製方法
JP2005099693A (ja) * 2003-09-05 2005-04-14 Hitachi Chem Co Ltd 反射防止膜形成用組成物及びそれを用いた反射防止膜の製造方法、光学部品、太陽電池ユニット

Also Published As

Publication number Publication date
US7704670B2 (en) 2010-04-27
TW200804522A (en) 2008-01-16
MY146378A (en) 2012-08-15
KR20090028514A (ko) 2009-03-18
CN101472996A (zh) 2009-07-01
EP2035502A1 (en) 2009-03-18
US20080008954A1 (en) 2008-01-10
WO2007148221A1 (en) 2007-12-27

Similar Documents

Publication Publication Date Title
JP2009541519A (ja) 高ケイ素含有薄膜熱硬化性樹脂
JP5458306B2 (ja) シリコーンコーティング組成物
CN105492972B (zh) 作为硬掩模和填充材料的稳定的金属化合物、其组合物以及使用方法
CN107251203B (zh) 金属硬掩模组合物和用于在半导体基底上形成精细图案的方法
US8053159B2 (en) Antireflective coatings for via fill and photolithography applications and methods of preparation thereof
US8304161B2 (en) Silsesquioxane resins
JP5412037B2 (ja) シロキサン樹脂、シロキサン樹脂の調製方法および抗反射コーティング組成物
JP5632387B2 (ja) 湿式エッチング可能な反射防止膜
US20070298349A1 (en) Antireflective Coating Compositions Comprising Siloxane Polymer
TW200842499A (en) Antireflective coating composition based on silicon polymer
WO2006132088A1 (ja) ナフタレン樹脂誘導体を含有するリソグラフィー用塗布型下層膜形成組成物
WO2010143054A1 (en) Spin on organic antireflective coating composition comprising polymer with fused aromatic rings
KR20120102646A (ko) 반사방지 코팅 조성물 및 이의 방법
CN101622297A (zh) 制备硅氧烷聚合物的方法
KR101400182B1 (ko) 포토레지스트 하층막용 조성물 및 이를 이용하는 반도체 소자의 제조 방법
KR20140116908A (ko) 하드마스크 반사방지 코팅재로서의 다이-t-부톡시다이아세톡시실란계 실세스퀴옥산 수지 및 그의 제조방법

Legal Events

Date Code Title Description
RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20100518

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20100526

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20120123

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120214

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120511

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120518

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120531

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20120601

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20120710