JP2008091332A - プラズマプロセス異常を検出するための周波数モニタリング - Google Patents

プラズマプロセス異常を検出するための周波数モニタリング Download PDF

Info

Publication number
JP2008091332A
JP2008091332A JP2007241852A JP2007241852A JP2008091332A JP 2008091332 A JP2008091332 A JP 2008091332A JP 2007241852 A JP2007241852 A JP 2007241852A JP 2007241852 A JP2007241852 A JP 2007241852A JP 2008091332 A JP2008091332 A JP 2008091332A
Authority
JP
Japan
Prior art keywords
frequency
limit
sample
control signal
state
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2007241852A
Other languages
English (en)
Other versions
JP5646131B2 (ja
Inventor
Beom Soo Park
ス パク ボン
Soo Young Choi
ヨン チェ ス
John M White
エム ホワイト ジョン
Hong Soon Kim
スン キム ホン
James Hoffman
ホフマン ジェイムズ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2008091332A publication Critical patent/JP2008091332A/ja
Application granted granted Critical
Publication of JP5646131B2 publication Critical patent/JP5646131B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R23/00Arrangements for measuring frequencies; Arrangements for analysing frequency spectra
    • G01R23/005Circuits for comparing several input signals and for indicating the result of this comparison, e.g. equal, different, greater, smaller (comparing phase or frequency of 2 mutually independent oscillations in demodulators)
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R23/00Arrangements for measuring frequencies; Arrangements for analysing frequency spectra
    • G01R23/02Arrangements for measuring frequency, e.g. pulse repetition rate; Arrangements for measuring period of current or voltage
    • G01R23/15Indicating that frequency of pulses is either above or below a predetermined value or within or outside a predetermined range of values, by making use of non-linear or digital elements (indicating that pulse width is above or below a certain limit)
    • GPHYSICS
    • G08SIGNALLING
    • G08BSIGNALLING OR CALLING SYSTEMS; ORDER TELEGRAPHS; ALARM SYSTEMS
    • G08B21/00Alarms responsive to a single specified undesired or abnormal condition and not otherwise provided for
    • G08B21/18Status alarms
    • G08B21/182Level alarms, e.g. alarms responsive to variables exceeding a threshold
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67213Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one ion or electron beam chamber
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03HIMPEDANCE NETWORKS, e.g. RESONANT CIRCUITS; RESONATORS
    • H03H7/00Multiple-port networks comprising only passive electrical elements as network components
    • H03H7/38Impedance-matching networks
    • H03H7/40Automatic matching of load impedance to source impedance

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Business, Economics & Management (AREA)
  • Emergency Management (AREA)
  • Nonlinear Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

【課題】RF駆動プラズマプロセスチャンバ内の異常状態を、可変周波数RF電源の周波数が設定した上下限外に移動したかどうかを検出することにより、検出する。
【解決手段】第1の態様において、第1の対の周波数の上下限が、新たなプロセスステップ開始後、又はサンプル制御信号の状態変化後、サンプリングされた電源の周波数の関数として設定される。第2の態様において、第2の対の周波数の上下限は、電源の周波数に適応されない。両態様を一緒に用いて、異常状態の異なる発生を検出するのが好ましい。
【選択図】図1

Description

発明の分野
本発明は、可変周波数RF電源に結合したRF駆動プラズマプロセスチャンバ内の異常状態を検出するための装置及び方法に係り、特に、電子ディスプレイや半導体回路等の電子ワークピースを製造するためのプロセスチャンバに関する。
発明の背景
電子フラットパネルディスプレイや半導体集積回路等の電子装置は、概して、様々なプロセスチャンバにおいてプロセスステップのシーケンスを実施することにより製造される。かかるプロセスステップは、一般に、プラズマエンハンスド化学蒸着チャンバ又はプラズマスパッタリングチャンバで実施される堆積ステップ、或いはプラズマエッチングチャンバで実施されるエッチングステップ等のプラズマプロセスチャンバ内で実施される。電子デバイスの製造において、チャンバ内にプラズマを存在させながら、ステップを実施するためのチャンバは、プラズマプロセスチャンバと考えられる。
ワークピースをプラズマプロセスチャンバ内で処理している間に機能不良が生じることがある。かかる機能不良としては、破損したチャンバコンポーネント、クリーニング又はその他ルーチン保守を必要とするチャンバコンポーネント、或いは破損したワークピースを挙げることができる。機能不良が生じた後に、プラズマプロセスを中断しないと、チャンバコンポーネント又はワークピースに対して、より深刻な損傷を与えるというリスクがある。従って、かかる機能不良をできる限り早く検出して、プラズマプロセスを中断できるようにすることが重要である。
発明の概要
本発明は、可変周波数RF電源の周波数が、設定した上下限外に移動したかどうかを検出することにより、RF駆動プラズマプロセスチャンバ内の異常状態を検出するための装置及び方法である。
本発明は、インピーダンス整合ネットワークとRF電源の組み合わせにおいて最も有用であり、RF電源の周波数を調整して、RF電源、整合ネットワーク及びプラズマプロセスチャンバの間のインピーダンス整合を最適化して、RF電源の周波数が、プラズマプロセスチャンバにより示される負荷インピーダンスにおける変化に応答して変化するようにする。
本発明の第1の態様は、第1の対の周波数の上下限を適応可能に設定するものである。新たなプロセスステップ開始後、又はサンプル制御信号が第1の状態から第2の状態へ変化した後、サンプルホールド回路が、RF電源の周波数をサンプリングする。第1の対の周波数上下限は、サンプリングされた周波数の関数として設定される。
第1のコンパレータ回路は、RF電源の周波数を、第1の周波数限界と繰り返し(即ち、周期的又は連続的に)比較して、周波数が第1の下限より小さくなる、又は第1の上限より大きくなったら、警告の信号を生成する。
第1の周波数限界を設定して、プロセスチャンバを通常に操作しながら、電源周波数が周波数の上下限間の周波数のままとなるようにするのが好ましい。従って、周波数限界外の電源周波数の偏位は、プロセスチャンバ内の異常状態を確実に示している。異常状態としては、破損したチャンバコンポーネント、クリーニング又はその他ルーチン保守を必要とするチャンバコンポーネント、或いは破損したワークピースが挙げられる。
新たなワークピースのプラズマ処理開始時、又はワークピース処理の状態変化後に、第1の周波数限界は更新されるのが好ましい。更新は、RF電源の周波数をサンプリングし、サンプリングされた周波数の関数として第1の周波数限界を設定する前のステップを繰り返すことにより実施される。
この更新は、プロセスチャンバ状態のずれに、周波数限界を本質的に適応させて、周波数限界が固定された場合よりも、周波数限界を狭い間隔にすることができる。従って、適応的に設定された周波数限界は、固定され、より広い間隔の周波数限界よりも高感度に、プロセスチャンバにおける異常状態を検出することができる。
本発明の第2の態様は、電源周波数の関数として適応可能に更新されない第2の対の周波数の上下限を設定することである。コンパレータ回路は、RF電源の周波数を、第2の対の周波数限界と比較し、電源周波数が限定外の場合には、コンパレータ回路は、プロセスチャンバにおける異常状態を知らせる第2の警告信号を生成する。新たなワークピースのプラズマ処理開始時、又はワークピース処理の状態変化後等、ワークピース処理の状態変化により比較するのが好ましい。
本発明の第1及び第2の態様のいずれかは、他方から独立して用いることができるが、相補的且つ相乗的な長所と欠点があるため、一緒に用いられるのが好ましい。具体的には、第2の周波数限界は、一般に、電源周波数の関数として適応されないため、第1の周波数限界より広い間隔となるものの、本発明の第2の態様には、何もプロセスが実施されない時に生じる異常状態の検出を可能とする利点がある。例えば、ワークピースがチャンバに移される、又は出される際の接地ストラップの破損等の異常である。
好ましい実施形態の詳細な説明
1.プラズマプロセスの概要
図1に、従来のRF駆動プラズマプロセスチャンバ10と、プロセスチャンバ内の異常状態を検出するための本発明による新規な電気回路20〜24を示す。
プラズマプロセスチャンバは、電子ディスプレイや半導体集積回路等の電子装置を製造するのに有用なプラズマプロセスを実施するための任意のタイプのチャンバとすることができる。例えば、プラズマプロセスチャンバは、プラズマエンハンスド化学蒸着チャンバ、スパッタリングチャンバ又はプラズマエッチングチャンバとすることができる。
図示したプラズマプロセスチャンバ10は、図示しないRF結合電極を含み、これは接続されて、従来のRF電源12の出力からRF電力を受けるものである。RF結合電極は、RF電力をチャンバ内のプラズマに結合する役割を果たす。例えば、RF電極は、誘導結合電極、誘導コイル又はマイクロ波導波管であってよい。プロセスチャンバはまた、マイクロ波、RF又はDC電源等の図示しない1つ以上の追加の電源から電力を受けてもよい。
従来のプロセスコントローラ16、典型的にプログラマブルコンピュータは、チャンバ内のワークピースに製造プロセスを実施するのに必要なプロセスステップと呼ばれるステップのシーケンスを実行する。例えば、プロセスコントローラは、制御信号をワークピースを移動するロボット及びチャンバ内外へのプロセスガスのフローを調整するガスバルブに送信する。
本発明にとって重要なのは、プロセスコントローラが、RF電源12により生成されたRF電力出力の周波数を制御することである。これは、電源に周波数制御信号FCを伝送することによりなされ、この信号は、値が所望の周波数を表すアナログ又はデジタル信号である。更に、バイナリ電気信号とすることのできる電源にプロセス制御信号PCを伝送することにより、RF電源出力がオンされるかオフされるかどうかをプロセスコントローラは制御する。
従来のRFインピーダンス整合ネットワーク14は、電源の出力とプロセスチャンバの間に接続されている。電源のRF出力インピーダンスを、プロセスチャンバにより示される負荷インピーダンスと整合するためである。ある従来のシステムにおいては、RF電源の周波数を調整せずに、整合ネットワーク内でキャパシタ又は誘導子を調整することにより、インピーダンス整合は最適化される。
可変周波数インピーダンス整合と呼ばれる他の従来のシステムにおいて、インピーダンス整合方法には、RF電源の周波数を調整することが含まれる。可変周波数インピーダンス整合システムにおいて、電源周波数は、プロセスチャンバにより示される負荷インピーダンスにおける変化に応答して変化する。これが、本発明が最も有効なインピーダンス整合システムのタイプである。以下の議論では、プロセスコントローラをプログラミングして、電源の周波数を制御し、インピーダンス整合が最適化されていると仮定している。しかしながら、電源へ組み込まれるか、電源かプロセスコントローラのいずれかから別個に収容されるインピーダンス整合コントローラにより電源周波数が制御されるシステムにも本発明の全態様は適用される。
発明の背景で述べた通り、プラズマプロセスチャンバ内でワークピースを処理している間に、機能不良又はその他異常状態が生じることがある。かかる機能不良又はその他異常状態は、破損したチャンバコンポーネント、クリーニング又はその他ルーチン保守を必要とするチャンバコンポーネント、或いはクラックのあるガラス基板等破損したワークピースである。深刻な異常状態が生じた後に、プラズマプロセスを中断しないと、チャンバコンポーネント又はワークピースに対して、より深刻な損傷を与えるというリスクがある。従って、チャンバ内の機能不良又はその他異常状態をできる限り早く検出して、プラズマプロセスを中断できるようにすることが重要である。
本発明は、プロセスチャンバ内の機能不良又はその他異常状態は、通常、RF電源12の周波数を変えるという知見に基づくものである。具体的には、プロセスチャンバ10内の深刻な異常状態は、通常、チャンバ内のプラズマの特徴を変え、チャンバにより示される、RF電源に対する負荷インピーダンスが変わる。これによって、プロセスコントローラ16内の従来のインピーダンス整合アルゴリズムがRF電源の周波数を変え、電源とチャンバにより示される変化した負荷インピーダンスの間の最良のインピーダンス整合をリストアする。
図2及び3は、プラズマエンハンスド化学蒸着(PECVD)プロセスチャンバ10で連続的に処理される5つのワークピースについて、時間の関数としてのRF電源12の周波数を示すグラフである。図示したプロセスにおいて、各ワークピースは、液晶ディスプレイが製造されているガラス基板である。単一の堆積ステップが各基板上で実施されている。垂直軸は、RF電源の周波数を表し、水平軸は時間を表す。第5のワークピースが時間5と時間6の間で処理されるまで、第1のワークピースが時間1と時間2の間に処理され、第2のワークピースが時間2と時間3の間に処理される等する。
図2は、通常操作のプラズマプロセスチャンバで生じ得る電源周波数の変動を示し、図3は、第3のワークピースの処理中、ワークピースにおけるプラズマアーク放電及びクラックにより、そして、第5のワークピースの処理を始める前に、いくつかの破断したサセプタ接地ストラップにより生じると考えられる周波数偏差を示す。図3において、プラズマアーク放電は、2つの図示した狭い周波数スパイクを生成している。1つは上方に、1つは下方にである。第3のワークピースのガラス基板の後のクラッキングによって、周波数は、第3のワークピースの処理の残りについて上方に急に上がる。第4のワークピースをチャンバから出し、第5のワークピースをチャンバへ入れる間、破損した接地ストラップによって、電源周波数が、第5のワークピースの処理をRF電力が再開した時に非常に低くなる。
本発明は、図3に示すような周波数偏差を検出することを意図している。広くは、本発明は、周波数の下限及び上限(LとUと記す)を設定し、その間であれば、RF電源の周波数は、通常、プロセスチャンバにおいて異常状態がないままとなる。電源の周波数は、周波数限界と比べられる。電源の周波数が、下限Lより小さくなる、又は上限Uより大きくなると、本発明では警告信号を生成して、プロセスチャンバの異常状態を警告する。比較は、チャンバ内でワークピースにプラズマプロセスステップを実施する間中、繰り返し(例えば、周期的又は連続的に)行われるのが好ましい。
本発明は更に、上述した周波数の上下限を設定するのに有利な方法及び装置を含む。本発明のこの態様を説明する前に、電源周波数の変動の詳細について述べる。
図2は、図示したPECVDプロセスについて、電源周波数が、各堆積ステップ中に次第に変化することを示している。この挙動の主な原因は、各堆積ステップ中の化学反応副生成物の残渣がチャンバに蓄積することであり、これによって、チャンバにより示されるRF負荷インピーダンスが、各堆積ステップ中、次第に変化する。更に、連続したワークピース間の電源周波数に大幅な急変があり、これは、個々のワークピースの処理中の電源周波数における変化を超える可能性がある。図示したプロセスにおいて、5つのワークピースを処理した後、チャンバは清浄にされて、堆積した残渣が除去されて、図2に示すパターンが次の5つのワークピースについて繰り返される。
図2及び3には図示していないが、各連続したワークピースについて処理を開始する時にも電源周波数において過渡的変化がある。過渡的変化は、チャンバにおけるプラズマの初期形成中に生じる。
単一ワークピースで実施される単一プロセス工程中の最大電源周波数変動は、連続プロセスステップ中、又は多数の連続ワークピース中での最大周波数変動よりはるかに小さい。例えば、図2に示すプロセスにおいて、単一ワークピースで実施される単一プロセスステップ内の最大電源周波数変動は約3kHzである。これとは対照的に、5つの連続したワークピースの処理中、電源周波数は、第1のワークピースの処理の開始で13380kHzから、第5のワークピースの処理の最後で13345kHzまで及び、累積周波数変動は35kHzである。
2.適応される周波数限界(本発明の第1の態様)
本発明の第1の態様(図4)は、単一プロセスステップ中又は単一ワークピースの処理中に、比較的小さな電源周波数変動を利用して、高感度で異常プロセス状態を検出する。プラズマプロセスステップ開始又はワークピースのプラズマ処理開始直後(ステップ101)、RF電源の周波数をサンプリングし、コンピュータメモリ又は従来のサンプルホールド回路におけるメモリとすることのできるメモリにストアする(ステップ103)。周波数の下限及び上限(LとU)は、サンプリングされた電源周波数の関数として設定される(ステップ104)。
電源の周波数を、上述した通り、周波数限界と比較する(ステップ105)。電源の周波数が下限より小さくなる、又は上限より大きくなる場合には、本発明は警告信号Alを生成して、プロセスチャンバにおける異常状態を警告する。比較は、ワークピースにそのプラズマプロセスステップを実施する間中、繰り返し(例えば、周期的又は連続的に)行われるのが好ましい(ステップ106)。
プラズマプロセスステップが終了する、又はプラズマチャンバ内でのワークピースの処理が終了したら、比較したり、警告信号を生成するのを無効とする、即ち、中断する(ステップ106)。新たなプロセスステップが始まる、又は新たなワークピースのプラズマ処理が始まったら(ステップ101)、RF電源の周波数を再びサンプリングし、新たにサンプリングされた周波数値をメモリにストアする(ステップ103)。周波数上下限を、電源周波数の最新のサンプリングされた値の関数として再設定する(ステップ104)。電源の周波数と周波数限界の間の比較を、上述した通りに、再開する(ステップ105)。
周波数下限Lは、電源周波数のサンプリングされた値から所定の周波数オフセットを減算することにより、又はかかるサンプリングされた値に1より僅かに小さい所定のスケール計数を乗算することにより求めるのが好ましい。同様に、周波数上限Uは、所定の周波数オフセットを電源周波数のサンプリングされた値に加算することにより、又はかかるサンプリングされた値に1より僅かに大きい所定のスケール計数を乗算することにより求めるのが好ましい。
所定のオフセット又はスケール計数は、得られる周波数限定が、単一プロセス工程又は単一ワークピースのプラズマ処理中に通常生じる周波数範囲よりほんの僅か外れるように選択されるのが好ましい。例えば、単一ワークピースの処理中の最大電源周波数変動は約+/−3kHzと想定される。所定の周波数オフセットは、最大変動より僅かに大きいのが好ましく、所定の周波数上下限オフセットについて好適な値は5kHzである。
或いは、電源周波数が、ステップの開始時の値に対して、プロセスステップ中、常に減少することが分かっている場合には、周波数上限オフセットは、周波数下限オフセットより小さくすることができる。図2の例では、周波数上下限オフセットの好適な値は、夫々、5kHzと3kHzである。第1のワークピースの処理を時間1で開始すると、サンプリングされた電源周波数は13380kHzであり、周波数下限及び上限は、夫々、L=13375kHz及びU=13383kHzに設定される。(添え字は、限界が適用されたワークピースを示している。)限界L及びUが設定された後、第1のワークピース処理中、それらは電源周波数と繰り返し比較される。同様に、第5のワークピースの処理を時間6で開始すると、サンプリングされた電源周波数は13348kHzであり、周波数下限及び上限は、夫々、L=13343kHz及びU=13351kHzに設定される。限界L及びUが設定された後、第5のワークピース処理中、それらは電源周波数と繰り返し比較される。
図3は、第3のワークピースの処理中の異常状態が、この点までで記載された通りに、本発明の第1の態様により検出されることを示している。電源周波数が、周波数上限U3を超えるため、付随する第1のプラズマアーク放電が検出される。電源周波数が、周波数下限L3より下がるため、付随する第2のプラズマアーク放電が検出される。電源周波数が、周波数上限U3を超えるため、アーク放電後のガラス基板のクラックもまた検出される。
3.サンプリングされた周波数に適応されない周波数限界(本発明の第2の態様)
図3にはまた、本発明の第1の態様が、プロセスステップ間で生じる機器の機能不良、すなわち、1つのプロセスステップの終わりと次のプロセスステップの始めの間に、RF電源とプラズマをオフにしている間中に生じる機能不良を検出できていないということも示されている。図3に示す機能不良によって、第5のワークピースのプラズマ処理中、電源周波数が、第4のワークピースの処理中よりも低い35kHzとなる。周波数下限及び上限LとUは、第5のワークピースの処理の始めの電源周波数に基づいて、適応可能に設定されるため、機能不良は、電源周波数と同じ35kHzの量だけ周波数限界を下方にシフトさせる。従って、電源周波数は、周波数限界と決して交差することはなく、異常状態は検出されない。
第4のワークピースと第5のワークピースの間の図3に示すような連続ワークピース処理間の機能不良の一般的な原因は、サセプタ接地ストラップの破損である。ワークピースをサポートする台座又はサセプタは、典型的に、ワークピースがプロセスチャンバに出し入れされる度に昇降する。この動きによって、可動サセプタと固定した電気接地チャンバ壁の間に接続された可撓性電気接地ストラップのいくつかが最終的に破損する可能性がある。フラットパネルディスプレイを製造するPECVDチャンバにおいて、サセプタは、典型的に、40又は50の空間的に分配された接地ストラップを有している。20パーセント以上の接地ストラップが破損した場合、ワークピースは使い物にならなくなる。PECVDプロセスにより堆積するフィルムが必要な特性を得られなくなるからである。ワークピースの移動中、サセプタが動くため、接地ストラップの破損は、通常、連続ワークピースの処理間のRF電源とプラズマがオフの間に生じる。
サセプタ接地ストラップの破損によって、図3に示す通り、第4のワークピースと第5のワークピースの間のシフトと同様に、電源周波数がシフトすることを見出した。周波数シフトは、破損した接地ストラップの割合に略比例している。
本発明の第2の態様(図5)は、プロセスステップ間、又は連続ワークピースのプラズマ処理間で生じる、前述した破損接地ストラップのような機能不良の検出を可能にする。本発明の第2の態様は、第2の周波数下限LLと第2の周波数上限UUを設定するものであり、これらは、電源周波数の最新のサンプルの関数としては適応されない。新たなプロセスステップ開始後、又はチャンバ内での新たなワークピースのプラズマ処理開始後(ステップ201)、RF電源の周波数を第2の周波数限界と比較する(ステップ203)。電源周波数が、第2の周波数下限LLより小さい又は第2の周波数上限UUより大きい場合には、警告信号A2が出されて、プロセスチャンバにおける異常状態を警告する。本発明の第2の態様は、プロセスステップ間又は異なるワークピースの処理中に生じる機能不良又はその他異常状態を検出することができる。
本発明の第1及び第2の態様は、より記述的には、夫々、適応的周波数限界と非適応的周波数限界を用いた電源周波数比較と言える。後述する理由のために、適応的及び非適応的周波数限界はまた、狭い間隔及び広い間隔の周波数限界とも呼ばれることがある。
第2の(非適応的)周波数下限及び上限LL及びUUは固定されていてもよいし、或いは、プロセスステップが実施されている、又はチャンバを清浄にしてからどの位のワークピースが処理されたか等のプロセスステップパラメータの関数として異なる所定値を有していてもよい。いずれにしても、第2の(非適応的)周波数下限及び上限LL及びUUの所定の値は経験的に選択しなければならず、プロセスチャンバにおいて深刻な異常状態のない特定のプロセスを実施する時に、電源周波数の実際の範囲が周波数下限及び上限LLとUUの間にあるようにする。電源周波数の通常の範囲は、プラズマの化学、RF電力及びその他パラメータにおける差異のために、異なるプロセスについては典型的に異なる。従って、プロセスチャンバで実施されることが意図された各プロセスについて異なる周波数限定を経験的に設定するのが好ましい。
図2及び3の例示のPECVDプロセスを参照すると、チャンバを途中で清浄にすることなく5つのワークピースを処理する際の電源周波数は、13345kHz〜13380kHzの範囲に及ぶ。第2の(非適応的)周波数下限及び上限LL及びUUは、夫々、この範囲より僅かに小さ、僅かに大きい値に設定しなければならない。例えば、好適な周波数下限LLは13335kHzであり、好適な周波数上限UUは13390kHzである。図3は、この方法が、第4と第5のワークピース間の例示の機能不良を検出することを示している。第5のワークピースの処理中の電源周波数が第2の下限LLより十分に低いからである。
或いは、チャンバを清浄にする度に、電源周波数が、第1のワークピースと第5のワークピース間で一貫して減少することが分かっている場合には、第2の(非適応的)周波数下限及び上限LL及びUUは、ワークピース数の関数として設定することができる。例えば、所定の第2の周波数下限及び上限は、夫々、第1のワークピースについては13365及び13390kHz、チャンバを清浄にした後の第5のワークピースについては、夫々、13333及び13358kHzとすることができる。
本発明の第1の態様(適応的限定)か第2の態様(非適応的限定)のいずれも単独で用いることができるが、本発明のこれらの2つの態様は、一緒に用いるのが好ましい。相補的且つ相乗的な長所と欠点があるからである。本発明の第1の態様(適応的限界)は、限界をより狭い間隔とすることができる利点を有しているため、異常を検出できる感度が改善される。しかしながら、本発明のこの態様の欠点は、ワークピースを移動している間等、プラズマプロセスが実施されていない間に生じる異常が検出できないことである。本発明の第2の態様(非適応的限界)は、この欠点を克服するものである。即ち、プラズマプロセスを実施していない時に生じる異常をはじめとして、時を選ばす生じる異常も検出することができる。しかしながら、その欠点は、非適応的限界が適応的限界ほどには狭い間隔とすることはできないため、比較的小さな周波数変動を生成する異常は検出されない。
図2に示す前述したPECVDプロセスの実際の試験において、プラズマチャンバ内のガラス基板のクラック及びアーク放電が、2種類の異常であることを知見した。これは、本発明の第2の態様(非適応的限界)よりも本発明の第1の態様(適応的限界)によりはるかに信頼性よく検出できた。適応的限界は、より狭い間隔であるためである。上述した通り、適応的限界L及びUは、僅か8kHzしか離すことができないが、固定された非適応的限界LL及びUUは、約55kHz離さなければならない。ガラス基板のクラック及びアーク放電は、典型的に、比較的狭い適応的限界を用いた比較において異常警告をトリガするだけ十分に大きいが、比較的広い非適応的限界を用いた比較において異常警告をトリガするほどには大きくない急激な電源周波数変動を生成した。
逆に、ワークピースをプロセスチャンバに出し入れしている時の接地ストラップの破損は、既述の通り、本発明の第2の態様の非適応的限界を用いてのみ検出できる。幸いなことに、破損した接地ストラップの数が、プラズマプロセスの空間的な均一性に悪影響を及ぼすほど多い場合には、電源周波数の結果としての変動は、比較的広い間隔の非適応的限界を超えるほど十分に大きかった。
本発明の第1及び第2の態様を一緒に用いると、必要とされるのは、適応的限界が更新される(第1の態様による)度に、非適応的限界(第2の態様による)による比較を1回行うことだけであり、これは、典型的に、プロセスステップ毎に1回又はワークピース毎に1回のみである。非適応的限界を用いた比較によって、適応的限界が更新される前に異常が生じていなかったことが確認された後、適応的限界を用いた続く繰り返しの比較は、プロセスステップの残りの間に生じるであろう異常を検出するのに十分なものである。
新たなプロセスステップを開始する時、又は新たなワークピースのプラズマ処理を開始する時、典型的に、プラズマは安定化するのにある時間を要する。セトリング期間と呼ばれるこの初期安定化期間中、電源周波数は、その通常範囲から瞬間的にずれることがある。従って、誤警告を排除するために、本発明の両態様による警告の周波数比較又はトリガは、新たなプロセスステップの開始又は新たなワークピースのプラズマ処理開始直後、所定のセトリング期間中、好ましくは無効に(即ち、中断)するものとする(ステップ102及び202)。これは、RF電源が停止している間及びRF電源が動作する度の初期セトリング期間中、警告の比較又はトリガを無効にすることにより実施される。同じ理由から、本発明の第1の態様による周波数限界を適応する目的での電源周波数のサンプリングは、所定のセトリング時間後まで遅延するものとするのが好ましい(ステップ102)。セトリング時間は、各プロセスについて経験的に求めるものとするのが好ましいが、一例として、試験では、好適なセトリング期間は1秒〜5秒であると判断された。
異常警告がどのようにトリガされるか診断するのを補助するために、本発明の第1及び第2の態様は、独特な警告信号を生成することができる。しかしながら、これは通常必要なく、本発明の両態様は、異常の場合には同じ警告信号を生成することができる。
4.装置稼動
プログラマブル汎用コンピュータは、上述したサンプリング操作、比較操作、周波数限界の判断、セトリング時間遅延及び警告の信号生成の全てを実施するのが好ましい。コンピュータに接続された従来のコンピュータ記憶装置は、周波数限定及びサンプリングされた電源周波数の値をストアする。本発明が、1つ以上の電気回路−サンプルホールド回路及びコンパレータ回路をはじめとする−を含むものとして明細書又は請求の範囲に記載されている場合、汎用プログラマブルコンピュータは、かかる電気回路の範囲内で考えるものとする。図1を参照すると、プログラマブル汎用コンピュータは、プラズマチャンバ10、RF電源12及びRFインピーダンス整合ネットワーク14以外の示されたコンポーネントの全てを交換することができる。
図1に示すプロセスコントローラ16は、典型的に、チャンバ内で実施されているプロセスのパラメータの全てを制御し、典型的に、前段で定義したセトリング期間を開始するのに用いることのできるプロセス制御信号を生成する。かかるプロセス制御信号は、プロセスコントローラにより直接生成されても、或いは、プロセスコントローラにより生成された多数の信号を論理的に組み合わせることにより合成されてもよい。例えば、セトリング期間を開始するプロセス制御信号として用いるのに好適なプロセスコントローラにより生成される信号は、以下のことを示す信号を含む。(1)プラズマチャンバが新たなワークピース処理ステップを開始する時、(2)化学的又は電気的状態をプラズマチャンバ内で変更しようとする時、(3)RF電源がオンになる、又は待機状態から動作状態へ切り替えられる時、或いは(4)RF電源が低待機電力レベルから所定の閾値を超える電力レベル又はプラズマプロセスを実施するのに十分に高い電力レベルへ変化する時である。
本発明の両態様共、電源周波数を上下限と比較することを必要とする。周波数カウンタを接続して、電源出力の減衰部分を受けることができ、その周波数が測定される。しかしながら、典型的に、これは不必要である。可変周波数電源12は、典型的に、電源出力の周波数を制御するアナログ又はデジタル周波数制御信号FCを受けるからである。プロセスコントローラ16は、典型的に、周波数制御信号FCを生成し、それを電源の周波数制御入力へ送信する。従って、本発明では、周波数制御信号を受けて、その値を電源の周波数を表す値として用いることができる。
プログラマブルコンピュータにおける本発明の実施の変形として、図1に、プログラマブルである必要のない電気回路20〜38による実施を示す。
図1の電気回路において、従来のサンプルホールド回路20は、プロセスコントローラ16により提供される前述した周波数制御信号FCの値をサンプリングし、ストアすることにより、図4のステップ103を実施する。好ましいセトリング遅延(図4のステップ102及び図5のステップ202)が、シフトレジスタ回路とすることのできる従来の遅延ライン回路により提供される。
サンプルホールド回路20は、サンプル制御信号SCにより制御される。これは、ANDロジックゲート24により生成されるのが好ましい。その入力は、プロセス制御信号PC、及び遅延ライン22の出力により提供されるプロセス制御信号の遅延版である。結果としてのサンプル制御信号は、プラズマプロセスがオフの時は偽であり、プロセス制御信号PCがプラズマプロセスが始まっていることを示す時、セトリング時間遅延22により遅延が付与された後、真となり、プロセス制御信号PCがプラズマプロセスが終了したことを示す時、遅延なしで偽へ戻る。
第1のコンパレータ回路は、サンプルホールド回路の出力からサンプリングされた周波数を受けて、第1の周波数下限及び上限L及びUを、サンプリングされた周波数の値の関数として決める(図4のステップ104)。任意で、サンプル制御信号SCの真への移行に応答して、第1のコンパレータが第1の限界L及びUをメモリ回路32にストアする。第1のコンパレータ回路は、周波数制御信号FCを第1の周波数下限及び上限L及びUと比較することにより、第1の警告信号Alを生成する(図4のステップ105)。
第2の周波数下限及び上限LL及びUUについての所定の値は、同じメモリ回路32にストアされるのが好ましい。第2のコンパレータ回路は、周波数制御信号FCを、メモリ32から受け取った第2の周波数下限及び上限LL及びUUと比較することにより、第2の警告信号A2を生成する(図4のステップ105)。
論理ORゲート36は、第1の警告信号Alと第2の警告信号A2を組み合わせて、複合警告信号を生成し、論理ANDゲート38は、サンプル制御信号SCが真である時以外は、警告出力を無効にする(図4のステップ106及び101、図5のステップ201)。
本発明に係るプロセスチャンバにおける異常状態を検出するための装置のブロック図である。 5つのワークピースのシーケンスの通常プラズマ処理中の時間を関数としたRF電源の周波数を示すグラフである。 第3及び第5の基板のプラズマ処理中の異常状態を示す以外は図2と同様のグラフである。 適応的周波数限界を用いた本発明の第1の態様の方法のフローチャートである。 非適応的周波数限界を用いた本発明の第2の態様の方法のフローチャートである。

Claims (25)

  1. 可変周波数RF電源がRF電力をプラズマチャンバ内の異常RFインピーダンスに供給する時に信号を生成する装置であって、
    サンプル入力と制御入力と出力とを有するサンプルホールド回路であって、前記サンプル入力がRF電源により供給されているRF電力の周波数を表す周波数制御信号を受けるように適応されており、前記制御入力が少なくとも第1の状態と第2の状態とを有するサンプル制御信号を受けるように適応されており、前記サンプル制御信号が前記第1の状態から前記第2の状態まで変化した時の最新の前記周波数制御信号の値をその出力で維持するサンプルホールド回路と、
    前記周波数制御信号を受けるよう適応された第1のコンパレータ回路であって、前記第1のコンパレータ回路が前記周波数制御信号により表される前記周波数を第1の周波数下限及び第1の周波数上限と比較し、前記周波数制御信号により表される前記周波数が前記第1の周波数下限より小さい又は前記第1の周波数上限より大きい時は、第1の警告信号を生成する第1のコンパレータ回路とを含み、
    前記第1のコンパレータ回路は前記サンプルホールド回路の前記出力を受けるために接続されており、
    前記第1のコンパレータ回路は前記サンプルホールド回路の前記出力に応答して前記第1の周波数下限及び前記第1の周波数上限を設定し、前記第1の周波数下限及び前記第1の周波数上限が、夫々、前記サンプルホールド回路の前記出力により表される前記周波数より小さい及び大きいようにする装置。
  2. 前記第1のコンパレータ回路は、前記サンプルホールド回路の前記出力により表される前記周波数から周波数オフセットを減算したものに等しい前記第1の周波数下限を設定し、
    前記第1のコンパレータ回路は、前記サンプルホールド回路の前記出力により表される前記周波数に前記周波数オフセットを加算したものに等しい前記第1の周波数上限を設定する請求項1記載の装置。
  3. 前記周波数制御信号を受けるように適応された第2のコンパレータ回路を含み、前記周波数制御信号により表される前記周波数が、第2の周波数下限より小さい又は第2の周波数上限より大きい時に、前記第2のコンパレータ回路は第2の警告信号を生成し、
    前記第2の周波数下限及び前記第2の周波数上限が、前記サンプルホールド回路の前記出力から独立した所定の値を有する請求項1記載の装置。
  4. 前記RF電源を停止している間、及びRF電源が動作する度の初期セトリング期間中、前記第1のコンパレータ回路及び前記第2のコンパレータ回路は、第1又は第2の警告信号の生成を無効にする請求項3記載の装置。
  5. 前記第1の周波数下限が、前記第2の周波数下限より大きい又は等しく、
    前記第1の周波数上限が、前記第2の周波数上限より小さい又は等しい請求項3記載の装置。
  6. 前記第1のコンパレータ及び前記第2のコンパレータが同じコンパレータである請求項3記載の装置。
  7. 前記第1の警告信号と前記第2の警告信号が同じである請求項3記載の装置。
  8. 前記RF電源を停止している間、及びRF電源が動作する度の初期セトリング期間中、前記第1のコンパレータ回路は、第1の警告信号の生成を無効にする請求項1記載の装置。
  9. 前記プラズマチャンバが新たなワークピース処理ステップを始める時、所定の遅延後、前記サンプル制御信号が前記第1の状態から前記第2の状態へ変化し、
    前記所定の遅延がゼロより大きい又はゼロに等しい請求項1記載の装置。
  10. 新たなワークピースを前記プラズマチャンバに入れた後、前記プラズマが前記プラズマチャンバで開始される時、所定の遅延後、前記サンプル制御信号が、前記第1の状態から前記第2の状態へ変化し、
    前記所定の遅延がゼロより大きい又はゼロに等しい請求項1記載の装置。
  11. 化学的又は電気的状態が前記プラズマチャンバ内で変化した時、所定の遅延後、前記サンプル制御信号が前記第1の状態から前記第2の状態へ変化し、
    前記所定の遅延がゼロより大きい又はゼロに等しい請求項1記載の装置。
  12. 前記RF電源が少なくとも所定レベルの電力を供給しない時、前記サンプル制御信号は前記第1の状態を推測し、前記RF電源が少なくとも前記所定のレベルの電力を供給し始めた時、所定の遅延後、前記サンプル制御信号は前記第1の状態から前記第2の状態へ変化し、
    前記所定の遅延がゼロより大きい又はゼロに等しい請求項1記載の装置。
  13. 前記所定レベルの電力が、前記プラズマチャンバ内でプラズマプロセスを実施するのに十分に高いレベルの電力である請求項12記載の装置。
  14. 前記周波数制御信号と前記サンプル制御信号が、同じ電気的信号である請求項1記載の装置。
  15. 前記第1の周波数下限及び前記第1の周波数上限が設定され、前記第1の期間後に、前記プラズマチャンバ内の単一ワークピースの処理中、前記RF電源の前記周波数が前記第1の周波数下限と前記第1の周波数上限との間のままとなるようにする請求項1記載の装置。
  16. 前記第1の周波数下限及び前記第1の周波数上限が設定され、前記第1の期間後に、前記プラズマチャンバ内の単一プロセスステップの実施中、前記RF電源の前記周波数が前記第1の周波数下限と前記第1の周波数上限との間のままとなるようにする請求項1記載の装置。
  17. 前記第2の周波数下限及び前記第2の周波数上限が設定され、前記プラズマチャンバの通常操作中、前記RF電源の前記周波数が前記第2の周波数下限と前記第2の周波数上限との間のままとなるようにする請求項3記載の装置。
  18. 可変周波数RF電源が、RF電力をプラズマチャンバ内の異常RFインピーダンスに供給する時に信号を生成する方法であって、
    サンプル入力と制御入力と出力とを有するサンプルホールド回路を提供する工程と、
    前記サンプル入力に、RF電源により供給されている前記RF電力の前記周波数を表す周波数制御信号を結合する工程と、
    前記制御入力に、少なくとも第1の状態と第2の状態とを有するサンプル制御信号を結合する工程と、
    前記サンプル制御信号が前記第1の状態から前記第2の状態まで変化した時の最新の前記周波数制御信号の前記値を、前記サンプルホールド回路の前記出力でサンプルホールド回路が維持する工程と、
    前記サンプルホールド回路の前記出力に応答して第1の周波数下限及び第1の周波数上限を設定し、前記第1の周波数下限及び第1の周波数上限が、夫々、前記サンプルホールド回路の前記出力により表される前記周波数より小さい及び大きくなるようにする工程と、
    前記周波数制御信号により表される前記周波数を前記第1の周波数下限及び前記第1の周波数上限と繰り返し比較し、前記周波数制御信号により表される前記周波数が前記第1の周波数下限より小さいか前記第1の周波数上限より大きいかを決める工程と、
    前記比較工程が、前記周波数制御信号により表される周波数が、前記第1の周波数下限より小さいか、又は前記第1の周波数上限より大きいか決める時、第1の警告信号を生成する工程とを含む方法。
  19. 前記設定工程が、
    前記サンプルホールド回路の前記出力により表される前記周波数から周波数オフセットを減算したものに等しい前記第1の周波数下限を設定する工程と、
    前記サンプルホールド回路の前記出力により表される前記周波数に前記周波数オフセットを加算したものに等しい前記第1の周波数上限を設定する工程とを含む請求項18記載の方法。
  20. 前記周波数制御信号により表される前記周波数が第2の周波数下限より小さい又は第2の周波数上限より大きい時に、第2の警告信号を生成する工程を含み、
    前記第2の周波数下限及び前記第2の周波数上限が、前記サンプルホールド回路の前記出力から独立した所定の値を有する請求項18載の方法。
  21. 前記プロセスチャンバが、新たなワークピース処理ステップを開始する時に、所定の遅延後、前記サンプル制御信号を前記第1の状態から前記第2の状態へ変更する工程を含み、
    前記所定の遅延がゼロより大きい又はゼロに等しい請求項18記載の方法。
  22. 化学的又は電気的状態が前記プラズマチャンバ内で変化する時に、所定の遅延後、前記サンプル制御信号が前記第1の状態から前記第2の状態へ変化する工程を含み、
    前記所定の遅延がゼロより大きい又はゼロに等しい請求項18記載の方法。
  23. RF電源が少なくとも所定レベルの電力を供給しない時に、前記サンプル制御信号を前記第1の状態に設定する工程と、
    前記RF電源が少なくとも前記所定レベルの電力を供給し始める時に、所定の遅延後、サンプル制御信号が前記第1の状態から前記第2の状態へ変化する工程とを含み、
    前記所定の遅延がゼロより大きい又はゼロに等しい請求項18記載の方法。
  24. 前記周波数制御信号と前記サンプル制御信号が同じ電気的信号である請求項18記載の方法。
  25. 可変周波数RF電源が、RF電力をプラズマチャンバ内の異常RFインピーダンスに供給する時に信号を生成する方法であって、
    プラズマチャンバ内にプラズマを提供する工程と、
    周波数制御信号を提供する工程と、
    RF電力をRF電源から前記プラズマに供給する工程であって、前記RF電源が前記周波数制御信号の前記値に応答して前記RF電力の前記周波数を設定する工程と、
    前記周波数制御信号の前記値を、前記プラズマと前記RF電源の間の反映されたRF電源に応答して調整する工程と、
    サンプル入力と制御入力と出力とを有するサンプルホールド回路を提供する工程と、
    前記周波数制御信号を前記サンプル入力に結合する工程と、
    前記制御入力に、少なくとも第1の状態及び第2の状態を有するサンプル制御信号を結合する工程と、
    前記サンプル制御信号が前記第1の状態から前記第2の状態まで変化した時の最新の前記周波数制御信号の前記値を、前記サンプルホールド回路の前記出力で前記サンプルホールド回路が維持する工程と、
    前記サンプルホールド回路の前記出力に応答して第1の周波数下限及び第1の周波数上限を設定し、前記第1の周波数下限及び第1の周波数上限が、夫々、前記サンプルホールド回路の前記出力により表される前記周波数より小さい及び大きくなるようにする工程と、
    前記周波数制御信号により表される前記周波数を、前記第1の周波数下限及び前記第1の周波数上限と繰り返し比較し、前記周波数制御信号により表される前記周波数が、前記第1の周波数下限より小さいか、前記第1の周波数上限より大きいかを決める工程と、
    前記比較工程が、前記周波数制御信号により表される周波数が、前記第1の周波数下限より小さいか、又は前記第1の周波数上限より大きいか決める時、第1の警告信号を生成する工程とを含む方法。
JP2007241852A 2006-09-21 2007-09-19 プラズマプロセス異常状態が発生した時に信号を生成する装置 Active JP5646131B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US82653906P 2006-09-21 2006-09-21
US60/826,539 2006-09-21
US11/682,290 US7902991B2 (en) 2006-09-21 2007-03-05 Frequency monitoring to detect plasma process abnormality
US11/682,290 2007-03-05

Publications (2)

Publication Number Publication Date
JP2008091332A true JP2008091332A (ja) 2008-04-17
JP5646131B2 JP5646131B2 (ja) 2014-12-24

Family

ID=39224339

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007241852A Active JP5646131B2 (ja) 2006-09-21 2007-09-19 プラズマプロセス異常状態が発生した時に信号を生成する装置

Country Status (5)

Country Link
US (2) US7902991B2 (ja)
JP (1) JP5646131B2 (ja)
KR (1) KR100971846B1 (ja)
CN (2) CN102159018A (ja)
TW (1) TWI367053B (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014072807A (ja) * 2012-09-28 2014-04-21 Daihen Corp インピーダンス調整装置
JP2014072808A (ja) * 2012-09-28 2014-04-21 Daihen Corp インピーダンス調整装置
JP2017073770A (ja) * 2016-09-30 2017-04-13 株式会社ダイヘン 高周波整合システム
JP2020129549A (ja) * 2015-09-01 2020-08-27 エムケーエス インストゥルメンツ,インコーポレイテッド プラズマrfバイアス消去システム

Families Citing this family (242)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2257906B1 (en) * 2008-03-19 2013-02-27 Freescale Semiconductor, Inc. A method for protecting a cryptographic module and a device having cryptographic module protection capabilities
US8674844B2 (en) * 2009-03-19 2014-03-18 Applied Materials, Inc. Detecting plasma chamber malfunction
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
US9791489B2 (en) 2011-03-22 2017-10-17 The Johns Hopkins University High dynamic range RF power monitor
JP6070963B2 (ja) 2011-03-22 2017-02-01 ザ・ジョンズ・ホプキンス・ユニバーシティー 磁気共鳴スペクトロスコピーイメージングを行うシステムおよび方法
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20130071581A1 (en) * 2011-09-20 2013-03-21 Jonghoon Baek Plasma monitoring and minimizing stray capacitance
US9197196B2 (en) 2012-02-22 2015-11-24 Lam Research Corporation State-based adjustment of power and frequency
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US9842725B2 (en) 2013-01-31 2017-12-12 Lam Research Corporation Using modeling to determine ion energy associated with a plasma system
US9295148B2 (en) 2012-12-14 2016-03-22 Lam Research Corporation Computation of statistics for statistical data decimation
US9462672B2 (en) 2012-02-22 2016-10-04 Lam Research Corporation Adjustment of power and frequency based on three or more states
US9320126B2 (en) 2012-12-17 2016-04-19 Lam Research Corporation Determining a value of a variable on an RF transmission model
US10128090B2 (en) 2012-02-22 2018-11-13 Lam Research Corporation RF impedance model based fault detection
US9114666B2 (en) 2012-02-22 2015-08-25 Lam Research Corporation Methods and apparatus for controlling plasma in a plasma processing system
US9279722B2 (en) 2012-04-30 2016-03-08 Agilent Technologies, Inc. Optical emission system including dichroic beam combiner
US9046570B2 (en) 2012-08-03 2015-06-02 Freescale Semiconductor, Inc. Method and apparatus for limiting access to an integrated circuit (IC)
JP6072462B2 (ja) * 2012-08-07 2017-02-01 株式会社日立ハイテクノロジーズ プラズマ処理装置およびマイクロ波出力装置
KR101430737B1 (ko) * 2012-08-31 2014-08-14 세메스 주식회사 에러 검출 방법 및 기판 처리 장치
US9316675B2 (en) * 2012-09-06 2016-04-19 Mks Instruments, Inc. Secondary plasma detection systems and methods
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
EP2937962B1 (en) * 2012-12-18 2018-10-10 Panasonic Intellectual Property Management Co., Ltd. Supply and demand control device and supply and demand control method
US9155182B2 (en) 2013-01-11 2015-10-06 Lam Research Corporation Tuning a parameter associated with plasma impedance
CN103048536B (zh) * 2013-01-18 2015-06-10 东南大学 基于固支梁和直接式功率传感器的在线式微波频率检测器及其检测方法
US9620337B2 (en) * 2013-01-31 2017-04-11 Lam Research Corporation Determining a malfunctioning device in a plasma system
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9653269B2 (en) * 2013-08-14 2017-05-16 Applied Materials, Inc. Detecting arcing using processing chamber data
KR102339317B1 (ko) * 2013-12-13 2021-12-14 램 리써치 코포레이션 Rf 임피던스 모델 기반 폴트 검출
US9594105B2 (en) 2014-01-10 2017-03-14 Lam Research Corporation Cable power loss determination for virtual metrology
US10950421B2 (en) 2014-04-21 2021-03-16 Lam Research Corporation Using modeling for identifying a location of a fault in an RF transmission system for a plasma system
CN105206494B (zh) * 2014-06-18 2017-06-06 北京北方微电子基地设备工艺研究中心有限责任公司 脉冲射频电源的阻抗匹配方法及等离子体设备的匹配方法
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10043690B2 (en) * 2015-03-31 2018-08-07 Lam Research Corporation Fault detection using showerhead voltage variation
US10120049B2 (en) 2015-05-18 2018-11-06 The Johns Hopkins University System and method of obtaining spatially-encoded NMR parameters from arbitrarily-shaped compartments and linear algebraic modeling
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
JP6793019B2 (ja) * 2016-11-28 2020-12-02 東京エレクトロン株式会社 プラズマ処理装置
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
JP2018206847A (ja) * 2017-05-31 2018-12-27 株式会社Kokusai Electric 半導体装置の製造方法、プログラムおよび基板処理装置
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
CN108121266B (zh) * 2017-12-27 2020-12-15 江苏神州半导体科技有限公司 射频电源模拟控制器
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10657214B2 (en) * 2018-10-09 2020-05-19 Applied Materials, Inc. Predictive spatial digital design of experiment for advanced semiconductor process optimization and control
US10930531B2 (en) * 2018-10-09 2021-02-23 Applied Materials, Inc. Adaptive control of wafer-to-wafer variability in device performance in advanced semiconductor processes
US10705514B2 (en) 2018-10-09 2020-07-07 Applied Materials, Inc. Adaptive chamber matching in advanced semiconductor process control
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08302466A (ja) * 1995-05-10 1996-11-19 Ulvac Japan Ltd 真空装置の異常放電抑制・消滅装置
JP2000058519A (ja) * 1998-07-31 2000-02-25 Sumitomo Metal Ind Ltd 変化点検出装置および変化点検出方法
JP2003514362A (ja) * 1999-11-19 2003-04-15 東京エレクトロン株式会社 プラズマ密度測定用安定化発振器回路
WO2006041656A2 (en) * 2004-09-27 2006-04-20 Lam Research Corporation Methods and apparatus for monitoring a process in a plasma processing system by measuring a plasma frequency
JP2006173213A (ja) * 2004-12-13 2006-06-29 Advantest Corp 温度安定化装置及び発振装置

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3020529A (en) 1959-12-21 1962-02-06 Collins Radio Co Reflected power alarm for a variable power output antenna system
US3519927A (en) 1968-09-05 1970-07-07 Us Air Force Scanning analyzer for determining characteristics of an ionized plasma
JPS59111231A (ja) 1982-12-16 1984-06-27 Japan Atom Energy Res Inst ア−ク放電異常検出方式
JPH07191764A (ja) * 1993-12-27 1995-07-28 Fujitsu Ltd 高周波電源装置及びプラズマ発生装置
DE69509046T2 (de) 1994-11-30 1999-10-21 Applied Materials Inc Plasmareaktoren zur Behandlung von Halbleiterscheiben
US5810963A (en) 1995-09-28 1998-09-22 Kabushiki Kaisha Toshiba Plasma processing apparatus and method
US5654679A (en) 1996-06-13 1997-08-05 Rf Power Products, Inc. Apparatus for matching a variable load impedance with an RF power generator impedance
US6124758A (en) 1998-08-19 2000-09-26 Harris Corporation RF power amplifier control system
US6455437B1 (en) * 1999-04-07 2002-09-24 Applied Materials Inc. Method and apparatus for monitoring the process state of a semiconductor device fabrication process
US6646386B1 (en) 1999-07-20 2003-11-11 Tokyo Electron Limited Stabilized oscillator circuit for plasma density measurement
US6718272B1 (en) 2000-11-01 2004-04-06 Eni Technology Inc. Fast transient protection for RF plasma generator
JP5138131B2 (ja) * 2001-03-28 2013-02-06 忠弘 大見 マイクロ波プラズマプロセス装置及びプラズマプロセス制御方法
US6528949B2 (en) 2001-03-30 2003-03-04 Lam Research Corporation Apparatus for elimination of plasma lighting inside a gas line in a strong RF field
US6677711B2 (en) 2001-06-07 2004-01-13 Lam Research Corporation Plasma processor method and apparatus
US6590757B2 (en) 2001-09-28 2003-07-08 Eaton Corporation Method and apparatus for detecting and suppressing a parallel arc fault
TW200300649A (en) * 2001-11-27 2003-06-01 Alps Electric Co Ltd Plasma processing apparatus, its driving method, matching circuit design system, and plasma processing method
JP3689732B2 (ja) * 2001-12-05 2005-08-31 株式会社日立ハイテクノロジーズ プラズマ処理装置の監視装置
TWI266347B (en) 2002-01-31 2006-11-11 Tokyo Electron Ltd Apparatus and method for improving microwave coupling to a resonant cavity
JP3977114B2 (ja) * 2002-03-25 2007-09-19 株式会社ルネサステクノロジ プラズマ処理装置
US6703080B2 (en) 2002-05-20 2004-03-09 Eni Technology, Inc. Method and apparatus for VHF plasma processing with load mismatch reliability and stability
US6873114B2 (en) * 2002-09-26 2005-03-29 Lam Research Corporation Method for toolmatching and troubleshooting a plasma processing system
WO2005015964A1 (ja) 2003-08-07 2005-02-17 Hitachi Kokusai Electric Inc. 基板処理装置及び基板処理方法
US6983215B2 (en) 2003-12-02 2006-01-03 Mks Instruments, Inc. RF metrology characterization for field installation and serviceability for the plasma processing industry
JP4695362B2 (ja) * 2004-08-16 2011-06-08 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US7292045B2 (en) 2004-09-04 2007-11-06 Applied Materials, Inc. Detection and suppression of electrical arcing
US7620516B2 (en) 2005-05-02 2009-11-17 Mks Instruments, Inc. Versatile semiconductor manufacturing controller with statistically repeatable response times

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08302466A (ja) * 1995-05-10 1996-11-19 Ulvac Japan Ltd 真空装置の異常放電抑制・消滅装置
JP2000058519A (ja) * 1998-07-31 2000-02-25 Sumitomo Metal Ind Ltd 変化点検出装置および変化点検出方法
JP2003514362A (ja) * 1999-11-19 2003-04-15 東京エレクトロン株式会社 プラズマ密度測定用安定化発振器回路
WO2006041656A2 (en) * 2004-09-27 2006-04-20 Lam Research Corporation Methods and apparatus for monitoring a process in a plasma processing system by measuring a plasma frequency
JP2006173213A (ja) * 2004-12-13 2006-06-29 Advantest Corp 温度安定化装置及び発振装置

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014072807A (ja) * 2012-09-28 2014-04-21 Daihen Corp インピーダンス調整装置
JP2014072808A (ja) * 2012-09-28 2014-04-21 Daihen Corp インピーダンス調整装置
JP2020129549A (ja) * 2015-09-01 2020-08-27 エムケーエス インストゥルメンツ,インコーポレイテッド プラズマrfバイアス消去システム
JP2017073770A (ja) * 2016-09-30 2017-04-13 株式会社ダイヘン 高周波整合システム

Also Published As

Publication number Publication date
US20080074255A1 (en) 2008-03-27
KR20080027135A (ko) 2008-03-26
US8174400B2 (en) 2012-05-08
US20110241892A1 (en) 2011-10-06
CN102159018A (zh) 2011-08-17
CN101155460A (zh) 2008-04-02
US7902991B2 (en) 2011-03-08
CN101155460B (zh) 2011-05-18
KR100971846B1 (ko) 2010-07-22
JP5646131B2 (ja) 2014-12-24
TWI367053B (en) 2012-06-21
TW200830944A (en) 2008-07-16

Similar Documents

Publication Publication Date Title
JP5646131B2 (ja) プラズマプロセス異常状態が発生した時に信号を生成する装置
KR100822050B1 (ko) 전기적 아킹 검출 및 억제 장치 및 방법
JP4367953B2 (ja) 基板処理装置及び基板処理方法
KR101148605B1 (ko) 플라즈마 처리 장치
KR102299397B1 (ko) 챔버 데이터를 이용한 유리 파손과 아킹의 검출
JP2003282545A (ja) 半導体装置の製造方法及びプラズマ処理装置
KR20180027338A (ko) 이상 검지 방법 및 반도체 제조 장치
JP2008287999A (ja) プラズマ処理装置およびその制御方法
US10777386B2 (en) Methods for controlling plasma glow discharge in a plasma chamber
US20070235060A1 (en) Ignition control of remote plasma unit
WO2015029777A1 (ja) プラズマ処理装置及びプラズマ処理装置の監視方法
JP2004220923A (ja) 異常放電検出装置と検出方法、及び該異常放電検出装置を備えたプラズマ処理装置
KR20060120438A (ko) 플라즈마 공정의 비정상적 작동을 검출하는 방법
JP2006328510A (ja) プラズマ処理方法及び装置
KR20090110814A (ko) 플라즈마 프로세스 이상을 검출하기 위한 주파수 모니터링
KR100874893B1 (ko) 기판 손상 검출 장치 및 방법
JP2001164368A (ja) プラズマcvd装置のチャンバークリーニング方法およびプラズマcvd装置
US8674844B2 (en) Detecting plasma chamber malfunction
US20070246063A1 (en) Method of performing a pressure calibration during waferless autoclean process
KR20230119605A (ko) 기판 처리 방법 및 기판 처리 장치
JP2001262355A (ja) プラズマcvd装置およびその異常検出方法
JP2007059659A (ja) 半導体製造装置
WO2003077304A1 (fr) Systeme de traitement par plasma et methode d'interruption du traitement par plasma
JP2005183756A (ja) プラズマ処理装置およびその管理方法
JP2008095150A (ja) プラズマcvd装置、プラズマcvd装置用制御プログラムおよびプラズマcvd装置洗浄方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20100917

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111123

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20121023

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130123

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130128

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130221

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130226

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130319

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130325

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130422

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140128

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20140427

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20140502

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20140528

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20140602

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20140627

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20140702

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140728

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20141007

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20141105

R150 Certificate of patent or registration of utility model

Ref document number: 5646131

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250