CN102159018A - 检测等离子体工艺异常的频率监控 - Google Patents

检测等离子体工艺异常的频率监控 Download PDF

Info

Publication number
CN102159018A
CN102159018A CN2011100735292A CN201110073529A CN102159018A CN 102159018 A CN102159018 A CN 102159018A CN 2011100735292 A CN2011100735292 A CN 2011100735292A CN 201110073529 A CN201110073529 A CN 201110073529A CN 102159018 A CN102159018 A CN 102159018A
Authority
CN
China
Prior art keywords
frequency
control signal
lower limit
state
power supply
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN2011100735292A
Other languages
English (en)
Inventor
朴范秀
崔寿永
约翰·M·怀特
金宏顺
霍夫曼·詹姆斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN102159018A publication Critical patent/CN102159018A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R23/00Arrangements for measuring frequencies; Arrangements for analysing frequency spectra
    • G01R23/005Circuits for comparing several input signals and for indicating the result of this comparison, e.g. equal, different, greater, smaller (comparing phase or frequency of 2 mutually independent oscillations in demodulators)
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R23/00Arrangements for measuring frequencies; Arrangements for analysing frequency spectra
    • G01R23/02Arrangements for measuring frequency, e.g. pulse repetition rate; Arrangements for measuring period of current or voltage
    • G01R23/15Indicating that frequency of pulses is either above or below a predetermined value or within or outside a predetermined range of values, by making use of non-linear or digital elements (indicating that pulse width is above or below a certain limit)
    • GPHYSICS
    • G08SIGNALLING
    • G08BSIGNALLING OR CALLING SYSTEMS; ORDER TELEGRAPHS; ALARM SYSTEMS
    • G08B21/00Alarms responsive to a single specified undesired or abnormal condition and not otherwise provided for
    • G08B21/18Status alarms
    • G08B21/182Level alarms, e.g. alarms responsive to variables exceeding a threshold
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67213Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one ion or electron beam chamber
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03HIMPEDANCE NETWORKS, e.g. RESONANT CIRCUITS; RESONATORS
    • H03H7/00Multiple-port networks comprising only passive electrical elements as network components
    • H03H7/38Impedance-matching networks
    • H03H7/40Automatic matching of load impedance to source impedance

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Business, Economics & Management (AREA)
  • Emergency Management (AREA)
  • Nonlinear Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本发明公开了一种检测等离子体工艺异常的频率监控装置和方法,其通过检测变频RF电源的频率是否超出所建立的上限和下限而检测RF驱动的等离子体工艺腔室内的异常情况。在第一方面,在新的工艺步骤开始之后或者在采样控制信号改变状态之后,建立第一对上限和下限为采样的电源频率的函数。在第二方面,第二对上限和下限不与所述电源的频率相适应。优选地,将两方面一起使用,以检测异常情况的不同出现。

Description

检测等离子体工艺异常的频率监控
技术领域
本发明涉及一种用于检测与变频射频(RF)电源耦合的RF驱动的等离子体工艺腔室内的异常情况的装置和方法,尤其涉及用于制造例如电子显示器或半导体电路的电子工件的工艺腔室。
背景技术
通过在各种工艺腔室中执行一系列的工艺步骤来制造例如电子平板显示器和半导体集成电路的电子器件。这些工艺步骤通常包括在等离子体工艺腔室中执行的步骤,例如在等离子体增强化学气相沉积腔室或等离子体溅射腔室中执行的沉积步骤,或者在等离子体蚀刻腔室中执行的蚀刻步骤。将任何在等离子体存在于腔室内时用于执行制造电子器件的步骤的该腔室认为是等离子体工艺腔室。
当在等离子体工艺腔室内进行工件处理时,有时会出现故障。这些故障可以包括损坏的腔室部件、需要清洁或其它例行保养的腔室部件或者损坏的工件。如果在故障出现后不暂停等离子体工艺,则会存在对腔室部件或工件产生更严重损坏的风险。因此,尽可能快地检测这些故障以便能够暂停等离子体工艺是很重要的。
发明内容
本发明涉及一种用于通过检测变频RF电源是否移动到所建立的下限和上限之外而检测RF驱动的等离子体工艺腔室内的异常情况的装置和方法。
本发明在与阻抗匹配网络和RF电源结合时非常有用,其中调节RF电源的频率以使RF电源、匹配网络和等离子体工艺腔室之中的阻抗匹配最优化,从而RF电源的频率响应于等离子体工艺腔室提供的负载阻抗的变化而改变。
本发明的第一方面适应性地建立第一对频率上限和下限。在新的工艺步骤开始之后或者在采样控制信号从第一状态改变至第二状态之后,采样保持电路对RF电源的频率进行采样。建立作为采样的频率的函数的第一对频率上限和下限。
第一比较器电路重复地(即,周期性地或连续地)对RF电源的频率和第一对频率限值进行比较,并且如果所述频率变得小于第一下限或大于第一上限则发出警报信号。
优选地,建立第一对频率限值以在工艺腔室正常工作时使电源频率保持在频率上限和下限之间。从而,电源频率超出所述频率限值能够可靠地指示工艺腔室内的异常情况,例如损坏的腔室部件、需要清洁或其它例行保养的腔室部件或者损坏的工件。
优选地,当新工件的等离子体处理开始时或者在工件处理状态中的任何改变之后,更新该第一对频率限值。通过重复对RF电源频率采样并且建立作为采样频率的函数的第一对频率限值的前述步骤而进行更新。
该更新本质上使频率限值适应于工艺腔室条件的偏移,由此使频率限值能够比固定频率限值的间隔更窄。因此,与固定且间隔更宽的频率限值相比,适应性地建立的频率限值能够更灵敏地检测工艺腔室中的异常情况。
本发明的第二方面建立第二对频率上限和下限,其不是作为电源频率的函数而被适应性地更新。比较器电路将RF电源的频率与第二对频率限值进行比较,并且,如果电源频率位于该限制之外,该比较器电路发出表示工艺腔室中的异常情况的第二警报信号。优选地,在工件处理的状态改变时,例如当新工件的等离子体处理开始时或者在工件处理状态的任何改变之后,进行该比较。
尽管本发明的第一方面和第二方面中的任一方面都能够独立于另一方面而被使用,但优选将它们一起使用,因为它们具有互补和协同的优点和缺点。具体地,尽管由于第二频率限制不适应为电源频率的函数,该第二频率限值通常必须比第一频率限值间隔更宽,但本发明的第二方面具有能够检测未进行处理时出现的异常情况的优点,例如当工件被转移进或转移出该腔室时接地母线的破损。
附图说明
图1为根据本发明用于检测工艺腔室中异常情况的装置的方块图。
图2为显示在五个工件序列的正常等离子体处理期间RF电源频率与时间的函数关系图。
图3为与图2相似的关系图,但示出了在第三和第五衬底的等离子体处理期间的异常情况。
图4为使用适应性频率限值的本发明第一方面的方法的流程图。
图5为使用非适应性频率限值的本发明第二方面的方法的流程图。
具体实施方式
1、等离子体工艺综述
图1表示根据本发明用于检测工艺腔室内异常情况的常规RF驱动的等离子体工艺腔室10和新型电路20-24。
该等离子体工艺腔室可以为任何类型的用于执行等离子体工艺的腔室,所述等离子体工艺用于制造例如电子显示器或半导体集成电路的电子器件。例如,等离子体工艺腔室可以为等离子体增强化学气相沉积腔室、溅射腔室或者等离子体蚀刻腔室。
图示的等离子体工艺腔室10包括未示出的RF耦合电极,其被连接为接收从常规RF电源12输出的RF功率。RF耦合电极用于将RF功率耦合到腔室内的等离子体。例如,RF电极可以为电容耦合电极、电感线圈或者微波波导。该工艺腔室还可以从一个或多个未示出的附加电源,例如微波、RF或DC电源,接收电功率。
常规的工艺控制器16,典型地为可编程计算机,执行被称作工艺步骤的步骤序列,该步骤序列为在该腔室内对工件执行制造工艺所需。例如,该工艺控制器向转移工件的机械手以及调节工艺气体进出该腔室的气体阀发送控制信号。
对本发明重要的是,工艺控制器通过向电源传输频率控制信号FC而控制由RF电源12产生的RF功率输出的频率,所述频率控制信号FC为其值代表所需频率的模拟或数字电信号。此外,该工艺控制器通过向电源传输可以为二进制电信号的工艺控制信号PC而控制RF电源输出打开或者关闭。
为了使电源的RF输出阻抗与工艺腔室提供的负载阻抗相匹配,将常规RF阻抗匹配网络14连接在电源的输出和工艺腔室之间。在一些常规系统中,通过调节匹配网络内的电容器或电感器而不需调节RF电源的频率,可以使阻抗匹配最优化。
在被称作变频阻抗匹配的其它常规系统中,阻抗匹配方法包括调节RF电源的频率。在变频阻抗匹配系统中,电源频率响应于工艺腔室提供的负载阻抗的变化而改变。这是对于本发明最有效的阻抗匹配系统类型。下述讨论假设对工艺控制器编程为控制电源的频率以使阻抗匹配最优化。然而,本发明的所有方面还应用于通过阻抗匹配控制装置控制电源频率的系统,所述阻抗匹配控制装置或者被嵌入在电源中,或者独立于电源或工艺控制器而单独设置。
如在本发明的背景技术中所述,当在等离子体工艺腔室内对工件进行处理时,有时会出现故障或其它异常情况。该故障或其它异常情况可能是损坏的腔室部件、需要清洁或其它例行保养的腔室部件或例如破裂的玻璃衬底的损坏工件。如果在严重的异常情况出现后不暂停等离子体工艺,则存在对腔室部件或工件产生更严重损坏的风险。因此,尽可能快地检测腔室内的故障或其它异常情况以便能够暂停等离子体工艺很重要。
本发明基于在发现工艺腔室内的故障或其它异常情况时通常改变RF电源12的频率。具体地,工艺腔室10内的严重异常情况通常会改变腔室内等离子体的特性,由此改变该腔室提供给RF电源的负载阻抗。这使工艺控制器16中的常规阻抗匹配算法改变RF电源的频率,以便将电源和该腔室提供的已改变的负载阻抗之间恢复为最佳的阻抗匹配。
图2和图3为表示在等离子体增强化学气相沉积(PECVD)工艺腔室10中连续处理的五个工件时RF电源12的频率与时间的函数关系图。在图示的工艺中,每个工件为其上制造有液晶显示器的玻璃衬底,并且在对每个衬底上执行单一沉积步骤。纵轴代表RF电源的频率,横轴代表时间,其中,在时间1和时间2之间处理第一工件,在时间2和时间3之间处理第二工件,等等,直至在时间5和时间6之间处理第五工件。
图2示出了在正常工作的等离子体工艺腔室中可能发生的电源频率的变化,而图3示出了在第三工件的处理期间可能由工件中的等离子体弧光和破裂而引起的频率偏移以及在开始处理第五工件之前可能由几个断裂的基座接地母线而引起的频率偏移。在图3中,等离子体弧光产生两个图示的窄频尖峰,其中一个向上,一个向下。对于第三工件的剩余处理,第三工件的玻璃衬底的随后破裂引起频率向上突升,而当RF功率重新开始处理第五工件时,在将第四工件转移出腔室并且将第五工件转移进腔室期间断裂的接地母线使电源频率明显降低。
本发明意欲检测例如图3中所示的频率偏移。广泛地说,本发明建立频率上限和下限(由U和L表示),在该上限和下限之间,在工艺腔室中没有异常情况时RF电源的频率保持正常。将电源的频率与频率限值相比较。如果电源的频率变得小于下限L或变得高于上限U,则本发明产生警报信号,由此警告工艺腔室中的异常情况。优选地,在腔室内对工件进行等离子体工艺步骤的全部时间中,重复地(例如,周期性地或连续地)执行该比较。
本发明进一步包括用于建立前述频率上限和下限的有利的方法和装置。在解释本发明的该方面之前,将更详细地讨论电源频率的改变。
图2示出了对于图示的PECVD工艺电源频率在每个沉积步骤期间逐渐改变。对该行为的主要贡献是来自每个沉积步骤期间的化学反应副产品残渣在腔室中的累积,这使该腔室提供的RF负载阻抗在每个沉积步骤期间逐渐改变。此外,存在两个连续工件之间电源频率的实质突变,其可能超出在单个工件处理期间电源频率的改变。在图示的工艺中,在处理完五个工件之后,清理该腔室以去除累积的残渣,由此图2所示的图案重复用于下一轮的五个工件。
尽管在图2和图3中未示出,当开始处理每个连续工件时还存在电源频率的瞬变。该瞬变出现在腔室中等离子体的最初形成期间。
在对单一工件执行的单一工艺步骤期间最大的电源频率改变通常大大小于在连续工艺步骤中或者在多个连续工件中的最大频率偏移。例如,在图2所示的工艺中,在对单一工件执行的单一工艺步骤内的最大电源频率改变大约为3kHz。相反,在五个连续工件的处理期间,电源频率从开始处理第一工件时的13380kHz变化到结束处理第五工件时的13345kHz,其累积频率变化为35kHz。
2、适应性频率限值(本发明的第一方面)
本发明的第一方面(图4)通过利用在单一工艺步骤期间或者在单一工件的处理期间相对小的电源频率偏移而以高灵敏度检测异常工艺情况。在开始等离子体工艺步骤或开始工件的等离子体处理(步骤101)之后不久,对RF电源的频率采样并存储在存储器中(步骤103),所述存储器可以是计算机存储器或者在常规采样保持电路中的存储器。建立上频率限值和下频率限值(U和L)与采样电源频率之间的函数(步骤104)。
然后,将电源的频率与上述的频率限值相比较(步骤105)。如果电源的频率变得小于下限或者变得大于上限,本发明产生警报信号A1,由此警告在工艺腔室中的异常情况。优选地,在对工件执行等离子体工艺步骤的全部时间中重复地(例如周期性地或连续地)执行该比较(步骤106)。
当完成等离子体工艺步骤时,或者当在等离子体腔室内完成对工件的处理时,该比较或警报信号的发出被禁止,即被暂停(步骤106)。当新的工艺步骤开始时,或者当对新工件的等离子体处理开始时(步骤101),再次对RF电源的频率采样并且在存储器中存储新采样的频率值(步骤103)。重新建立下频率限值和上频率限制与电源频率的最新采样值之间的函数(步骤104)。然后可以重新开始上述的电源频率与频率限值之间的比较(步骤105)。
优选地,通过从电源频率的采样值中减去预设的频率偏差或者通过将该采样值与略小于1的预设比例因子相乘,确定频率下限L。类似地,优选地,通过将预设频率偏差加到电源频率的采样值上或者通过将该采样值与略大于1的预设比例因子相乘,确定频率上限U。
优选地,应当选择预设偏差或比例因子以便所得到的频率限值将仅略超出在单一工艺步骤或者对单一工件的等离子体处理期间正常遇到的频率范围之外。例如,假设在单一工件的处理期间最大电源频率变化大约为+/-3kHz。优选地,预设频率偏差应当略大于最大变化,这样预设的上频率偏差和下频率偏差的适宜值为5kHz。
或者,如果已知在工艺步骤期间电源频率总是相对于步骤开始时的值下降,则上频率偏差可以小于下频率偏差。在图2的例子中,上、下频率偏差的适宜值分别为5kHz和3kHz。当第一工件的处理在时间1开始时,采样电源频率为13380kHz,由此将下频率限值和上频率限值分别设为L1=13375kHz和U1=13383kHz(下标是指该限值所应用的工件)。在建立限值L1和U1之后,会重复地将它们与在第一工件处理期间的电源频率相比较。类似地,当第五工件的处理在时间6开始时,采样电源频率为13348kHz,由此将下频率限值和上频率限值分别设为L5=13343kHz和U5=13351kHz。在建立限值L5和U5之后,会重复地将它们与在第五工件处理期间的电源频率相比较。
图3表示在第三工件的处理期间的异常情况将会通过到目前为止所述的本发明的第一方面进行检测。会检测出第一等离子体弧光的发生,这是因为其使电源频率超出频率上限U3。会检测出第二等离子体弧光的发生,这是因为其使电源频率降至频率下限L3之下。还会检测出在弧光之后玻璃衬底的破裂,这是因为其使电源频率超出频率上限U3
3、不适应于采样频率的频率限值(本发明的第二方面)
图3还示出了本发明的第一方面不能够检测出在工艺步骤之间发生的设备故障,即,在一个工艺步骤的结束和下一工艺步骤的开始之间,在关闭RF电源和等离子体期间所出现的故障。在图3中示出的故障使第五工件的等离子体处理期间的电源频率比第四工件的处理期间低35kHz。由于基于开始处理第五工件时的电源频率而适应性地建立了频率上下限U5和L5,所以该故障使频率限值向下偏移与电源频率相同的量35kHz。因此,电源频率没有与频率限值交叉,不能检测出异常情况。
如在图3的第四和第五工件之间所示,在连续工件的处理之间故障的一般原因是基座接地母线的破损。每次将工件转移进或转移出工艺腔室时,通常会降低和升高支撑工件的基架或基座。该移动最终会使一些连接在可移动基座和固定电性接地的腔室壁之间的柔性电性接地母线破裂。在用于制造平板显示器的PECVD腔室中,基座典型地具有40或50条空间分布的接地母线。如果20%或更多的接地母线破裂,则由于通过PECVD工艺沉积的薄膜不能够具有所需性质而使可能毁坏该工件。由于基座移动出现在工件转移的过程中,当在连续工件的处理之间关闭RF电源和等离子体时,经常出现接地母线的破裂。
我们已经发现基座接地母线的破裂引起电源频率的偏移,如在图3所示的第四和第五工件之间的偏移。频率偏移大约与破裂的接地母线的百分比成比例。
本发明的第二方面(图5)能够检测在工艺步骤之间或者在连续工件的等离子体处理之间出现的故障,例如刚才讨论的接地母线破裂。本发明的第二方面建立第二频率下限LL和第二频率上限UU,其不适于作为电源频率新近采样的函数。在开始新的工艺步骤之后,或者在腔室内开始对新工件的等离子体处理之后(步骤201),将RF电源的频率与第二频率限值相比较(步骤203)。如果电源频率限小于第二频率下限LL或者大于第二频率上限UU,则会产生警报信号A2,由此警告工艺腔室中的异常情况。本发明的该第二方面能够检测在工艺步骤之间或者在不同工件的处理之间发生的故障或者其它异常情况。
更具说明性地,本发明的第一和第二方面可以分别被称为使用适应性频率限值的电源频率比较和使用非适应性频率限值的电源频率比较。为了将在下面解释的原因,适应性和非适应性频率限值也可以被称作窄间隔频率限值和宽间隔频率限值。
第二(非适应性)频率上限UU和下限LL可以是固定的,或者它们可以具有作为工艺步骤参数的函数的不同的预定值,例如正在执行的工艺步骤或者自清理该腔室起已经处理的工件数量。无论如何,优选地,第二(非适应性)频率上限UU和第二频率下限LL的预定值应当根据经验进行选择,从而当在工艺腔室中没有任何严重异常情况下执行给定的工艺步骤时,观测的电源频率范围保持在频率上限UU和频率下限LL之间。典型地,由于等离子体化学、RF功率以及其它参数的不同,电源频率的正常范围对于不同的工艺步骤将不同。因此,优选地,根据经验建立对于意欲在工艺腔室中执行的每个工艺的不同频率限值。
参照图2和图3所示的PECVD工艺,在处理五个工件而未介入腔室清理期间,电源频率范围为13345kHz~13380kHz。优选地,第二(非适应性)频率上限UU和第二频率下限LL应当被分别设为略低于和略高于该范围的值。例如,适宜的频率下限LL为13335kHz,适宜的频率上限UU为13390kHz。图3表示由于在处理第五工件期间电源频率正好低于第二下限LL,因此该方法会检测出在第四和第五工件之间所示出的故障。
或者,如果已知在清理每个腔室之后,在第一工件和第五工件之间电源频率一直下降,则可以将第二(非适应性)频率下限和上限LL和UU设置为工件数量的函数。例如,对于第一工件,预定的频率下限和上限可以分别为13365和13390kHz,而对于清理腔室之后的第五工件分别为13333和13358kHz。
可以单独使用本发明的第一方面(适应性极限)或者第二方面(非适应性极限),但优选地,一起使用本发明的这两个方面,因为它们具有互补和协同的优点和缺点。本发明的第一方面(具有适应性限值)具有能够使该限值间隔更窄的优点,由此能够改善检测异常的灵敏性。然而,本发明该方面的缺点在于其不能够检测在没有进行等离子体处理期间出现的异常,例如正转移工件时。本发明的第二方面(具有非适应性限值)克服了该缺陷;即,它能够检测出现在任何时间的异常,包括在没有执行等离子体工艺时出现的异常。然而,其缺点在于非适应性限值典型地不能够像适应性限值那样窄,由此它不可以检测产生相对小的频率偏移的异常。
在图2示出的前述PECVD工艺的实际测试中,我们发现因为适应性限值能够具有更窄间隔,所以等离子体室内玻璃衬底的破裂和弧光是通过本发明的第一方面(适应性限值)比通过本发明的第二方面(非限制性限值)可以被更可靠地检测出的两种类型的异常。如上所述,适应性限值L和U能够仅间隔开8kHz,而固定的、非适应性限值LL和UU必须被间隔开约55kHz。玻璃衬底的破裂和弧光通常产生突然的电源频率偏移,其在使用相对窄的适应性限值比较时大到足以触发异常警报,而在使用相对宽的非适应性限值比较时不足以触发异常警报。
相反,当工件被转移进或者转移出工艺腔室时接地母线的破裂只能用已经讨论的本发明第二方面的非适应性限值检测。幸运的是,如果破裂的接地母线的数量大到足以不利地影响等离子体工艺的空间均匀性,则所得到的电源频率的偏差会大到足以超过相对宽间隔的、非适应性限值。
当一起使用本发明的第一和第二方面时,每次更新适应性限值(第一方面)时,仅需要执行一次与非适应性限值的比较(第二方面),其典型地为每个工艺步骤一次或者每个工件一次。在使用非适应性限值的比较确定在更新适应性限值之前没有异常出现之后,随后的使用适应性限值的重复比较足以检测出可能在剩余的工艺步骤中的任何异常。
当新的工艺步骤开始时或者对新工件的等离子体处理开始时,典型地需要一定时间来稳定该等离子体。在被称作稳定时间期间的该最初稳定周期,电源频率可能会暂时偏离其正常范围。因此,为了避免错误警报,优选地,根据本发明两个方面的频率比较或警报的触发在紧跟开始新的工艺步骤或者开始新工件的等离子体处理之后的预定稳定周期期间应当被禁止(即,被暂停)(步骤102和202)。这可以通过在RF电源停用期间和在每次RF电源变得能起作用后的最初稳定周期期间禁止比较或者警报的触发来实现。由于相同的原因,优选地,应当适应根据本发明第一方面的频率限值,对电源频率的采样应该延迟至预定稳定周期之后(步骤102)。优选地,对于各个工艺,应当根据经验确定稳定时间,但作为例子,在我们的试验中我们确定适当的稳定时间周期为1秒~5秒。
为了帮助诊断异常警报是怎样触发的,本发明的第一和第二方面可以产生有区别的信号。然而,这通常不是必须的,由此如果发生异常,本发明的两个方面可以产生相同的警报信号。
4、装置实现
优选地,可编程通用计算机实现所有上述的采样操作、比较操作、频率限值确定、稳定时间延迟以及发出警报信号。优选地,与计算机连接的常规计算机存储设备存储频率限值和采样电源频率。尽管在说明书或者权利要求书中将本发明描述为包括一个或多个电路,例如包括采样保持电路和比较器电路,但是通用可编程计算机应当被认为在该电路的范围内。参照图1,可编程通用计算机可以取代除了等离子体腔室10、RF电源12以及RF阻抗匹配网络14的所有图示部件。
在图1中,工艺控制器16典型地控制在腔室内执行的所有工艺参数,并典型地产生可以用于启动在前述段落中所述的稳定周期的工艺控制信号。该工艺控制信号可以直接由工艺控制器产生,或者可以通过将工艺控制器产生的多个信号进行逻辑组合而产生。例如,可以由工艺控制器产生并且适于用作启动稳定周期的工艺控制信号的信号包括指示以下情况的信号:(1)当等离子体腔室开始新的工件处理步骤时;(2)当等离子体腔室内的化学或电气情况意欲改变时;(3)当RF电源接通或者从备用状态转换到激活状态时;或者(4)当RF电源从提供低的备用功率电平变化到超出预定阈值的功率电平或者高到足以执行等离子体工艺的功率电平时。
本发明的两个方面需要将电源频率与上限和下限相比较。可以连接频率计数器以接收电源输出的衰减部分并测量其频率。然而,通常,由于变频电源12典型地接收控制电源输出频率的模拟或数字频率控制信号FC,所以这不是必须的。工艺控制器16典型地产生频率控制信号FC并将其发送到电源的频率控制输入端。因此,本发明能够接收频率控制信号并使用其值代表电源的频率。
作为在可编程计算机上实施本发明的可选方法,图1表示利用不需要可编程的电路20-38的实现。在图1的电路中,常规的采样保持电路20通过对由工艺控制器16提供的前述频率控制信号FC的值进行采样和存储而实现图4的步骤103。通过可以是移位寄存器电路的常规延迟线路22提供优选的稳定延迟(图4的步骤102和图5的步骤202)。
通过采样控制信号SC控制采样保持电路20,优选地,所述采样控制信号SC由与逻辑门24产生,所述与逻辑门的输入为工艺控制信号PC和由延迟线22的输出提供的工艺控制信号的延迟形式。当等离子体工艺关闭时所得的采样控制信号为假,而当工艺控制信号PC表示等离子体工艺已经开始时,在由稳定时间延迟22所施加的延迟之后,所得的采样控制信号变为真,并且然后当工艺控制信号PC表示等离子体工艺已经结束时恢复为假。
第一比较器电路接收来自采样保持电路的输出的采样频率,并将第一频率上限U和下限L确定为采样频率值的函数(图4的步骤104)。作为选择,响应与采样控制信号SC转变为真,第一比较器在存储器电路32中存储第一限值L和U。通过将频率控制信号FC与第一频率上限U和下限L进行比较,第一比较器电路产生第一警报信号A1(图4的步骤105)。
优选地,将第二频率上限UU和下限LL的预定值存储在同样的存储器电路32中。第二比较器电路通过将频率控制信号FC与从存储器32接收的第二频率上限UU和下限LL进行比较而产生第二警报信号A2(图4的步骤105)。
逻辑或门36将第一警报信号A1和第二警报信号A2进行组合以产生复合警报信号。除了当采样控制信号SC为真时,逻辑与门38禁止警报输出(图4的步骤106和101;图5的步骤201)。

Claims (25)

1.一种用于在变频RF电源向等离子体腔室内的异常RF阻抗提供RF功率时发出信号的装置,包括:
采样保持电路,具有输出端、采样输入端以及控制输入端,其中,所述采样输入端适于接收表示由RF电源提供的RF功率的频率的频率控制信号,其中所述控制输入端适于接收具有至少第一状态和第二状态的采样控制信号,并且其中当采样控制信号从第一状态改变至第二状态时,所述采样保持电路在其输出端保持最近时间的频率控制信号的值;以及
第一比较器电路,适于接收频率控制信号,其中所述第一比较器电路重复地将由频率控制信号表示的频率与第一频率下限和第一频率上限进行比较,并且当由频率控制信号表示的频率小于第一频率下限或者大于第一频率上限时产生第一警报信号;
其中连接所述第一比较器电路以接收所述采样保持电路的输出;以及
其中所述第一比较器电路响应于所述采样保持电路的输出而建立第一频率下限和第一频率上限,从而该第一频率下限和第一频率上限分别小于和大于由所述采样保持电路的输出表示的频率。
2.根据权利要求1所述的装置,其特征在于:
所述第一比较器电路建立第一频率下限等于由所述采样保持电路的输出表示的频率与频率偏差的差值;以及
所述第一比较器电路建立第一频率上限等于由所述采样保持电路的输出表示的频率与所述频率偏差的加和值。
3.根据权利要求1所述的装置,其特征在于,进一步包括:
第二比较器电路,适于接收所述频率控制信号,其中当由所述频率控制信号表示的频率小于第二频率下限或者大于第二频率上限时,所述第二比较器电路产生第二警报信号;
其中所述第二频率下限和第二频率上限具有独立于所述采样保持电路的输出的预定值。
4.根据权利要求3所述的装置,其特征在于,当RF电源停用时以及在每次RF电源变得激活之后的最初稳定期间,禁止所述第一比较器电路和第二比较器电路产生第一或第二警报信号。
5.根据权利要求3所述的装置,其特征在于,
所述第一频率下限大于或者等于所述第二频率下限;以及
所述第一频率上限小于或者等于所述第二频率上限。
6.根据权利要求3所述的装置,其特征在于,所述第一比较器和第二比较器为相同的比较器。
7.根据权利要求3所述的装置,其特征在于,所述第一警报信号和第二比较信号相同。
8.根据权利要求1所述的装置,其特征在于,当RF电源停用时以及在每次RF电源变得激活之后的最初稳定期间,禁止所述第一比较器电路产生第一警报信号。
9.根据权利要求1所述的装置,其特征在于,
在等离子体腔室开始新的工件处理步骤时的预定延迟之后,所述采样控制信号从所述第一状态改变至第二状态;以及
所述预定的延迟大于或等于0。
10.根据权利要求1所述的装置,其特征在于,
在将新的工件转移进所述等离子体腔室之后在该等离子体腔室中启动等离子体时的预定延迟之后,所述采样控制信号从所述第一状态改变至第二状态;以及
所述预定的延迟大于或等于0。
11.根据权利要求1所述的装置,其特征在于,
在所述等离子体腔室内的化学或电气条件改变时的预定延迟之后,所述采样控制信号从所述第一状态改变至第二状态;以及
所述预定的延迟大于或等于0。
12.根据权利要求1所述的装置,其特征在于,
当所述RF电源不提供至少预定的功率电平时,所述采样控制信号采用第一状态,并且当所述RF电源开始提供至少所述预定的功率电平时的预定延迟之后,所述采样控制信号从第一状态改变至第二状态;以及
所述预定的延迟大于或等于0。
13.根据权利要求12所述的装置,其特征在于,
所述功率的预定电平为高到足以在等离子体腔室内执行等离子体工艺的功率电平。
14.根据权利要求1所述的装置,其特征在于,所述频率控制信号和采样控制信号为相同的电信号。
15.根据权利要求1所述的装置,其特征在于,
建立所述第一频率下限和第一频率上限,从而在紧接第一周期之后,在等离子体腔室内单一工件的处理期间,RF电源的频率保持在第一频率下限和第一频率上限之间。
16.根据权利要求1所述的装置,其特征在于,
建立所述第一频率下限和第一频率上限,从而在紧接第一周期之后,在等离子体腔室中执行单一工艺步骤期间,RF电源的频率保持在第一频率下限和第一频率上限之间。
17.根据权利要求3所述的装置,其特征在于,
建立所述第二频率下限和第二频率上限,从而在等离子体腔室的正常操作期间,RF电源的频率保持在第二频率下限和第二频率上限之间。
18.一种当变频RF电源向等离子体腔室内的异常RF阻抗提供RF功率时发出信号的方法,包括下述步骤:
提供具有输出端、采样输入端以及控制输入端的采样保持电路;
将频率控制信号与采样输入端耦合,所述频率控制信号表示由RF电源所提供的RF功率的频率;
将采样控制信号与控制输入端耦合,所述采样控制信号至少具有第一状态和第二状态;
当所述采样控制信号从第一状态改变至第二状态时,所述采样保持电路在采样控制电路的输出端保持最近时间的频率控制信号值;
响应于采样保持电路的输出而建立第一频率下限和第一频率上限,从而使第一频率下限和第一频率上限分别小于和大于由所述采样保持电路的输出所表示的频率;
将由频率控制信号表示的频率与第一频率下限和第一频率上限进行重复比较,以确定由频率控制信号表示的频率是否小于第一频率下限或者大于第一频率上限;以及
当所述比较步骤判断由所述频率控制信号表示的频率小于第一频率下限或者大于第一频率上限时,产生第一警报信号。
19.根据权利要求18所述的方法,其特征在于,所述建立步骤包括:
建立第一频率下限等于由所述采样保持电路的输出表示的频率与频率偏差的差值;以及
建立第一频率上限等于由所述采样保持电路的输出表示的频率与所述频率偏差的加和值。
20.根据权利要求18所述的方法,其特征在于,进一步包括以下步骤:
当由所述频率控制信号表示的频率小于第二频率下限或者大于第二频率上限时,产生第二警报信号;
其中,所述第二频率下限和第二频率上限具有独立于所述采样保持电路的输出的预定值。
21.根据权利要求18所述的方法,其特征在于,进一步包括下述步骤:
在所述等离子体腔室开始新的工件处理步骤时的预定延迟后,将所述采样控制信号从第一状态改变至第二状态;
其中所述预定延迟大于或等于0。
22.根据权利要求18所述的方法,其特征在于,进一步包括下述步骤:
在所述等离子腔室内的化学或电气条件改变时的预定延迟后,将所述采样控制信号从第一状态改变至第二状态;
其中所述预定的延迟大于或等于0。
23.根据权利要求18所述的方法,其特征在于,进一步包括下述步骤:
当所述RF电源不提供至少预定的电平功率时,将所述采样控制信号设置为第一状态;以及
当所述RF电源开始提供所速至少预定的电平功率时,在预定的延迟之后,将所述采样控制信号从第一状态改变至第二状态;
其中所述预定的延迟大于或等于0。
24.根据权利要求18所述的方法,其特征在于,所述频率控制信号和采样控制信号为相同的电信号。
25.一种在变频RF电源向等离子体腔室内的异常RF阻抗提供RF功率时发出信号的方法,包括下述步骤:
在等离子体腔室内提供等离子体;
提供频率控制信号;
将来自RF电源的RF功率提供给等离子体,其中响应于该频率控制信号的值,所述RF电源建立该RF功率的频率;
响应于在所述等离子体和RF电源之间反映的RF功率而调节所述频率控制信号的值;
提供具有输出端、采样输入端以及控制输入端的采样保持电路;
将所述频率控制信号与采样输入端耦合;
将控制输入端与至少具有第一状态和第二状态的采样控制信号耦合;
当所述采样控制信号从第一状态改变至第二状态时,所述采样保持电路在该采样保持电路的输出端保持最近时间的频率控制信号的值;
响应于所述采样保持电路的输出而建立第一频率下限和第一频率上限,从而该第一频率下限和第一频率上限分别小于和大于由所述采样保持电路的输出表示的频率;
将由所述频率控制信号表示的频率与所述第一频率下限和第一频率上限进行重复比较,以确定由所述频率控制信号表示的频率是否小于第一频率下限或者大于第一频率上限;以及
当所述比较步骤确定由所述频率控制信号表示的频率小于第一频率下限或者大于第一频率上限时,产生第一警报信号。
CN2011100735292A 2006-09-21 2007-09-05 检测等离子体工艺异常的频率监控 Pending CN102159018A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US82653906P 2006-09-21 2006-09-21
US60/826,539 2006-09-21
US11/682,290 US7902991B2 (en) 2006-09-21 2007-03-05 Frequency monitoring to detect plasma process abnormality
US11/682,290 2007-03-05

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN2007101457851A Division CN101155460B (zh) 2006-09-21 2007-09-05 检测等离子体工艺异常的频率监控

Publications (1)

Publication Number Publication Date
CN102159018A true CN102159018A (zh) 2011-08-17

Family

ID=39224339

Family Applications (2)

Application Number Title Priority Date Filing Date
CN2007101457851A Expired - Fee Related CN101155460B (zh) 2006-09-21 2007-09-05 检测等离子体工艺异常的频率监控
CN2011100735292A Pending CN102159018A (zh) 2006-09-21 2007-09-05 检测等离子体工艺异常的频率监控

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN2007101457851A Expired - Fee Related CN101155460B (zh) 2006-09-21 2007-09-05 检测等离子体工艺异常的频率监控

Country Status (5)

Country Link
US (2) US7902991B2 (zh)
JP (1) JP5646131B2 (zh)
KR (1) KR100971846B1 (zh)
CN (2) CN101155460B (zh)
TW (1) TWI367053B (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104051215A (zh) * 2013-03-15 2014-09-17 朗姆研究公司 确定等离子体系统中的故障装置
CN104730372A (zh) * 2013-12-13 2015-06-24 朗姆研究公司 基于rf阻抗模型的故障检测
CN108987252A (zh) * 2017-05-31 2018-12-11 株式会社日立国际电气 半导体器件的制造方法、记录介质及衬底处理装置

Families Citing this family (240)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8850232B2 (en) * 2008-03-19 2014-09-30 Freescale Semiconductor, Inc. Method for protecting a cryptographic module and a device having cryptographic module protection capabilities
US8674844B2 (en) * 2009-03-19 2014-03-18 Applied Materials, Inc. Detecting plasma chamber malfunction
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
WO2012129430A2 (en) * 2011-03-22 2012-09-27 The Johns Hopkins University High dynamic range rf power monitor
EP2688471B1 (en) 2011-03-22 2023-06-21 The Johns Hopkins University System and method of performing magnetic resonance spectroscopic imaging
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20130071581A1 (en) * 2011-09-20 2013-03-21 Jonghoon Baek Plasma monitoring and minimizing stray capacitance
US9295148B2 (en) 2012-12-14 2016-03-22 Lam Research Corporation Computation of statistics for statistical data decimation
US10128090B2 (en) 2012-02-22 2018-11-13 Lam Research Corporation RF impedance model based fault detection
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US9462672B2 (en) 2012-02-22 2016-10-04 Lam Research Corporation Adjustment of power and frequency based on three or more states
US9114666B2 (en) 2012-02-22 2015-08-25 Lam Research Corporation Methods and apparatus for controlling plasma in a plasma processing system
US9842725B2 (en) 2013-01-31 2017-12-12 Lam Research Corporation Using modeling to determine ion energy associated with a plasma system
US9197196B2 (en) 2012-02-22 2015-11-24 Lam Research Corporation State-based adjustment of power and frequency
US9320126B2 (en) 2012-12-17 2016-04-19 Lam Research Corporation Determining a value of a variable on an RF transmission model
US9279722B2 (en) 2012-04-30 2016-03-08 Agilent Technologies, Inc. Optical emission system including dichroic beam combiner
US9046570B2 (en) 2012-08-03 2015-06-02 Freescale Semiconductor, Inc. Method and apparatus for limiting access to an integrated circuit (IC)
JP6072462B2 (ja) * 2012-08-07 2017-02-01 株式会社日立ハイテクノロジーズ プラズマ処理装置およびマイクロ波出力装置
KR101430737B1 (ko) * 2012-08-31 2014-08-14 세메스 주식회사 에러 검출 방법 및 기판 처리 장치
US9316675B2 (en) * 2012-09-06 2016-04-19 Mks Instruments, Inc. Secondary plasma detection systems and methods
JP6084419B2 (ja) * 2012-09-28 2017-02-22 株式会社ダイヘン インピーダンス調整装置
JP6084418B2 (ja) * 2012-09-28 2017-02-22 株式会社ダイヘン インピーダンス調整装置
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
WO2014097608A1 (ja) * 2012-12-18 2014-06-26 パナソニック株式会社 需給制御装置、および需給制御方法
US9155182B2 (en) 2013-01-11 2015-10-06 Lam Research Corporation Tuning a parameter associated with plasma impedance
CN103048536B (zh) * 2013-01-18 2015-06-10 东南大学 基于固支梁和直接式功率传感器的在线式微波频率检测器及其检测方法
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
KR102299397B1 (ko) * 2013-08-14 2021-09-06 어플라이드 머티어리얼스, 인코포레이티드 챔버 데이터를 이용한 유리 파손과 아킹의 검출
US9594105B2 (en) 2014-01-10 2017-03-14 Lam Research Corporation Cable power loss determination for virtual metrology
US10950421B2 (en) 2014-04-21 2021-03-16 Lam Research Corporation Using modeling for identifying a location of a fault in an RF transmission system for a plasma system
CN105206494B (zh) * 2014-06-18 2017-06-06 北京北方微电子基地设备工艺研究中心有限责任公司 脉冲射频电源的阻抗匹配方法及等离子体设备的匹配方法
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10043690B2 (en) * 2015-03-31 2018-08-07 Lam Research Corporation Fault detection using showerhead voltage variation
US10120049B2 (en) 2015-05-18 2018-11-06 The Johns Hopkins University System and method of obtaining spatially-encoded NMR parameters from arbitrarily-shaped compartments and linear algebraic modeling
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9947514B2 (en) 2015-09-01 2018-04-17 Mks Instruments, Inc. Plasma RF bias cancellation system
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
JP2017073770A (ja) * 2016-09-30 2017-04-13 株式会社ダイヘン 高周波整合システム
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
JP6793019B2 (ja) * 2016-11-28 2020-12-02 東京エレクトロン株式会社 プラズマ処理装置
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
CN108121266B (zh) * 2017-12-27 2020-12-15 江苏神州半导体科技有限公司 射频电源模拟控制器
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10930531B2 (en) * 2018-10-09 2021-02-23 Applied Materials, Inc. Adaptive control of wafer-to-wafer variability in device performance in advanced semiconductor processes
US10657214B2 (en) * 2018-10-09 2020-05-19 Applied Materials, Inc. Predictive spatial digital design of experiment for advanced semiconductor process optimization and control
US10705514B2 (en) 2018-10-09 2020-07-07 Applied Materials, Inc. Adaptive chamber matching in advanced semiconductor process control
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3020529A (en) 1959-12-21 1962-02-06 Collins Radio Co Reflected power alarm for a variable power output antenna system
US3519927A (en) 1968-09-05 1970-07-07 Us Air Force Scanning analyzer for determining characteristics of an ionized plasma
JPS59111231A (ja) 1982-12-16 1984-06-27 Japan Atom Energy Res Inst ア−ク放電異常検出方式
JPH07191764A (ja) * 1993-12-27 1995-07-28 Fujitsu Ltd 高周波電源装置及びプラズマ発生装置
EP0715334B1 (en) 1994-11-30 1999-04-14 Applied Materials, Inc. Plasma reactors for processing semiconductor wafers
JP3660016B2 (ja) * 1995-05-10 2005-06-15 株式会社アルバック 真空装置の異常放電抑制・消滅装置
US5810963A (en) 1995-09-28 1998-09-22 Kabushiki Kaisha Toshiba Plasma processing apparatus and method
US5654679A (en) 1996-06-13 1997-08-05 Rf Power Products, Inc. Apparatus for matching a variable load impedance with an RF power generator impedance
JP2000058519A (ja) * 1998-07-31 2000-02-25 Sumitomo Metal Ind Ltd 変化点検出装置および変化点検出方法
US6124758A (en) 1998-08-19 2000-09-26 Harris Corporation RF power amplifier control system
US6455437B1 (en) * 1999-04-07 2002-09-24 Applied Materials Inc. Method and apparatus for monitoring the process state of a semiconductor device fabrication process
US6646386B1 (en) 1999-07-20 2003-11-11 Tokyo Electron Limited Stabilized oscillator circuit for plasma density measurement
ATE480129T1 (de) * 1999-11-19 2010-09-15 Tokyo Electron Ltd Stabilisierte oszillatorschaltung zur messung der plasmadichte
US6718272B1 (en) 2000-11-01 2004-04-06 Eni Technology Inc. Fast transient protection for RF plasma generator
JP5138131B2 (ja) * 2001-03-28 2013-02-06 忠弘 大見 マイクロ波プラズマプロセス装置及びプラズマプロセス制御方法
US6528949B2 (en) 2001-03-30 2003-03-04 Lam Research Corporation Apparatus for elimination of plasma lighting inside a gas line in a strong RF field
US6677711B2 (en) 2001-06-07 2004-01-13 Lam Research Corporation Plasma processor method and apparatus
US6590757B2 (en) 2001-09-28 2003-07-08 Eaton Corporation Method and apparatus for detecting and suppressing a parallel arc fault
TW200300649A (en) * 2001-11-27 2003-06-01 Alps Electric Co Ltd Plasma processing apparatus, its driving method, matching circuit design system, and plasma processing method
JP3689732B2 (ja) * 2001-12-05 2005-08-31 株式会社日立ハイテクノロジーズ プラズマ処理装置の監視装置
TWI266347B (en) 2002-01-31 2006-11-11 Tokyo Electron Ltd Apparatus and method for improving microwave coupling to a resonant cavity
JP3977114B2 (ja) * 2002-03-25 2007-09-19 株式会社ルネサステクノロジ プラズマ処理装置
US6703080B2 (en) 2002-05-20 2004-03-09 Eni Technology, Inc. Method and apparatus for VHF plasma processing with load mismatch reliability and stability
US6873114B2 (en) * 2002-09-26 2005-03-29 Lam Research Corporation Method for toolmatching and troubleshooting a plasma processing system
WO2005015964A1 (ja) 2003-08-07 2005-02-17 Hitachi Kokusai Electric Inc. 基板処理装置及び基板処理方法
US6983215B2 (en) 2003-12-02 2006-01-03 Mks Instruments, Inc. RF metrology characterization for field installation and serviceability for the plasma processing industry
JP4695362B2 (ja) * 2004-08-16 2011-06-08 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US7292045B2 (en) 2004-09-04 2007-11-06 Applied Materials, Inc. Detection and suppression of electrical arcing
US20060065632A1 (en) * 2004-09-27 2006-03-30 Chia-Cheng Cheng Methods and apparatus for monitoring a process in a plasma processing system by measuring a plasma frequency
JP2006173213A (ja) * 2004-12-13 2006-06-29 Advantest Corp 温度安定化装置及び発振装置
US7620516B2 (en) 2005-05-02 2009-11-17 Mks Instruments, Inc. Versatile semiconductor manufacturing controller with statistically repeatable response times

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104051215A (zh) * 2013-03-15 2014-09-17 朗姆研究公司 确定等离子体系统中的故障装置
CN104051215B (zh) * 2013-03-15 2017-03-15 朗姆研究公司 确定等离子体系统中的故障装置
CN104730372A (zh) * 2013-12-13 2015-06-24 朗姆研究公司 基于rf阻抗模型的故障检测
CN104730372B (zh) * 2013-12-13 2018-08-10 朗姆研究公司 基于rf阻抗模型的故障检测
CN108987252A (zh) * 2017-05-31 2018-12-11 株式会社日立国际电气 半导体器件的制造方法、记录介质及衬底处理装置

Also Published As

Publication number Publication date
CN101155460A (zh) 2008-04-02
US20080074255A1 (en) 2008-03-27
JP5646131B2 (ja) 2014-12-24
TWI367053B (en) 2012-06-21
TW200830944A (en) 2008-07-16
KR100971846B1 (ko) 2010-07-22
JP2008091332A (ja) 2008-04-17
KR20080027135A (ko) 2008-03-26
US7902991B2 (en) 2011-03-08
CN101155460B (zh) 2011-05-18
US8174400B2 (en) 2012-05-08
US20110241892A1 (en) 2011-10-06

Similar Documents

Publication Publication Date Title
CN101155460B (zh) 检测等离子体工艺异常的频率监控
JP5150045B2 (ja) プラズマチャンバにおいて、電気アークが発生しているかどうかを検出するための電気回路
JP4674177B2 (ja) プラズマ処理装置
KR102011529B1 (ko) 플라즈마 처리 챔버 내에서의 동기화되고 단축된 마스터 슬레이브 rf 펄싱
CN107980169B (zh) 等离子体阻抗匹配单元、用于向等离子体负载供应rf能量的系统、以及向等离子体负载供应rf能量的方法
US7107115B2 (en) Method for controlling semiconductor processing apparatus
JP2006310245A (ja) 高周波電源装置および高周波電源の制御方法
US20110109530A1 (en) Plasma processing device and method of monitoring discharge state in plasma processing device
CN111602223B (zh) 以非重叠方式施加频率和匹配调谐以处理衬底的系统和方法
CN101800149A (zh) 等离子体处理装置
JP2007214254A (ja) 半導体装置の製造方法およびプラズマ処理装置
JP2010135422A (ja) プラズマ処理装置及びプラズマ処理装置の運転方法
KR102570215B1 (ko) 처리 장치, 처리 방법 및 기억 매체
JP2017017154A (ja) 基板搬送装置及び基板搬送方法
KR20070104394A (ko) 적은 노출 면적을 가지는 반도체 웨이퍼 플라즈마 식각의종료 포인트 탐지 방법
KR20020063301A (ko) 하드웨어 연령과 사용을 기반으로 측정 주파수를결정하기위한 방법 및 장치
KR20060120438A (ko) 플라즈마 공정의 비정상적 작동을 검출하는 방법
CN101040360A (zh) 采用动态阻抗实时估计的dc电源
KR20090099461A (ko) 기판 처리 시스템의 세정 방법, 기억 매체 및 기판 처리 시스템
TW201324609A (zh) 以自動方式決定電容值之方法與其系統
JP2006328510A (ja) プラズマ処理方法及び装置
WO2020092175A1 (en) Identification of and compensation for a failure in a heater array
JP2006054148A (ja) プラズマ処理装置
JP2017011260A (ja) 処理装置、処理方法および記憶媒体
US8674844B2 (en) Detecting plasma chamber malfunction

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C53 Correction of patent of invention or patent application
CB02 Change of applicant information

Address after: American California

Applicant after: Applied Materials Inc.

Address before: American California

Applicant before: Applied Materials Inc.

C12 Rejection of a patent application after its publication
RJ01 Rejection of invention patent application after publication

Application publication date: 20110817