JP2007526523A5 - - Google Patents

Download PDF

Info

Publication number
JP2007526523A5
JP2007526523A5 JP2007501931A JP2007501931A JP2007526523A5 JP 2007526523 A5 JP2007526523 A5 JP 2007526523A5 JP 2007501931 A JP2007501931 A JP 2007501931A JP 2007501931 A JP2007501931 A JP 2007501931A JP 2007526523 A5 JP2007526523 A5 JP 2007526523A5
Authority
JP
Japan
Prior art keywords
aqueous solution
water
formulation
mercaptobenzimidazole
hydroxide
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2007501931A
Other languages
English (en)
Other versions
JP4758982B2 (ja
JP2007526523A (ja
Filing date
Publication date
Priority claimed from US10/792,038 external-priority patent/US8338087B2/en
Application filed filed Critical
Publication of JP2007526523A publication Critical patent/JP2007526523A/ja
Publication of JP2007526523A5 publication Critical patent/JP2007526523A5/ja
Application granted granted Critical
Publication of JP4758982B2 publication Critical patent/JP4758982B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Claims (24)

  1. (a)少なくとも一種のアルカリ及びアルカリ土類金属塩基との組み合わせの第四級アンモニウム塩基;並びに(b)酸化剤との組み合わせの強塩基よりなる群から選択される活性洗浄の組み合わせ(ACC)を含む洗浄組成物であって、前記酸化剤が、アミン−N−オキシド、過ホウ酸塩、過硫酸塩及び前記の二種以上の組み合わせよりなる群から選択される酸化剤種を含み、その上にフォトレジスト及び/又は犠牲反射防止膜(SARC)材料を有する基板からかかる材料を除去するために有用な洗浄組成物。
  2. ヒドロキシルアミンを含まない、請求項1に記載の洗浄組成物。
  3. 成分(a)を含み、次の成分:
    0.1重量%〜40.0重量%の有機第四級アンモニウム塩基と;
    0.01重量%〜5重量%のアルカリ若しくはアルカリ土類金属塩基と;
    0重量%〜80重量%の溶媒及び/又はアミンと;
    0重量%〜5重量%の界面活性剤と;
    0重量%〜10重量%のキレート剤/不動態化剤と;
    0重量%〜98重量%の水と
    を含み、ここでは成分のパーセントは組成物の総重量を基準とする重量パーセントであり、組成物のかかる成分の重量パーセントの総計が100重量%を超過しない、請求項1に記載の洗浄組成物。
  4. 成分(a)を含み、配合物A〜C

    配合物A
    5.36%の水酸化ベンジルトリメチルアンモニウム
    0.28%の水酸化カリウム
    3.0%の4−メチルモルホリンN−オキシド
    0.30%のポリオキシエチレン(150)ジノニルフェニルエーテル
    0.08%の2−メルカプトベンズイミダゾール
    91.0%の水

    配合物B
    5.36%の水酸化ベンジルトリメチルアンモニウム
    0.28%の水酸化カリウム
    3.0%の4−メチルモルホリンN−オキシド
    0.30%のポリオキシエチレン(150)ジノニルフェニルエーテル
    0.20%の5−アミノ−1,3,4−チアジアゾール−2−チオール
    90.86%の水

    配合物C
    3.60%の水酸化ベンジルトリメチルアンモニウム
    0.27%の水酸化カリウム
    3.5%の4−メチルモルホリンN−オキシド
    15.0%の4−(3−アミノプロピル)モルホリン
    0.30%のポリオキシエチレン(150)ジノニルフェニルエーテル
    0.08%の2−メルカプトベンズイミダゾール
    77.25%の水

    配合物D
    5.36%の水酸化ベンジルトリメチルアンモニウム
    0.28%の水酸化カリウム
    20.0%のジメチルスルホキシド
    0.08%の2−メルカプトベンズイミダゾール
    74.28%の水

    配合物E
    5.36%の水酸化ベンジルトリメチルアンモニウム
    0.28%の水酸化カリウム
    10.0%のテトラメチレンスルホン
    0.30%のオキシラン、メチル−、オキシランによるポリマー、2,2’−(オキシドイミノ)ビス(エタノール)(2:1)、N(−3(C9−11−イソアルキルオキシ)プロピル)誘導体、C10リッチによるエーテル
    0.08%の2−メルカプトベンズイミダゾール
    83.98%の水

    配合物F
    5.36%の水酸化ベンジルトリメチルアンモニウム
    0.28%の水酸化カリウム
    10.0%のジ(エチレングリコール)ブチルエーテル
    10.0%の2−(2−ジメチルアミノ)エトキシ)エタノール
    0.30%のオキシラン、メチル−、オキシランによるポリマー、2,2’−(オキシドイミノ)ビス(エタノール)(2:1)、N(−3(C9−11−イソアルキルオキシ)プロピル)誘導体、C10リッチによるエーテル
    74.06%の水

    配合物G
    5.36%の水酸化ベンジルトリメチルアンモニウム
    0.28%の水酸化カリウム
    10.0%のテトラメチレンスルホン
    10.0%のジ(エチレングリコール)ブチルエーテル
    0.10%のオキシラン、メチル−、オキシランによるポリマー、モノ(オクチルフェニル)エーテル
    0.08%の2−メルカプトベンズイミダゾール
    74.18%の水

    配合物H
    水酸化ベンジルトリメチルアンモニウム、40%水溶液 9.0%
    水酸化カリウム、45%水溶液 0.6%
    N−メチルモルホリンオキシド、50%水溶液 7.0%
    ジノニルフェノールエトキシレート、7%水溶液 4.3%
    2−メルカプトベンズイミダゾール 0.1%
    アミノプロピルモルホリン 20.0%
    水 59.02%

    配合物I
    水酸化ベンジルトリメチルアンモニウム、40%水溶液 9.0%
    水酸化カリウム、45%水溶液 0.6%
    N−メチルモルホリンオキシド、50%水溶液 7.0%
    ジノニルフェノールエトキシレート、7%水溶液 4.3%
    2−メルカプトベンズイミダゾール 0.1%
    アミノプロピルモルホリン 15.0%
    水 64.02%

    配合物J
    水酸化ベンジルトリメチルアンモニウム、40%水溶液 9.0%
    水酸化カリウム、45%水溶液 0.6%
    N−メチルモルホリンオキシド、50%水溶液 7.0%
    ジノニルフェノールエトキシレート、7%水溶液 4.3%
    2−メルカプトベンズイミダゾール 0.1%
    アミノプロピルモルホリン 10.0%
    水 69.02%

    配合物K
    水酸化ベンジルトリメチルアンモニウム、40%水溶液 13.4%
    N−メチルモルホリンオキシド、50%水溶液 7.0%
    KOH、45%水溶液 0.6%
    2−メルカプトベンズイミジゾール 0.08%
    ジノニルフェノールポリオキシエチレン 0.3%
    水 78.62%

    配合物L
    水酸化ベンジルトリメチルアンモニウム、40%水溶液 13.4%
    N−メチルモルホリンオキシド、50%水溶液 7.0%
    KOH、45%水溶液 1.2%
    2−メルカプトベンズイミジゾール 0.08%
    ジノニルフェノールポリオキシエチレン 0.3%
    水 78.02%

    配合物M
    水酸化テトラメチルアンモニウム、25%水溶液 5.85%
    N−メチルモルホリンオキシド、50%水溶液 7.0%
    KOH、45%水溶液 1.2%
    2−メルカプトベンズイミジゾール 0.08%
    ジノニルフェノールポリオキシエチレン 0.3%
    水 85.57%

    配合物N
    水酸化テトラメチルアンモニウム、25%水溶液 2.93%
    N−メチルモルホリンオキシド、50%水溶液 7.0%
    KOH、45%水溶液 1.2%
    2−メルカプトベンズイミジゾール 0.08%
    ジノニルフェノールポリオキシエチレン 0.3%
    水 88.49%

    配合物O
    水酸化ベンジルトリメチルアンモニウム、40%水溶液 7.2%
    N−メチルモルホリンオキシド、50%水溶液 7.0%
    KOH、45%水溶液 0.6%
    2−メルカプトベンズイミジゾール 0.08%
    ジノニルフェノールポリオキシエチレン 0.3%
    水 84.82%

    配合物P
    水酸化ベンジルトリメチルアンモニウム、40%水溶液 3.6%
    N−メチルモルホリンオキシド、50%水溶液 7.0%
    KOH、45%水溶液 1.2%
    2−メルカプトベンズイミジゾール 0.08%
    ジノニルフェノールポリオキシエチレン 0.3%
    水 87.82%

    配合物Q
    水酸化ベンジルトリメチルアンモニウム、40%水溶液 3.6%
    N−メチルモルホリンオキシド、50%水溶液 7.0%
    KOH、45%水溶液 0.6%
    2−メルカプトベンズイミジゾール 0.08%
    ジノニルフェノールポリオキシエチレン 0.3%
    水 88.42%

    配合物R
    水酸化ベンジルトリメチルアンモニウム、40%水溶液 7.2%
    N−メチルモルホリンオキシド、50%水溶液 7.0%
    KOH、45%水溶液 0.3%
    2−メルカプトベンズイミジゾール 0.08%
    ジノニルフェノールポリオキシエチレン 0.3%
    水 85.12%

    配合物S
    水酸化ベンジルトリメチルアンモニウム、40%水溶液 22.26%
    水酸化カリウム、45%水溶液 0.6%
    2−メルカプトベンズイミダゾール 0.08%
    メチルジエタノールアミン 2.33%
    リン酸(86%) 1.69%
    3−アミノ−5−メルカプト−1,2,4−トリアゾール 1.0%
    水 72.04%

    配合物T
    水酸化ベンジルトリメチルアンモニウム、40%水溶液 22.26%
    水酸化カリウム、45%水溶液 0.6%
    2−メルカプトベンズイミダゾール 0.08%
    メチルジエタノールアミン 2.33%
    リン酸(86%) 1.69%
    4−メチル−2−フェニル−イミダゾール 1.0%
    水 72.04%

    配合物U
    水酸化ベンジルトリメチルアンモニウム、40%水溶液 22.26%
    水酸化カリウム、45%水溶液 0.6%
    2−メルカプトベンズイミダゾール 0.08%
    メチルジエタノールアミン 2.33%
    リン酸(86%) 1.69%
    2−メルカプトチアゾリン 1.0%
    水 72.04%

    配合物V
    水酸化ベンジルトリメチルアンモニウム、40%水溶液 22.26%
    水酸化カリウム、45%水溶液 0.6%
    2−メルカプトベンズイミダゾール 0.08%
    メチルジエタノールアミン 2.33%
    リン酸(86%) 1.69%
    8−ヒドロキシキノリン 1.0%
    水 72.04%

    配合物W
    水酸化ベンジルトリメチルアンモニウム、40%水溶液 22.26%
    水酸化カリウム、45%水溶液 0.6%
    2−メルカプトベンズイミダゾール 0.08%
    メチルジエタノールアミン 2.33%
    リン酸(86%) 1.69%
    1−フェニル−2−テトラゾリン−5−チオン 1.0%
    水 72.04%

    配合物X
    水酸化ベンジルトリメチルアンモニウム、40%水溶液 22.26%
    水酸化カリウム、45%水溶液 0.6%
    2−メルカプトベンズイミダゾール 0.08%
    メチルジエタノールアミン 2.33%
    リン酸(86%) 1.69%
    没食子酸 1.0%
    水 72.04%

    配合物Y
    水酸化ベンジルトリメチルアンモニウム、40%水溶液 22.26%
    水酸化カリウム、45%水溶液 0.6%
    2−メルカプトベンズイミダゾール 0.08%
    メチルジエタノールアミン 2.33%
    リン酸(86%) 1.69%
    サリチル酸 1.0%
    水 72.04%

    配合物Z
    水酸化ベンジルトリメチルアンモニウム、40%水溶液 22.26%
    水酸化カリウム、45%水溶液 0.6%
    2−メルカプトベンズイミダゾール 0.08%
    メチルジエタノールアミン 2.33%
    リン酸(86%) 1.69%
    アスコルビン酸 1.0%
    水 72.04%

    配合物A
    水酸化ベンジルトリメチルアンモニウム、40%水溶液 7.2%
    水酸化カリウム、45%水溶液 0.6%
    2−メルカプトベンズイミダゾール 0.08%
    アミノプロピルモルホリン 10%
    4−メチル−2−フェニル−イミダゾール 1.0%
    水 81.12%

    配合物B
    水酸化ベンジルトリメチルアンモニウム、40%水溶液 7.2%
    水酸化カリウム、45%水溶液 0.6%
    2−メルカプトベンズイミダゾール 0.08%
    アミノプロピルモルホリン 10%
    4−メチル−2−フェニル−イミダゾール 0.5%
    水 81.62%

    配合物C
    水酸化ベンジルトリメチルアンモニウム、40%水溶液 7.2%
    水酸化カリウム、45%水溶液 0.6%
    2−メルカプトベンズイミダゾール 0.08%
    アミノプロピルモルホリン 10%
    4−メチル−2−フェニル−イミダゾール 1.0%
    水 81.02%
    ジノニルフェノールポリオキシエチレン 0.1%

    よりなる群から選択され、ここでは全てのパーセントは配合物の総重量を基準とする重量パーセントである、請求項1に記載の洗浄組成物。
  5. 前記ACCが、水酸化カリウムを含む、請求項1に記載の洗浄組成物。
  6. キレート剤、界面活性剤、共溶媒及びそれらの組み合わせよりなる群から選択される種をさらに含む、請求項1に記載の洗浄組成物。
  7. 前記キレート剤が、トリアゾール;C〜Cアルキル、アミノ、チオール、メルカプト、イミノ、カルボキシ及びニトロよりなる群から選択される置換基によって置換されたトリアゾール;チアゾール;テトラゾール;イミダゾール;ホスフェート;チオール;アジン;グリセロール;アミノ酸;カルボン酸;アルコール;アミド;並びにキノリンよりなる群から選択されるキレート剤種を含み;
    前記界面活性剤が、フルオロアルキル界面活性剤;ポリエチレングリコール;ポリプロピレングリコール;ポリエチレングリコールエーテル;ポリプロピレングリコールエーテル;カルボン酸塩;ドデシルベンゼンスルホン酸及びそれらの塩;ポリアクリレートポリマー;ジノニルフェニルポリオキシエチレン;シリコーンポリマー;変性シリコーンポリマー;アセチレンジオール;変性アセチレンジオール、アルキルアンモニウム塩;変性アルキルアンモニウム塩;並びに前記の二種以上の組み合わせよりなる群から選択される界面活性剤種を含み;
    前記共溶媒が、アミン;グリコール;グリコールエーテル;ポリグリコールエーテル;および前記の二種以上の組み合わせよりなる群から選択される共溶媒種を含む、請求項6に記載の洗浄組成物。
  8. 前記キレート剤が、1,2,4−トリアゾール;ベンゾトリアゾール;トリルトリアゾール;5−フェニル−ベンゾトリアゾール;5−ニトロ−ベンゾトリアゾール;4−メチル−2−フェニルイミダゾール;2−メルカプトチアゾリン;1−アミノ−1,2,4−トリアゾール;ヒドロキシベンゾトリアゾール;2−(5−アミノ−ペンチル)−ベンゾトリアゾール;1−アミノ−1,2,3−トリアゾール;1−アミノ−5−メチル−1,2,3−トリアゾール;3−アミノ−1,2,4−トリアゾール;3−メルカプト−1,2,4−トリアゾール;3−アミノ−5−メルカプト−1,2,4−トリアゾール、3−イソプロピル−1,2,4−トリアゾール;5−フェニルチオール−ベンゾトリアゾール;ハロがF、Cl、Br及びIよりなる群から選択されるハロ−ベンゾトリアゾール;ナフトトリアゾール;2−メルカプトベンゾイミジゾール;2−メルカプトベンゾチアゾール;5−アミノテトラゾール;5−アミノ−1,3,4−チアジアゾール−2−チオール;2,4−ジアミノ−6−メチル−1,3,5−トリアジン;チアゾール;トリアジン;メチルテトラゾール;1,3−ジメチル−2−イミダゾリジノン;1,5−ペンタメチレンテトラゾール;1−フェニル−5−メルカプトテトラゾール;ジアミノメチルトリアジン;メルカプトベンゾチアゾール;イミダゾリンチオン;メルカプトベンズイミダゾール;4−メチル−4H−1,2,4−トリアゾール−3−チオール;5−アミノ−1,3,4−チアジアゾール−2−チオール;ベンゾチアゾール;トリトリルホスフェート;インジアゾール;グアニン;アデニン;グリセロール;チオグリセロール;ニトリロトリ酢酸;サリチルアミド;イミノ二酢酸;ベンゾグアナミン;メラミン;チオシアヌル酸;アントラニル酸;没食子酸;アスコルビン酸;サリチル酸;8−ヒドロキシキノリン;5−カルボン酸−ベンゾトリアゾール;3−メルカプトプロパノール;ホウ酸;並びにイミノ二酢酸よりなる群から選択されるキレート剤種を含み;
    前記共溶媒が、ジメチルジグリコールアミン;1,8−ジアザビシクロ[5.4.0]ウンデセン;アミノプロピルモルホリン;トリエタノールアミン;メチルエタノールアミン;ジエチレングリコール;プロピレングリコール;ネオペンチルグリコール;ヒドロキシエチルモルホリン;アミノプロピルモルホリン;ジ(エチレングリコール)モノエチルエーテル;ジ(プロピレングリコール)プロピルエーテル;エチレングリコールフェニルエーテル;ジ(プロピレングリコール)ブチルエーテル;ブチルカルビトール;ポリグリコールエーテル;及び前記の二種以上の組み合わせよりなる群から選択される共溶媒種を含む、請求項7に記載の洗浄組成物。
  9. その上にフォトレジスト及び/又はSARC材料を有する基板から前記材料を除去する方法であって、(a)少なくとも一種のアルカリ及びアルカリ土類金属塩基との組み合わせの第四級アンモニウム塩基;並びに(b)酸化剤との組み合わせの強塩基よりなる群から選択される活性クリーニングの組み合わせ(ACC)を含む洗浄組成物と基板を十分な時間で接触させて、基板から前記材料を少なくとも部分的に除去する工程を含み、前記酸化剤が、アミン−N−オキシド、過ホウ酸塩、過硫酸塩及び前記の二種以上の組み合わせよりなる群から選択される酸化剤種を含む、方法。
  10. 前記基板が、半導体デバイス構造を含む、請求項9に記載の方法。
  11. 前記接触工程が、約10分〜約45分の時間;約50℃〜約80℃の範囲の温度;及びそれらの組み合わせよりなる群から選択される条件で実行される、請求項9に記載の方法。
  12. 前記洗浄組成物が成分(a)を含み、組成物が次の成分:
    0.1重量%〜40.0重量%の有機第四級アンモニウム塩基と;
    0.01重量%〜5重量%のアルカリ若しくはアルカリ土類金属塩基と;
    0重量%〜80重量%の溶媒及び/又はアミンと;
    0重量%〜5重量%の界面活性剤と;
    0重量%〜10重量%のキレート剤/不動態化剤と;
    0重量%〜98重量%の水と
    を含み、ここでは成分のパーセントは組成物の総重量を基準とする重量パーセントであり、組成物のかかる成分の重量パーセントの総計が100重量%を超過しない、請求項9に記載の方法。
  13. 前記洗浄組成物が成分(a)を含み、配合物A〜C

    配合物A
    5.36%の水酸化ベンジルトリメチルアンモニウム
    0.28%の水酸化カリウム
    3.0%の4−メチルモルホリンN−オキシド
    0.30%のポリオキシエチレン(150)ジノニルフェニルエーテル
    0.08%の2−メルカプトベンズイミダゾール
    91.0%の水

    配合物B
    5.36%の水酸化ベンジルトリメチルアンモニウム
    0.28%の水酸化カリウム
    3.0%の4−メチルモルホリンN−オキシド
    0.30%のポリオキシエチレン(150)ジノニルフェニルエーテル
    0.20%の5−アミノ−1,3,4−チアジアゾール−2−チオール
    90.86%の水

    配合物C
    3.60%の水酸化ベンジルトリメチルアンモニウム
    0.27%の水酸化カリウム
    3.5%の4−メチルモルホリンN−オキシド
    15.0%の4−(3−アミノプロピル)モルホリン
    0.30%のポリオキシエチレン(150)ジノニルフェニルエーテル
    0.08%の2−メルカプトベンズイミダゾール
    77.25%の水

    配合物D
    5.36%の水酸化ベンジルトリメチルアンモニウム
    0.28%の水酸化カリウム
    20.0%のジメチルスルホキシド
    0.08%の2−メルカプトベンズイミダゾール
    74.28%の水

    配合物E
    5.36%の水酸化ベンジルトリメチルアンモニウム
    0.28%の水酸化カリウム
    10.0%のテトラメチレンスルホン
    0.30%のオキシラン、メチル−、オキシランによるポリマー、2,2’−(オキシドイミノ)ビス(エタノール)(2:1)、N(−3(C9−11−イソアルキルオキシ)プロピル)誘導体、C10リッチによるエーテル
    0.08%の2−メルカプトベンズイミダゾール
    83.98%の水

    配合物F
    5.36%の水酸化ベンジルトリメチルアンモニウム
    0.28%の水酸化カリウム
    10.0%のジ(エチレングリコール)ブチルエーテル
    10.0%の2−(2−ジメチルアミノ)エトキシ)エタノール
    0.30%のオキシラン、メチル−、オキシランによるポリマー、2,2’−(オキシドイミノ)ビス(エタノール)(2:1)、N(−3(C9−11−イソアルキルオキシ)プロピル)誘導体、C10リッチによるエーテル
    74.06%の水

    配合物G
    5.36%の水酸化ベンジルトリメチルアンモニウム
    0.28%の水酸化カリウム
    10.0%のテトラメチレンスルホン
    10.0%のジ(エチレングリコール)ブチルエーテル
    0.10%のオキシラン、メチル−、オキシランによるポリマー、モノ(オクチルフェニル)エーテル
    0.08%の2−メルカプトベンズイミダゾール
    74.18%の水

    配合物H
    水酸化ベンジルトリメチルアンモニウム、40%水溶液 9.0%
    水酸化カリウム、45%水溶液 0.6%
    N−メチルモルホリンオキシド、50%水溶液 7.0%
    ジノニルフェノールエトキシレート、7%水溶液 4.3%
    2−メルカプトベンズイミダゾール 0.1%
    アミノプロピルモルホリン 20.0%
    水 59.02%

    配合物I
    水酸化ベンジルトリメチルアンモニウム、40%水溶液 9.0%
    水酸化カリウム、45%水溶液 0.6%
    N−メチルモルホリンオキシド、50%水溶液 7.0%
    ジノニルフェノールエトキシレート、7%水溶液 4.3%
    2−メルカプトベンズイミダゾール 0.1%
    アミノプロピルモルホリン 15.0%
    水 64.02%

    配合物J
    水酸化ベンジルトリメチルアンモニウム、40%水溶液 9.0%
    水酸化カリウム、45%水溶液 0.6%
    N−メチルモルホリンオキシド、50%水溶液 7.0%
    ジノニルフェノールエトキシレート、7%水溶液 4.3%
    2−メルカプトベンズイミダゾール 0.1%
    アミノプロピルモルホリン 10.0%
    水 69.02%

    配合物K
    水酸化ベンジルトリメチルアンモニウム、40%水溶液 13.4%
    N−メチルモルホリンオキシド、50%水溶液 7.0%
    KOH、45%水溶液 0.6%
    2−メルカプトベンズイミジゾール 0.08%
    ジノニルフェノールポリオキシエチレン 0.3%
    水 78.62%

    配合物L
    水酸化ベンジルトリメチルアンモニウム、40%水溶液 13.4%
    N−メチルモルホリンオキシド、50%水溶液 7.0%
    KOH、45%水溶液 1.2%
    2−メルカプトベンズイミジゾール 0.08%
    ジノニルフェノールポリオキシエチレン 0.3%
    水 78.02%

    配合物M
    水酸化テトラメチルアンモニウム、25%水溶液 5.85%
    N−メチルモルホリンオキシド、50%水溶液 7.0%
    KOH、45%水溶液 1.2%
    2−メルカプトベンズイミジゾール 0.08%
    ジノニルフェノールポリオキシエチレン 0.3%
    水 85.57%

    配合物N
    水酸化テトラメチルアンモニウム、25%水溶液 2.93%
    N−メチルモルホリンオキシド、50%水溶液 7.0%
    KOH、45%水溶液 1.2%
    2−メルカプトベンズイミジゾール 0.08%
    ジノニルフェノールポリオキシエチレン 0.3%
    水 88.49%

    配合物O
    水酸化ベンジルトリメチルアンモニウム、40%水溶液 7.2%
    N−メチルモルホリンオキシド、50%水溶液 7.0%
    KOH、45%水溶液 0.6%
    2−メルカプトベンズイミジゾール 0.08%
    ジノニルフェノールポリオキシエチレン 0.3%
    水 84.82%

    配合物P
    水酸化ベンジルトリメチルアンモニウム、40%水溶液 3.6%
    N−メチルモルホリンオキシド、50%水溶液 7.0%
    KOH、45%水溶液 1.2%
    2−メルカプトベンズイミジゾール 0.08%
    ジノニルフェノールポリオキシエチレン 0.3%
    水 87.82%

    配合物Q
    水酸化ベンジルトリメチルアンモニウム、40%水溶液 3.6%
    N−メチルモルホリンオキシド、50%水溶液 7.0%
    KOH、45%水溶液 0.6%
    2−メルカプトベンズイミジゾール 0.08%
    ジノニルフェノールポリオキシエチレン 0.3%
    水 88.42%

    配合物R
    水酸化ベンジルトリメチルアンモニウム、40%水溶液 7.2%
    N−メチルモルホリンオキシド、50%水溶液 7.0%
    KOH、45%水溶液 0.3%
    2−メルカプトベンズイミジゾール 0.08%
    ジノニルフェノールポリオキシエチレン 0.3%
    水 85.12%

    配合物S
    水酸化ベンジルトリメチルアンモニウム、40%水溶液 22.26%
    水酸化カリウム、45%水溶液 0.6%
    2−メルカプトベンズイミダゾール 0.08%
    メチルジエタノールアミン 2.33%
    リン酸(86%) 1.69%
    3−アミノ−5−メルカプト−1,2,4−トリアゾール 1.0%
    水 72.04%

    配合物T
    水酸化ベンジルトリメチルアンモニウム、40%水溶液 22.26%
    水酸化カリウム、45%水溶液 0.6%
    2−メルカプトベンズイミダゾール 0.08%
    メチルジエタノールアミン 2.33%
    リン酸(86%) 1.69%
    4−メチル−2−フェニル−イミダゾール 1.0%
    水 72.04%

    配合物U
    水酸化ベンジルトリメチルアンモニウム、40%水溶液 22.26%
    水酸化カリウム、45%水溶液 0.6%
    2−メルカプトベンズイミダゾール 0.08%
    メチルジエタノールアミン 2.33%
    リン酸(86%) 1.69%
    2−メルカプトチアゾリン 1.0%
    水 72.04%

    配合物V
    水酸化ベンジルトリメチルアンモニウム、40%水溶液 22.26%
    水酸化カリウム、45%水溶液 0.6%
    2−メルカプトベンズイミダゾール 0.08%
    メチルジエタノールアミン 2.33%
    リン酸(86%) 1.69%
    8−ヒドロキシキノリン 1.0%
    水 72.04%

    配合物W
    水酸化ベンジルトリメチルアンモニウム、40%水溶液 22.26%
    水酸化カリウム、45%水溶液 0.6%
    2−メルカプトベンズイミダゾール 0.08%
    メチルジエタノールアミン 2.33%
    リン酸(86%) 1.69%
    1−フェニル−2−テトラゾリン−5−チオン 1.0%
    水 72.04%

    配合物X
    水酸化ベンジルトリメチルアンモニウム、40%水溶液 22.26%
    水酸化カリウム、45%水溶液 0.6%
    2−メルカプトベンズイミダゾール 0.08%
    メチルジエタノールアミン 2.33%
    リン酸(86%) 1.69%
    没食子酸 1.0%
    水 72.04%

    配合物Y
    水酸化ベンジルトリメチルアンモニウム、40%水溶液 22.26%
    水酸化カリウム、45%水溶液 0.6%
    2−メルカプトベンズイミダゾール 0.08%
    メチルジエタノールアミン 2.33%
    リン酸(86%) 1.69%
    サリチル酸 1.0%
    水 72.04%

    配合物Z
    水酸化ベンジルトリメチルアンモニウム、40%水溶液 22.26%
    水酸化カリウム、45%水溶液 0.6%
    2−メルカプトベンズイミダゾール 0.08%
    メチルジエタノールアミン 2.33%
    リン酸(86%) 1.69%
    アスコルビン酸 1.0%
    水 72.04%

    配合物A
    水酸化ベンジルトリメチルアンモニウム、40%水溶液 7.2%
    水酸化カリウム、45%水溶液 0.6%
    2−メルカプトベンズイミダゾール 0.08%
    アミノプロピルモルホリン 10%
    4−メチル−2−フェニル−イミダゾール 1.0%
    水 81.12%

    配合物B
    水酸化ベンジルトリメチルアンモニウム、40%水溶液 7.2%
    水酸化カリウム、45%水溶液 0.6%
    2−メルカプトベンズイミダゾール 0.08%
    アミノプロピルモルホリン 10%
    4−メチル−2−フェニル−イミダゾール 0.5%
    水 81.62%

    配合物C
    水酸化ベンジルトリメチルアンモニウム、40%水溶液 7.2%
    水酸化カリウム、45%水溶液 0.6%
    2−メルカプトベンズイミダゾール 0.08%
    アミノプロピルモルホリン 10%
    4−メチル−2−フェニル−イミダゾール 1.0%
    水 81.02%
    ジノニルフェノールポリオキシエチレン 0.1%

    よりなる群から選択され、ここでは全てのパーセントは配合物の総重量を基準とする重量パーセントである、請求項9に記載の方法。
  14. 前記ACCが、水酸化カリウムを含む、請求項9に記載の方法。
  15. 前記洗浄組成物が、キレート剤、界面活性剤、共溶媒及びそれらの組み合わせよりなる群から選択される種をさらに含む、請求項9に記載の方法。
  16. 前記キレート剤が、トリアゾール;C〜Cアルキル、アミノ、チオール、メルカプト、イミノ、カルボキシ及びニトロよりなる群から選択される置換基によって置換されたトリアゾール;チアゾール;テトラゾール;イミダゾール;ホスフェート;チオール;アジン;グリセロール;アミノ酸;カルボン酸;アルコール;アミド;並びにキノリンよりなる群から選択されるキレート剤種を含み;
    前記界面活性剤が、フルオロアルキル界面活性剤;ポリエチレングリコール;ポリプロピレングリコール;ポリエチレングリコールエーテル;ポリプロピレングリコールエーテル;カルボン酸塩;ドデシルベンゼンスルホン酸及びそれらの塩;ポリアクリレートポリマー;ジノニルフェニルポリオキシエチレン;シリコーンポリマー;変性シリコーンポリマー;アセチレンジオール;変性アセチレンジオール、アルキルアンモニウム塩;変性アルキルアンモニウム塩;並びに前記の二種以上の組み合わせよりなる群から選択される界面活性剤種を含み;
    前記共溶媒が、アミン;グリコール;グリコールエーテル;ポリグリコールエーテル;及び前記の二種以上の組み合わせよりなる群から選択される共溶媒種を含む、請求項15に記載の方法。
  17. 前記キレート剤が、1,2,4−トリアゾール;ベンゾトリアゾール;トリルトリアゾール;5−フェニル−ベンゾトリアゾール;5−ニトロ−ベンゾトリアゾール;1−アミノ−1,2,4−トリアゾール;ヒドロキシベンゾトリアゾール;2−(5−アミノ−ペンチル)−ベンゾトリアゾール;1−アミノ−1,2,3−トリアゾール;4−メチル−2フェニルイミダゾール;2−メルカプトチアゾリン;1−アミノ−5−メチル−1,2,3−トリアゾール;3−アミノ−1,2,4−トリアゾール;3−アミノ−5−メルカプト−1,2,4−トリアゾール、3−メルカプト−1,2,4−トリアゾール;3−イソプロピル−1,2,4−トリアゾール;5−フェニルチオール−ベンゾトリアゾール;ハロがF、Cl、Br及びIよりなる群から選択されるハロ−ベンゾトリアゾール;ナフトトリアゾール;2−メルカプトベンゾイミジゾール;2−メルカプトベンゾチアゾール;5−アミノテトラゾール;5−アミノ−1,3,4−チアジアゾール−2−チオール;2,4−ジアミノ−6−メチル−1,3,5−トリアジン;チアゾール;トリアジン;メチルテトラゾール;1,3−ジメチル−2−イミダゾリジノン;1,5−ペンタメチレンテトラゾール;1−フェニル−5−メルカプトテトラゾール;ジアミノメチルトリアジン;メルカプトベンゾチアゾール;イミダゾリンチオン;メルカプトベンズイミダゾール;4−メチル−4H−1,2,4−トリアゾール−3−チオール;5−アミノ−1,3,4−チアジアゾール−2−チオール;ベンゾチアゾール;トリトリルホスフェート;インジアゾール;グアニン;アデニン;グリセロール;チオグリセロール;ニトリロトリ酢酸;サリチルアミド;イミノ二酢酸;ベンゾグアナミン;メラミン;チオシアヌル酸;アントラニル酸;没食子酸;アスコルビン酸;サリチル酸;8−ヒドロキシキノリン;5−カルボン酸−ベンゾトリアゾール;3−メルカプトプロパノール;ホウ酸;並びにイミノ二酢酸よりなる群から選択されるキレート剤種を含み;
    前記共溶媒が、ジメチルジグリコールアミン;1,8−ジアザビシクロ[5.4.0]ウンデセン;メチルジエタノールアミン;アミノプロピルモルホリン;トリエタノールアミン;メチルエタノールアミン;ジエチレングリコール;プロピレングリコール;ネオペンチルグリコール;ヒドロキシエチルモルホリン;アミノプロピルモルホリン;ジ(エチレングリコール)モノエチルエーテル;ジ(プロピレングリコール)プロピルエーテル;エチレングリコールフェニルエーテル;ジ(プロピレングリコール)ブチルエーテル;ブチルカルビトール;ポリグリコールエーテル;及び前記の二種以上の組み合わせよりなる群から選択される共溶媒種を含む、請求項16に記載の方法。
  18. 成分(a)を含み、前記第四級塩基が、有機第四級アンモニウム塩基を含む、請求項1に記載の洗浄組成物。
  19. 成分(a)を含み、前記ACCが、水酸化ベンジルトリメチルアンモニウム及び水酸化カリウムを含む、請求項1に記載の洗浄組成物。
  20. 前記共溶媒が、グリコールエーテルを含む、請求項9に記載の洗浄組成物。
  21. 水酸化ベンジルトリメチルアンモニウム;水酸化カリウム;テトラメチレンスルホン;ジ(エチレングリコール)ブチルエーテル;オキシラン、メチル−、オキシランによるポリマー、モノ(オクチルフェニル)エーテル;2−メルカプトベンズイミダゾール;及び水を含む洗浄組成物であって、
    上にフォトレジスト及び/又は犠牲反射防止膜(SARC)材料を有する基板からかかる材料を除去するために有用な洗浄組成物。
  22. 請求項1に記載のクリーニング組成物と基板を十分な時間で接触させて、基板から前記材料を少なくとも部分的に除去する工程を含む、半導体デバイスの製造方法。
  23. 成分(a)を含み、さらに酸化剤を含む、請求項1に記載の洗浄組成物。
  24. オキシラン種を含み、前記オキシラン種が、オキシラン、メチル−、オキシランによるポリマー、2,2‘−(オキシドイミノ)ビス(エタノール)を有するエーテル(2:1)、N(−3(C9−11−イソアルキロキシ)プロピル)誘導体、C10−リッチ、オキシラン、メチル−、オキシランによるポリマー、モノ(オクチルフェニル)エーテルからなる群から選択される種を含む、請求項1に記載の組成物。
JP2007501931A 2004-03-03 2005-03-02 基板上に付着したフォトレジスト及び/又は犠牲反射防止材料のエッチング後除去のための組成物並びにプロセス Active JP4758982B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/792,038 2004-03-03
US10/792,038 US8338087B2 (en) 2004-03-03 2004-03-03 Composition and process for post-etch removal of photoresist and/or sacrificial anti-reflective material deposited on a substrate
PCT/US2005/006713 WO2005085957A1 (en) 2004-03-03 2005-03-02 Composition and process for post-etch removal of photoresist and/or sacrificial anti-reflective material deposited on a substrate

Publications (3)

Publication Number Publication Date
JP2007526523A JP2007526523A (ja) 2007-09-13
JP2007526523A5 true JP2007526523A5 (ja) 2008-04-17
JP4758982B2 JP4758982B2 (ja) 2011-08-31

Family

ID=34911755

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007501931A Active JP4758982B2 (ja) 2004-03-03 2005-03-02 基板上に付着したフォトレジスト及び/又は犠牲反射防止材料のエッチング後除去のための組成物並びにプロセス

Country Status (8)

Country Link
US (2) US8338087B2 (ja)
EP (1) EP1730600B1 (ja)
JP (1) JP4758982B2 (ja)
KR (1) KR101256230B1 (ja)
CN (1) CN1938647B (ja)
CA (1) CA2599727A1 (ja)
TW (1) TWI408728B (ja)
WO (1) WO2005085957A1 (ja)

Families Citing this family (108)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101082018B1 (ko) * 2004-05-07 2011-11-10 주식회사 동진쎄미켐 레지스트 제거용 조성물
WO2005109108A1 (en) * 2004-05-07 2005-11-17 Dongjin Semichem Co., Ltd. Composition for removing a (photo) resist
US20060003910A1 (en) * 2004-06-15 2006-01-05 Hsu Jiun Y Composition and method comprising same for removing residue from a substrate
US20060063687A1 (en) * 2004-09-17 2006-03-23 Minsek David W Composition and process for ashless removal of post-etch photoresist and/or bottom anti-reflective material on a substrate
US20060154186A1 (en) * 2005-01-07 2006-07-13 Advanced Technology Materials, Inc. Composition useful for removal of post-etch photoresist and bottom anti-reflection coatings
US7923423B2 (en) * 2005-01-27 2011-04-12 Advanced Technology Materials, Inc. Compositions for processing of semiconductor substrates
KR101331747B1 (ko) * 2005-01-27 2013-11-20 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 반도체 기판 처리 조성물
CN101233456B (zh) 2005-06-07 2013-01-02 高级技术材料公司 金属和电介质相容的牺牲性抗反射涂层清洗及去除组合物
EP1894230A2 (en) * 2005-06-13 2008-03-05 Advanced Technology Materials, Inc. Compositions and methods for selective removal of metal or metal alloy after metal silicide formation
JP2009512194A (ja) * 2005-10-05 2009-03-19 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド ポストエッチング残渣を除去するための酸化性水性洗浄剤
WO2007047365A2 (en) * 2005-10-13 2007-04-26 Advanced Technology Materials, Inc. Metals compatible photoresist and/or sacrificial antireflective coating removal composition
US20070099810A1 (en) * 2005-10-27 2007-05-03 Hiroshi Matsunaga Cleaning liquid and cleaning method
WO2007120259A2 (en) * 2005-11-08 2007-10-25 Advanced Technology Materials, Inc. Formulations for removing copper-containing post-etch residue from microelectronic devices
TWI513799B (zh) * 2005-11-09 2015-12-21 Entegris Inc 用於回收具有低k介電材料之半導體晶圓的組成物及方法
US7674755B2 (en) 2005-12-22 2010-03-09 Air Products And Chemicals, Inc. Formulation for removal of photoresist, etch residue and BARC
TW200734448A (en) * 2006-02-03 2007-09-16 Advanced Tech Materials Low pH post-CMP residue removal composition and method of use
US20080070820A1 (en) * 2006-09-19 2008-03-20 Wescor, Inc. Stain removing cleaning solutions
US8685909B2 (en) 2006-09-21 2014-04-01 Advanced Technology Materials, Inc. Antioxidants for post-CMP cleaning formulations
US20080076688A1 (en) * 2006-09-21 2008-03-27 Barnes Jeffrey A Copper passivating post-chemical mechanical polishing cleaning composition and method of use
US20100056410A1 (en) * 2006-09-25 2010-03-04 Advanced Technology Materials, Inc. Compositions and methods for the removal of photoresist for a wafer rework application
CN101169598A (zh) * 2006-10-27 2008-04-30 安集微电子(上海)有限公司 一种光刻胶清洗剂
EP1918322A1 (en) * 2006-11-03 2008-05-07 Henkel Kommanditgesellschaft auf Aktien Paint Stripper with Corrosion Inhibitor for Aluminium
TW200833871A (en) * 2006-11-17 2008-08-16 Sachem Inc Selective metal wet etch composition and process
JP4499751B2 (ja) * 2006-11-21 2010-07-07 エア プロダクツ アンド ケミカルズ インコーポレイテッド フォトレジスト、エッチ残留物及びbarcを除去するための配合物及び同配合物を含む方法
CN101201556A (zh) * 2006-12-15 2008-06-18 安集微电子(上海)有限公司 低蚀刻性光刻胶清洗剂
SG10201610631UA (en) * 2006-12-21 2017-02-27 Entegris Inc Liquid cleaner for the removal of post-etch residues
TWI516573B (zh) * 2007-02-06 2016-01-11 安堤格里斯公司 選擇性移除TiSiN之組成物及方法
JP4692497B2 (ja) * 2007-02-28 2011-06-01 ナガセケムテックス株式会社 フォトレジスト剥離剤組成物
US20100112728A1 (en) * 2007-03-31 2010-05-06 Advanced Technology Materials, Inc. Methods for stripping material for wafer reclamation
CN101286017A (zh) * 2007-04-13 2008-10-15 安集微电子(上海)有限公司 厚膜光刻胶清洗剂
CN101286016A (zh) * 2007-04-13 2008-10-15 安集微电子(上海)有限公司 低蚀刻性光刻胶清洗剂
KR20100051839A (ko) * 2007-08-02 2010-05-18 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 마이크로전자 장치로부터 잔사를 제거하기 위한 플루오라이드 비-함유 조성물
JP2009075285A (ja) * 2007-09-20 2009-04-09 Fujifilm Corp 半導体デバイスの剥離液、及び、剥離方法
US7976638B2 (en) * 2007-11-13 2011-07-12 Sachem, Inc. High negative zeta potential polyhedral silsesquioxane composition and method for damage free semiconductor wet clean
CN101481640B (zh) * 2008-01-10 2011-05-18 长兴开发科技股份有限公司 水性清洗组合物
EP2268765A4 (en) * 2008-03-07 2011-10-26 Advanced Tech Materials UNSELECTIVE OXIDIZE WET CLEANING AGENT AND USE
US20090241988A1 (en) * 2008-03-31 2009-10-01 Intel Corporation Photoresist and antireflective layer removal solution and method thereof
WO2010048139A2 (en) * 2008-10-21 2010-04-29 Advanced Technology Materials, Inc. Copper cleaning and protection formulations
JP5502438B2 (ja) * 2009-03-24 2014-05-28 東京応化工業株式会社 多層レジスト積層体用剥離液及び多層レジスト積層体の処理方法
US8614053B2 (en) 2009-03-27 2013-12-24 Eastman Chemical Company Processess and compositions for removing substances from substrates
US8444768B2 (en) * 2009-03-27 2013-05-21 Eastman Chemical Company Compositions and methods for removing organic substances
US8309502B2 (en) 2009-03-27 2012-11-13 Eastman Chemical Company Compositions and methods for removing organic substances
US9481937B2 (en) * 2009-04-30 2016-11-01 Asm America, Inc. Selective etching of reactor surfaces
US8518865B2 (en) * 2009-08-31 2013-08-27 Air Products And Chemicals, Inc. Water-rich stripping and cleaning formulation and method for using same
JP5498768B2 (ja) * 2009-12-02 2014-05-21 東京応化工業株式会社 リソグラフィー用洗浄液及び配線形成方法
US8895227B2 (en) 2010-01-25 2014-11-25 Toagosei Co., Ltd. Developing solution for photoresist on substrate including conductive polymer, and method for forming pattern
SG187551A1 (en) 2010-07-16 2013-03-28 Advanced Tech Materials Aqueous cleaner for the removal of post-etch residues
CN102338994B (zh) * 2010-07-23 2014-12-31 安集微电子(上海)有限公司 一种光刻胶的清洗液
TWI430024B (zh) * 2010-08-05 2014-03-11 Asahi Kasei E Materials Corp A photosensitive resin composition, a method for manufacturing a hardened bump pattern, and a semiconductor device
CN102346383B (zh) * 2010-08-06 2016-03-16 安集微电子(上海)有限公司 一种光刻胶的清洗液
JP6101421B2 (ja) 2010-08-16 2017-03-22 インテグリス・インコーポレーテッド 銅または銅合金用エッチング液
CN103249849B (zh) 2010-08-20 2015-11-25 安格斯公司 从电子垃圾回收贵金属和贱金属的可持续方法
SG189292A1 (en) 2010-10-06 2013-05-31 Advanced Tech Materials Composition and process for selectively etching metal nitrides
KR101891363B1 (ko) 2010-10-13 2018-08-24 엔테그리스, 아이엔씨. 티타늄 니트라이드 부식을 억제하기 위한 조성물 및 방법
EP2715783A4 (en) * 2011-06-01 2015-01-07 Avantor Performance Mat Inc SEMI-AQUEOUS POLYMER REMOVAL COMPOSITIONS HAVING IMPROVED COPPER, TUNGSTEN AND DIELECTRIC COMPATIBILITY WITH LOW K-POROUS CONSTANT
CN102200700B (zh) * 2011-06-08 2012-08-22 绵阳艾萨斯电子材料有限公司 剥离液及其制备方法与应用
CN102981376A (zh) * 2011-09-05 2013-03-20 安集微电子(上海)有限公司 一种光刻胶清洗液
TW201311884A (zh) * 2011-09-13 2013-03-16 Anji Microelectronics Co Ltd 光阻(光刻)膠的清洗液
TW201311883A (zh) * 2011-09-13 2013-03-16 Anji Microelectronics Co Ltd 光阻(光刻)膠的清洗液
JP5933950B2 (ja) 2011-09-30 2016-06-15 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 銅または銅合金用エッチング液
US9546321B2 (en) 2011-12-28 2017-01-17 Advanced Technology Materials, Inc. Compositions and methods for selectively etching titanium nitride
WO2013123317A1 (en) 2012-02-15 2013-08-22 Advanced Technology Materials, Inc. Post-cmp removal using compositions and method of use
WO2013122172A1 (ja) 2012-02-17 2013-08-22 三菱化学株式会社 半導体デバイス用洗浄液及び半導体デバイス用基板の洗浄方法
US8916429B2 (en) 2012-04-30 2014-12-23 Taiwan Semiconductor Manufacturing Co., Ltd. Aqueous cleaning techniques and compositions for use in semiconductor device manufacturing
WO2013173738A1 (en) 2012-05-18 2013-11-21 Advanced Technology Materials, Inc. Composition and process for stripping photoresist from a surface including titanium nitride
US9029268B2 (en) 2012-11-21 2015-05-12 Dynaloy, Llc Process for etching metals
US9765288B2 (en) 2012-12-05 2017-09-19 Entegris, Inc. Compositions for cleaning III-V semiconductor materials and methods of using same
SG11201507014RA (en) 2013-03-04 2015-10-29 Advanced Tech Materials Compositions and methods for selectively etching titanium nitride
US20160075971A1 (en) * 2013-04-22 2016-03-17 Advanced Technology Materials, Inc. Copper cleaning and protection formulations
SG11201509933QA (en) 2013-06-06 2016-01-28 Advanced Tech Materials Compositions and methods for selectively etching titanium nitride
KR101420571B1 (ko) * 2013-07-05 2014-07-16 주식회사 동진쎄미켐 드라이필름 레지스트 박리제 조성물 및 이를 이용한 드라이필름 레지스트의 제거방법
CN105431506A (zh) 2013-07-31 2016-03-23 高级技术材料公司 用于去除金属硬掩模和蚀刻后残余物的具有Cu/W相容性的水性制剂
KR102008881B1 (ko) * 2013-08-06 2019-08-08 동우 화인켐 주식회사 반도체 웨이퍼 세정용 조성물
KR102340516B1 (ko) 2013-08-30 2021-12-21 엔테그리스, 아이엔씨. 티타늄 니트라이드를 선택적으로 에칭하기 위한 조성물 및 방법
US9291910B2 (en) 2013-09-27 2016-03-22 Dynaloy, Llc Aqueous solution and process for removing substances from substrates
WO2015095175A1 (en) 2013-12-16 2015-06-25 Advanced Technology Materials, Inc. Ni:nige:ge selective etch formulations and method of using same
SG11201605003WA (en) 2013-12-20 2016-07-28 Entegris Inc Use of non-oxidizing strong acids for the removal of ion-implanted resist
US10475658B2 (en) 2013-12-31 2019-11-12 Entegris, Inc. Formulations to selectively etch silicon and germanium
KR101822831B1 (ko) * 2014-01-14 2018-01-29 사켐,인코포레이티드 선택적 금속/금속 산화물 에칭방법
WO2015116818A1 (en) 2014-01-29 2015-08-06 Advanced Technology Materials, Inc. Post chemical mechanical polishing formulations and method of use
WO2015119925A1 (en) 2014-02-05 2015-08-13 Advanced Technology Materials, Inc. Non-amine post-cmp compositions and method of use
TWI635160B (zh) * 2014-03-07 2018-09-11 東友精細化工有限公司 紋理蝕刻溶液組成物及晶體矽晶圓紋理蝕刻方法
KR101956352B1 (ko) * 2014-03-20 2019-03-08 동우 화인켐 주식회사 결정성 실리콘 웨이퍼의 텍스쳐 에칭액 조성물 및 텍스쳐 에칭방법
CN103955123A (zh) * 2014-04-11 2014-07-30 武汉高芯科技有限公司 一种离子注入后晶片的湿法去胶液及光刻胶去除方法
WO2016011331A1 (en) * 2014-07-18 2016-01-21 Cabot Microelectronics Corporation Cleaning composition following cmp and methods related thereto
CN104531397A (zh) * 2014-11-18 2015-04-22 惠晶显示科技(苏州)有限公司 一种平板玻璃基板减薄预清洗用清洗液及其应用
TWI690780B (zh) * 2014-12-30 2020-04-11 美商富士軟片電子材料美國股份有限公司 用於自半導體基板去除光阻之剝離組成物
KR102310637B1 (ko) 2015-01-12 2021-10-08 삼성전자주식회사 씬너 조성물 및 이를 이용한 반도체 장치의 제조 방법
KR102327568B1 (ko) * 2015-03-12 2021-11-17 리지필드 액퀴지션 낮은 pKa 구동의 폴리머 스트리핑 동안에 전하 착화 구리 보호를 촉진하는 조성물 및 방법
KR102152665B1 (ko) * 2016-03-31 2020-09-07 후지필름 가부시키가이샤 반도체 제조용 처리액, 및 패턴 형성 방법
US10073352B2 (en) 2016-04-12 2018-09-11 Versum Materials Us, Llc Aqueous solution and process for removing substances from substrates
US10266799B2 (en) 2016-05-23 2019-04-23 Fujifilm Electronic Materials U.S.A., Inc. Stripping compositions for removing photoresists from semiconductor substrates
US10533146B2 (en) 2016-10-06 2020-01-14 Fujifilm Electronic Materials U.S.A., Inc. Cleaning formulations for removing residues on semiconductor substrates
SG11201908616PA (en) * 2017-03-24 2019-10-30 Fujifilm Electronic Materials Usa Inc Cleaning compositions for removing residues on semiconductor substrates
WO2019000446A1 (zh) * 2017-06-30 2019-01-03 深圳市恒兆智科技有限公司 清洗除氧化皮剂及压铸铝工件除氧化皮处理方法
TWI751406B (zh) 2018-03-06 2022-01-01 美商應用材料股份有限公司 形成金屬硫系化物柱體之方法
CN108319118A (zh) * 2018-03-15 2018-07-24 昆山长优电子材料有限公司 有机剥膜液
CN110713868A (zh) * 2018-07-13 2020-01-21 巴斯夫欧洲公司 可移除氮化钛的蚀刻后残渣清理溶液
KR20210024187A (ko) * 2018-07-20 2021-03-04 엔테그리스, 아이엔씨. 부식 억제제를 갖는 세정 조성물
JP7101598B2 (ja) * 2018-11-26 2022-07-15 花王株式会社 三次元物体前駆体処理剤組成物
CN113748192B (zh) 2019-04-12 2023-08-29 埃科莱布美国股份有限公司 抗微生物多用途清洁剂及其制备和使用方法
SG11202111643QA (en) 2019-04-24 2021-11-29 Fujifilm Electronic Materials U S A Inc Stripping compositions for removing photoresists from semiconductor substrates
WO2021005140A1 (en) 2019-07-11 2021-01-14 Merck Patent Gmbh Photoresist remover compositions
CN110938502B (zh) * 2019-12-09 2021-10-22 荆州市天翼精细化工开发有限公司 一种光学变色颜料真空镀膜基板清洗剂及其制备方法
CN113433807A (zh) * 2020-03-23 2021-09-24 上海新阳半导体材料股份有限公司 离子注入光刻胶清洗液、其制备方法及应用
CN113430065B (zh) * 2020-03-23 2024-06-07 上海新阳半导体材料股份有限公司 抗反射涂层清洗及刻蚀后残留物去除组合物、制备方法及用途
CN117015845A (zh) * 2021-03-08 2023-11-07 三菱瓦斯化学株式会社 半导体基板清洗用组合物及清洗方法
CN116200748B (zh) * 2023-03-29 2024-03-29 四川和晟达电子科技有限公司 一种超高铜离子负载的金属蚀刻液组合物及其制备方法

Family Cites Families (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3980587A (en) 1974-08-16 1976-09-14 G. T. Schjeldahl Company Stripper composition
DE3530282A1 (de) 1985-08-24 1987-03-05 Hoechst Ag Verfahren zum entschichten von lichtgehaerteten photoresistschichten
US4964919A (en) 1988-12-27 1990-10-23 Nalco Chemical Company Cleaning of silicon wafers with an aqueous solution of KOH and a nitrogen-containing compound
JPH0344600A (ja) 1989-07-12 1991-02-26 Toshiba Corp 使用済樹脂の移送装置
US6187730B1 (en) 1990-11-05 2001-02-13 Ekc Technology, Inc. Hydroxylamine-gallic compound composition and process
US6242400B1 (en) 1990-11-05 2001-06-05 Ekc Technology, Inc. Method of stripping resists from substrates using hydroxylamine and alkanolamine
US6110881A (en) 1990-11-05 2000-08-29 Ekc Technology, Inc. Cleaning solutions including nucleophilic amine compound having reduction and oxidation potentials
US6492311B2 (en) 1990-11-05 2002-12-10 Ekc Technology, Inc. Ethyenediaminetetraacetic acid or its ammonium salt semiconductor process residue removal composition and process
US5139607A (en) * 1991-04-23 1992-08-18 Act, Inc. Alkaline stripping compositions
US5147499A (en) * 1991-07-24 1992-09-15 Applied Materials, Inc. Process for removal of residues remaining after etching polysilicon layer in formation of integrated circuit structure
US5236552A (en) * 1992-04-13 1993-08-17 At&T Bell Laboratories Photoresist stripping method
IT1265041B1 (it) * 1993-07-23 1996-10-28 Eniricerche Spa Catalizzatore bifunzionale efficace nella idroisomerizzazione di cere e procedimento per la sua preparazione
US5419779A (en) 1993-12-02 1995-05-30 Ashland Inc. Stripping with aqueous composition containing hydroxylamine and an alkanolamine
US5520835A (en) * 1994-08-31 1996-05-28 The Procter & Gamble Company Automatic dishwashing compositions comprising multiquaternary bleach activators
EP0789071B1 (en) 1995-07-27 2006-10-11 Mitsubishi Chemical Corporation Method for treating surface of substrate and surface treatment composition therefor
JP3198878B2 (ja) * 1995-07-27 2001-08-13 三菱化学株式会社 表面処理組成物及びそれを用いた基体の表面処理方法
TW401604B (en) * 1995-09-11 2000-08-11 Mitsubishi Chemcal Coproration Surface treatment composition
JP2950407B2 (ja) * 1996-01-29 1999-09-20 東京応化工業株式会社 電子部品製造用基材の製造方法
US5709756A (en) 1996-11-05 1998-01-20 Ashland Inc. Basic stripping and cleaning composition
US6268323B1 (en) * 1997-05-05 2001-07-31 Arch Specialty Chemicals, Inc. Non-corrosive stripping and cleaning composition
US6117795A (en) * 1998-02-12 2000-09-12 Lsi Logic Corporation Use of corrosion inhibiting compounds in post-etch cleaning processes of an integrated circuit
WO1999044101A1 (en) * 1998-02-26 1999-09-02 Alpha Metals, Inc. Resist stripping process
US6440647B1 (en) 1998-02-26 2002-08-27 Alpha Metals, Inc. Resist stripping process
US5977601A (en) 1998-07-17 1999-11-02 Advanced Micro Devices, Inc. Method for etching memory gate stack using thin resist layer
MY144503A (en) * 1998-09-14 2011-09-30 Ibiden Co Ltd Printed circuit board and method for its production
KR100286860B1 (ko) * 1998-12-31 2001-07-12 주식회사 동진쎄미켐 포토레지스트 리무버 조성물
KR20000053521A (ko) 1999-01-20 2000-08-25 고사이 아끼오 금속 부식 방지제 및 세척액
US6329118B1 (en) 1999-06-21 2001-12-11 Intel Corporation Method for patterning dual damascene interconnects using a sacrificial light absorbing material
US6038800A (en) * 1999-07-23 2000-03-21 Seidel; Gregg A. Advertising display device
JP2001183850A (ja) * 1999-12-27 2001-07-06 Sumitomo Chem Co Ltd 剥離剤組成物
JP3514435B2 (ja) * 1999-12-28 2004-03-31 東京応化工業株式会社 ホトレジスト用剥離液およびこれを用いたホトレジスト剥離方法
TWI243204B (en) 2000-02-04 2005-11-11 Sumitomo Chemical Co Electronic parts cleaning solution
US6531436B1 (en) * 2000-02-25 2003-03-11 Shipley Company, L.L.C. Polymer removal
JP2001244228A (ja) * 2000-02-29 2001-09-07 Mitsubishi Materials Silicon Corp 半導体基板の洗浄液及び洗浄方法
US6274296B1 (en) * 2000-06-08 2001-08-14 Shipley Company, L.L.C. Stripper pretreatment
WO2002001300A1 (fr) * 2000-06-28 2002-01-03 Nec Corporation Composition d'agent de demontage
JP2002062668A (ja) 2000-08-14 2002-02-28 Mitsubishi Gas Chem Co Inc フォトレジストの剥離方法
AU2001292244A1 (en) * 2000-09-27 2002-04-08 Hitachi Chemical Co. Ltd. Resist pattern, process for producing the same, and utilization thereof
US6599370B2 (en) * 2000-10-16 2003-07-29 Mallinckrodt Inc. Stabilized alkaline compositions for cleaning microelectronic substrates
JP2003005383A (ja) * 2000-11-30 2003-01-08 Tosoh Corp レジスト剥離剤
KR100822236B1 (ko) 2000-11-30 2008-04-16 토소가부시키가이샤 레지스트 박리제
JP4945857B2 (ja) 2001-06-13 2012-06-06 Jsr株式会社 研磨パッド洗浄用組成物及び研磨パッド洗浄方法
MY131912A (en) 2001-07-09 2007-09-28 Avantor Performance Mat Inc Ammonia-free alkaline microelectronic cleaning compositions with improved substrate compatibility
TWI297102B (en) * 2001-08-03 2008-05-21 Nec Electronics Corp Removing composition
US6572743B2 (en) * 2001-08-23 2003-06-03 3M Innovative Properties Company Electroplating assembly for metal plated optical fibers
JP3797541B2 (ja) * 2001-08-31 2006-07-19 東京応化工業株式会社 ホトレジスト用剥離液
US6551973B1 (en) * 2001-10-09 2003-04-22 General Chemical Corporation Stable metal-safe stripper for removing cured negative-tone novolak and acrylic photoresists and post-etch residue
US6991739B2 (en) * 2001-10-15 2006-01-31 Applied Materials, Inc. Method of photoresist removal in the presence of a dielectric layer having a low k-value
KR20040066124A (ko) * 2001-11-15 2004-07-23 허니웰 인터내셔널 인코포레이티드 포토리소그라피용 스핀온 반사 방지 피막
US6943142B2 (en) * 2002-01-09 2005-09-13 Air Products And Chemicals, Inc. Aqueous stripping and cleaning composition
TW574606B (en) * 2002-04-10 2004-02-01 Merck Kanto Advanced Chemical Thinner composition for rinsing photoresist
WO2003091377A1 (en) * 2002-04-25 2003-11-06 Arch Speciality Chemicals, Inc. Non-corrosive cleaning compositions for removing etch residues
CN1659480A (zh) * 2002-06-07 2005-08-24 马林克罗特贝克公司 用于微电子基底的清洁组合物
US8236485B2 (en) * 2002-12-20 2012-08-07 Advanced Technology Materials, Inc. Photoresist removal
US7442675B2 (en) * 2003-06-18 2008-10-28 Tokyo Ohka Kogyo Co., Ltd. Cleaning composition and method of cleaning semiconductor substrate
US7101832B2 (en) * 2003-06-19 2006-09-05 Johnsondiversey, Inc. Cleaners containing peroxide bleaching agents for cleaning paper making equipment and method
BRPI0418529A (pt) * 2004-02-11 2007-05-15 Mallinckrodt Baker Inc composições de limpeza para microeletrÈnicos contendo ácidos de halogênio oxigenados, sais e derivados dos mesmos

Similar Documents

Publication Publication Date Title
JP2007526523A5 (ja)
JP4758982B2 (ja) 基板上に付着したフォトレジスト及び/又は犠牲反射防止材料のエッチング後除去のための組成物並びにプロセス
US8236485B2 (en) Photoresist removal
JP6494627B2 (ja) 表面上の残渣を除去するための洗浄用製剤
CA2452921A1 (en) Microelectronic cleaning compositions containing ammonia-free fluoride salts
JP2008543060A5 (ja)
US20060063687A1 (en) Composition and process for ashless removal of post-etch photoresist and/or bottom anti-reflective material on a substrate
JP2004536910A5 (ja)
CN101398638A (zh) 一种光刻胶清洗剂
JP2009516360A (ja) 金属適合フォトレジスト及び/又は犠牲反射防止コーティング除去組成物
WO2006133253A1 (en) Metal and dielectric compatible sacrificial anti-reflective coating cleaning and removal composition
JP2005500408A5 (ja)
CN101339368A (zh) 一种光刻胶清洗剂
TW201732028A (zh) 洗淨液、基板洗淨方法及半導體元件的製造方法
JP6518788B2 (ja) 半導体デバイス用処理液の保管方法、処理液収容体
CN101614971A (zh) 一种光刻胶清洗剂
IL274877B2 (en) A cleaning agent for removing residues after burning or after ash from a semiconductor substrate and a corresponding manufacturing process
TWI791535B (zh) 可移除氮化鈦的蝕刻後殘渣清理溶液
CN110713868A (zh) 可移除氮化钛的蚀刻后残渣清理溶液
TW202325827A (zh) 可移除氮化鈦的蝕刻後殘渣清理溶液