JP2007043156A - 半導体技術における微細ピッチの製造方法 - Google Patents

半導体技術における微細ピッチの製造方法 Download PDF

Info

Publication number
JP2007043156A
JP2007043156A JP2006206784A JP2006206784A JP2007043156A JP 2007043156 A JP2007043156 A JP 2007043156A JP 2006206784 A JP2006206784 A JP 2006206784A JP 2006206784 A JP2006206784 A JP 2006206784A JP 2007043156 A JP2007043156 A JP 2007043156A
Authority
JP
Japan
Prior art keywords
spacer
layer
spacers
strip
pattern
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2006206784A
Other languages
English (en)
Other versions
JP4405484B2 (ja
Inventor
Stefano Parascandola
シュテファノ,パラスキャンドラ
Dirk Caspary
ディアク,キャスパリー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Qimonda AG
Original Assignee
Qimonda AG
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Qimonda AG filed Critical Qimonda AG
Publication of JP2007043156A publication Critical patent/JP2007043156A/ja
Application granted granted Critical
Publication of JP4405484B2 publication Critical patent/JP4405484B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks

Abstract

【課題】従来よりも小さいピッチを有した半導体デバイスにおいて周期パターンの製造方法を提供する。
【解決手段】周期的構造を有するパターン層の細片様構造の側壁にスペーサ5が形成される。そして、該パターン層が除去され、該スペーサが、第2の側壁スペーサとなる別のスペーサ層7によって覆われる。上記スペーサとスペーサとの間は補充層8によって充填される。上記第1のスペーサ5と、第2のスペーサ7と、上記補充層の残留部分8とが周期的に連続している部分を残して、表面を平坦化する。横寸法は、1つ以上の残留層を除去することによってピッチの小さい周期パターンが形成されるように調節される。
【選択図】図5

Description

発明の詳細な説明
〔技術分野〕
本発明は、半導体装置の周期的な構造またはパターンの製造方法に関する。
〔本発明の背景〕
半導体メモリのような特定の種類の半導体装置では、少なくとも一次元において、構造素子もしくはパターン化された層が周期的に設けられている。例えばワード線およびビット線は、その多くが各々平行に延びた直線状に配されており、その線幅や、隣接している線と線との間の距離が装置全体において一定となっている。上記のような線の並びは周期的であって、その寸法は最小であることが好ましい。最小にすることによって、領域が最小のメモリセルアレイを実現することができる。線の寸法および、線と線との間の寸法は、周期的に並んだ方向に連続している。これら周期のうちの1つの長さは、パターンのピッチと呼ばれる。
ピッチの長さは、周期パターンを構築するために利用される製造技術によって制限される。この制限の一部は、構築プロセスにおいて導入されるマスク技術によるものである。マスクを用いた一般的なエッチングプロセスでは、得られる寸法に下限がある。一方、デバイスをさらに微細化するためには、ピッチをより微細化することができる製造方法を整える必要がある。これらの方法は、製造される構造が、デバイスの性能要求に適った十分な精密さを有している場合にのみ利用することができる。
〔特許文献1〕US6,063,688
〔特許文献2〕US5,296,410
〔特許文献3〕US6,410,387
〔本発明の概要〕
一形態では、本発明は、従来よりも小さいピッチを有した半導体デバイスにおいて周期パターンを形成する方法に関する。
本発明はさらに、標準的な半導体技術に基づいた工程を用いてピッチを微細化することを目的とする。
本発明は、従来技術に代えて、周期パターンをより小さくして、素子をより狭小にするための反復スペーサ技術(repeated spacer technology)を用いる。これによってピッチを微細化することができる。すなわち本発明に係る方法は、互いに等間隔、かつ平行に延びて配置される、同一構造の側壁および同一の横寸法を有する複数の細片様構造が形成されているパターン層を主面に有する基板を準備する工程と、上記細片様構造及びパターン層の上に、第1のスペーサ層を塗布する工程と、上記第1のスペーサ層を異方性エッチングして、上記細片様構造の側壁に第1のスペーサを形成する工程と、主な側壁を2つ有する上記第1のスペーサを残すように、上記パターン層を除去する工程と、上記パターン層を除去した後に、上記第1のスペーサを覆うように第2のスペーサ層を塗布する工程と、上記第2のスペーサ層を異方性エッチングして、上記第1のスペーサの上記側壁に第2のスペーサを形成する工程であって、隣り合う2つの第1のスペーサにおける対向する側壁にそれぞれ設けられた該第2のスペーサ同士の間に空隙が残るように、第2のスペーサ層が異方性エッチングされる工程と、上記空隙に補充層(complementary layer )を充填する工程と、上記補充層を充填した後に、上記第1のスペーサと、上記第2のスペーサと、上記補充層との上部表面を平坦にする工程と、上記第1のスペーサと、上記第2のスペーサと、上記補充層と、上記第1のスペーサ及び第2のスペーサと、上記第1のスペーサ及び補充層と、第2のスペーサ及び補充層とからなる群から選択される少なくとも1つを除去する工程と、を含むことを特徴としている。
本発明の上記および上記以外の目的、特徴、および利点は、以下の図面の簡単な説明、詳細な説明、特許請求の範囲、および添付図面から明らかとなるであろう。
本発明およびその利点をより完全に理解するために、添付図面と共に以下の説明を参照されたい。添付図面は以下の通りである。
図1は、本発明に係る方法の第1形態において第1の中間構造体として得られる構造の断面図である。
図2は、図1に示す中間構造体に更にスペーサ層を塗布した後の中間構造体の構造の断面図である。
図3は、図2に示す中間構造体において、スペーサを形成した後の構造を示す断面図である。
図4は、図3に示す中間構造体に第2のスペーサ層を塗布した後の構造を示す断面図である。
図5は、図4に示す中間構造体に第2のスペーサを形成した後、および補充層を塗布した後の構造を示す断面図である。
図6は、図5に示す中間構造体に対して平坦化工程を行った後の構造を示す断面図である。
図7は、図6に示す中間構造体に対して、ハーフピッチの周期パターンを形成するために上記スペーサを除去した後の構造を示す断面図である。
図8は、本発明に係る方法の他の形態によって得られる中間構造体の構造を示しており、図6に示した構造体とは横寸法が異なっている構造を示す断面図である。
図9は、図7に示す構造において、図8の中間構造体から得られる構造を示す断面図である。
図10は、図2に示した中間構造体の別の実施形態の構造を示した断面図であり、図2に示した構造体とは横寸法が異なっている。
図11は、図10に示す構造に第2のスペーサ層を塗布した後に得られる中間構造体を示す断面図である。
図12は、図11に示す構造において第2のスペーサ層を形成した後、および補充層を塗布した後の構造を示す断面図である。
図13は、図7に示す構造において、図12に沿った中間構造体から得られる構造を示す図である。
図14は、図13に示す構造において、図12の中間構造体から得られる更なる構造を示す断面図である。
図15は、本発明に係る方法の他の形態によって得られる中間構造体の断面図である。
図1は、本発明に係る方法の第1形態に基づいて得られる第1の中間構造体の断面図を示している。図1の中間構造体では、基板1の主面上にパターン層2が塗布されている。尚、基板1には別の材料の層または半導体装置構造をさらに有しているが、これについては、以下に説明する本発明による方法にとって必須ではないため、図1には詳細に示さない。パターン層2には、個々に独立した細片様構造が設けられている。これら細片様構造は、互いに平行に延びている。該細片様構造は、ハードマスク3を用いて形成することができる。ハードマスク3は、フォトレジスト層を塗布する工程を含むフォトリソグラフィ工程によって形成可能であり、例えば窒化物から構成することが可能である。パターン層2の細片様構造は、側壁を有しており、該側壁は上記基板の主面に対して垂直であることが理想的である。細片様構造の横寸法、すなわち幅は、全体を通して同じである。隣接した2つの細片様構造間の距離もまた、全体にわたって同じである。従って、パターン層2は周期的な構造を有しているといえる。この周期はそれぞれ、1つの細片様構造と、隣接した2つの細変様構造間にできる1つの空隙とから構成されている。また、該周期の長さは、パターンのオリジナルピッチ10として図1に示されている。言うまでもなく、周期性を表している区域は、図1に示す矢印のいずれの方向にも移動させることができるが、しかし周期の長さは一定であり、これがパターンのピッチを規定している。ハードマスク3は、続くプロセス工程が行われる前に除去されることが好ましい。
図2は、第1のスペーサ層4をコンフォーマルに塗布した後に得られる更なる中間構造体の断面図を示している。第1のスペーサ層4の材料は、電気的に絶縁するものであってよく、あるいは電気的に導電性のものであってもよく、パターン層2の材料とは異なる材料が選択することができる。これにより、第1のスペーサ層4からパターン層2を選択的に除去することができる。第1のスペーサ層4から形成される第1のスペーサ5の形状は、図2において破線で示されている。第1のスペーサ5は、基板1の主面に対して垂直な方向に第1のスペーサ層4を薄くする異方性エッチング工程によって形成することができる。このプロセスは、パターン層2上の第1のスペーサ層4の材料と、のちに第1のスペーサ5となる箇所に挟まれた第1のスペーサ層4の材料とが完全に除去されるまで続けられる。そして、パターン層2が除去される。
図3は、図2で示した構造体においてパターン層2を除去した後の状態を示す断面図である。第1のスペーサ5は基板1上に残留して、新しい周期パターンを形成している。個々の第1のスペーサ5は互いに独立している。図3にもまた、オリジナルピッチ10が示されている。オリジナルピッチ10の各周期には、第1のスペーサ5が2つある。図3に示す実施形態では、第1のスペーサ5が等しく隔てられるように、パターン層2の細片様構造の横寸法と、第1のスペーサ5の横寸法または厚さとが設定されている。このようにすれば、オリジナルピッチ10の半分のピッチを有した新しい周期パターンを得ることができる。
図4は、図3で示した構造体において第2のスペーサ層6を塗布した後の状態を示す断面図である。第2のスペーサ層6は任意の材料であってよく、具体的には例えば下地(liner )を用いることができる。第2のスペーサ層6もまたコンフォーマルに塗布される。この結果、次に行われる異方性エッチング後に第2のスペーサが残留する。これによって、図5に示すような、第1のスペーサ5と第2のスペーサ7とからなる構造が得られる。
図5は、第2のエッチング工程においてわずかに高さが低くなるように処理された第1のスペーサ5の対向する主要な側壁の双方に、第2のスペーサが設けられた状態を示している。尚、図5にもオリジナルピッチ10を示している。得られる構造体には、補充層8がスペーサ間の隙間を充填するように形成されている。補充層8の材料は、半導体デバイスの実施形態の必要条件に応じて、そして次に行われる構造化工程に鑑みて選択される。
次に、図5に従った中間構造体の最上表面が平坦化され、好ましくは、図6に示すような一般的な高さまで研磨される。図6は、補充層8、第2のスペーサ7、第1のスペーサ5、第2のスペーサ7などの細片様構造の残留部分が、層の細片を縦に延長した場合これに垂直な方向に周期的に連続している順番を示している。そして、オリジナルピッチの半分の周期パターンを得るために、第1および第2のスペーサが除去される。
図7は、第1および第2のスペーサを除去した後に得られる構造体であって、補充層8の細片様の残留部分のみが残っている状態を示している。尚、前の工程によってピッチが半分になったことを明確にするため、図7にはオリジナルピッチ10が示されている。
図8は、本発明に係る方法を用いて得られる中間構造体であり、図6に示した構造に相当するが、図6に示した構造とは横寸法が異なっている。ここでは、第1のスペーサの細片様構造と、第2のスペーサと、補充層8の残留部分との横寸法が同じになるように、パターン層2の細片様構造の寸法と、第1のスペーサ5および第2のスペーサ7の厚さとが設定される。尚、これは、少なくとも、補充層8の複数の部分における横寸法と、第1のスペーサ5の複数の部分における横寸法とが同じになれば十分である。これによって、第2のスペーサ7の並んだものと、第1のスペーサ5及び補充層8が交互に並んだものとの両方によって、オリジナルピッチ10の周期パターンの4分の1の周期パターンを確実に形成している。
図9は、オリジナルピッチ10の周期パターンの4分の1である中間構造体を示しており、図8の中間構造体から第2のスペーサ7を除去した後の構造である。第2のスペーサ7からなる相補的な周期パターンは、第1のスペーサ5と補充層8の上記部分との両方が除去された場合に生成される。図8に示す中間構造体の内の任意の層を1つ、あるいは組み合わせて除去することによって、様々な別の周期パターンを得ることができる。従って、第1のスペーサ5のみ、第2のスペーサ7のみ、補充層8のみ、第1のスペーサと第2のスペーサとの両方、第1のスペーサと補充層との両方、あるいは第2のスペーサと補充層との両方を除去することによって、異なるパターンが得られる。
図10は、図2に示した中間構造体の別の実施形態の断面図を示している。図10に示す実施形態は、図2に示す実施形態とはパターン層2の細片様構造の横寸法が異なっている。この寸法は、互いに向かい合って隣接した2つの細片様構造の側壁に位置している、各2つの第1のスペーサ5間の距離の2倍よりも大きくなるように選択されている。
図11は、図10に示した中間構造体において第2のスペーサ層6を塗布した後に得られる更なる中間構造体を示している。第1のスペーサ5間の距離は、第1のスペーサの並びに沿ってにおいて大小交互になっている。第1のスペーサ5間の小さい方の間隔は、第2のスペーサ層6の材料によって完全に充填されている。大きい方の間隔では、コンフォーマルに堆積された第2のスペーサ層6の側壁部分の間に小さな隙間がある。
図12は、図11に示した中間構造体において第2のスペーサ層7を形成した後の構造を示した断面図である。第2のスペーサ層6の厚さは、隣接する第2のスペーサ7間の隙間の寸法が第1のスペーサ5と同じになるように選択されていることが好ましい。パターン層2の細片様構造の横寸法もが適切に選択されている場合は、第1のスペーサ5間の狭い間隔内に位置する第2のスペーサ6の残留部分の厚さと、第2のスペーサ層7の厚さとが同じになる。この場合、第2のスペーサ6の全ての残留部分が等間隔で隔てられる。次の平坦化工程では、図12の平行な破線によって示されている高さまで材料が除去される。この平坦化工程によって、図8に示す構造と同様であるが、比較的厚い第2のスペーサ7を有する構造が提供される。図11と比較すると、この構造体では、オリジナルピッチ10のスペース内には第2のスペーサ7が常に3つあるという、第2のスペーサ7の周期パターンを提供していることが示される。従って新しいピッチは、オリジナルピッチの3分の1である。
図13は、第1のスペーサ5と、補充層8とを除去した後に得られる更なる中間構造体の構造を示している。残留した第2のスペーサ7は全て、幅が同じであると共に、等間隔で隔てられている。
図14は、第2のスペーサ7が除去されて、第1のスペーサ5と補充層8とが基板1上に残された、相補的な構造を示している。第1のスペーサ5と、補充層8の上記部分とが同じ厚さになるように寸法が調節されているため、図14に示す構造体の構造は、オリジナルピッチ10の周期パターンの3分の1を有している。
図15に示す構造体は、図13に示した構造体において、第2のスペーサ7のパターンと、基板1との間にデバイス層9をさらに有している。この実施形態では、本方法によって得られる微細化されたオリジナルピッチのパターンをマスクとして用いて、従来よりもピッチが小さい周期パターンに別のデバイス層9を構築できることを示している。この実施形態では、第2のスペーサ7は、ハードマスクに適した材料(例えば窒化ケイ素など)から形成されていることが好ましい。同様に、図7、図9、または図14に示すパターンだけでなく、本方法によって得られる別の標準的なパターンを別の構築工程においてマスクとして用いることができる。上記の構築工程によって、デバイス層9は小さいピッチで構築される。デバイス層9は、具体的にはゲート誘電体を有したワード線層、特にワード線スタック内に構築されたメモリ層、ポリシリコン層、金属または金属シリサイド層、および電気的絶縁最上層を有したワード線層であってよい。しかし、本方法によるピッチ微細化の応用は、メモリデバイスに限定されるものではない。
本発明およびその利点について詳細な説明してきた。しかし言うまでも無く、請求項によって規定された精神および範囲から逸脱することなく、様々な変更、置き換え、および修正を加えることができる。
本発明に係る方法の第1形態において第1の中間構造体として得られる構造の断面図である。 図1に示す中間構造体に更にスペーサ層を塗布した後の中間構造体の構造の断面図である。 図2に示す中間構造体において、スペーサを形成した後の構造を示す断面図である。 図3に示す中間構造体に第2のスペーサ層を塗布した後の構造を示す断面図である。 図4に示す中間構造体に第2のスペーサを形成した後、および補充層を塗布した後の構造を示す断面図である。 図5に示す中間構造体に対して平坦化工程を行った後の構造を示す断面図である。 図6に示す中間構造体に対して、ハーフピッチの周期パターンを形成するために上記スペーサを除去した後の構造を示す断面図である。 本発明に係る方法の他の形態によって得られる中間構造体の構造を示しており、図6に示した構造体とは横寸法が異なっている構造を示す断面図である。 図7に示した構造体の形態に相当し、図8の中間構造体から得られる構造を示す断面図である。 図2に示した中間構造体の別の実施形態の構造を示した断面図であり、図2に示した構造体とは横寸法が異なっている。 図10に示した構造体において第2のスペーサ層を塗布した後に得られる更なる中間構造体を示した断面図である。 図11に示す構造において第2のスペーサ層を形成した後、および補充層を塗布した後の構造を示す断面図である。 図7に示す構造において、図12に沿った中間構造体から得られる構造を示す図である。 図13に示す構造において、図12の中間構造体から得られる更なる構造を示す断面図である。 本発明に係る方法の他の形態によって得られる中間構造体の断面図である。
符号の説明
1 基板
2 パターン層
3 ハードマスク
4 第1のスペーサ層
5 第1のスペーサ
6 第2のスペーサ層
7 第2のスペーサ
8 補充層
9 デバイス層
10 オリジナルピッチ

Claims (6)

  1. 半導体技術における微細ピッチの製造方法であって、
    互いに等間隔、かつ平行に延びて配置される、同一構造の側壁および同一の横寸法を有する複数の細片様構造が形成されているパターン層を主面に有する基板を準備する工程と、
    上記細片様構造及びパターン層の上に、第1のスペーサ層を塗布する工程と、
    上記第1のスペーサ層を異方性エッチングして、上記細片様構造の側壁に第1のスペーサを形成する工程と、
    主な側壁を2つ有する上記第1のスペーサを残すように、上記パターン層を除去する工程と、
    上記パターン層を除去した後に、上記第1のスペーサを覆うように第2のスペーサ層を塗布する工程と、
    上記第2のスペーサ層を異方性エッチングして、上記第1のスペーサの上記側壁に第2のスペーサを形成する工程であって、隣り合う2つの第1のスペーサにおける対向する側壁にそれぞれ設けられた該第2のスペーサ同士の間に空隙が残るように、第2のスペーサ層が異方性エッチングされる工程と、
    上記空隙に補充層を充填する工程と、
    上記補充層を充填した後に、上記第1のスペーサと、上記第2のスペーサと、上記補充層との上部表面を平坦にする工程と、
    上記第1のスペーサと、上記第2のスペーサと、上記補充層と、上記第1のスペーサ及び第2のスペーサと、上記第1のスペーサ及び補充層と、第2のスペーサ及び補充層とからなる群から選択される少なくとも1つを除去する工程と、を含むことを特徴とする製造方法。
  2. 上記第2のスペーサ同士が等間隔に配置されるように、上記第1のスペーサと上記第2のスペーサとを形成することを特徴とする請求項1に記載の製造方法。
  3. 上記第1のスペーサと上記補充層とは、上記基板の主面に交互に配置しており、
    第1のスペーサと上記補充層との間には、各々上記第2のスペーサが形成されていることを特徴とする請求項2に記載の製造方法。
  4. 上記パターン層の隣り合う2つの上記細片様構造の間に形成された第1のスペーサ同士の距離が、上記細片様構造の横寸法の2分の1未満となるように、上記パターン層の上記細片様部分、及び上記第1のスペーサを形成するとともに、
    等間隔で、かつ上記パターン層の隣り合う2つの細片様部分の間に形成された第1のスペーサ同士の距離と等しい横寸法をもつように、上記第2のスペーサを形成することを特徴とする請求項1に記載の製造方法。
  5. 隣り合う2つの上記補充層の間には、3つの上記第2のスペーサが、第2のスペーサと第2のスペーサとの間に上記第1のスペーサを挟むようにして設けられていることを特徴とする請求項4に記載の製造方法。
  6. 第2のスペーサ及び補充層と、第1のスペーサ及び補充層と、第1のスペーサ及び第2のスペーサと、第2のスペーサと、第1のスペーサとからなる群から選択された少なくとも1つの層をマスクとして利用して、細片様構造の下部において別の層または別の連続層をエッチングすることを特徴とする請求項1から請求項5のいずれか一項に記載の製造方法。
JP2006206784A 2005-08-01 2006-07-28 半導体技術における微細ピッチの製造方法 Expired - Fee Related JP4405484B2 (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/194,489 US7291560B2 (en) 2005-08-01 2005-08-01 Method of production pitch fractionizations in semiconductor technology

Publications (2)

Publication Number Publication Date
JP2007043156A true JP2007043156A (ja) 2007-02-15
JP4405484B2 JP4405484B2 (ja) 2010-01-27

Family

ID=36888553

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006206784A Expired - Fee Related JP4405484B2 (ja) 2005-08-01 2006-07-28 半導体技術における微細ピッチの製造方法

Country Status (4)

Country Link
US (1) US7291560B2 (ja)
JP (1) JP4405484B2 (ja)
CN (1) CN100446216C (ja)
GB (1) GB2428882B (ja)

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009099792A (ja) * 2007-10-17 2009-05-07 Toshiba Corp 半導体装置の製造方法
JP2009164205A (ja) * 2007-12-28 2009-07-23 Tokyo Electron Ltd パターン形成方法、半導体製造装置及び記憶媒体
JP2010511306A (ja) * 2006-11-29 2010-04-08 マイクロン テクノロジー, インク. 半導体デバイスのクリティカルディメンジョンを縮小する方法、及び、部分的に作製される縮小クリティカルディメンジョンを有する半導体デバイス
US8222159B2 (en) 2008-08-25 2012-07-17 Elpida Memory, Inc. Manufacturing method of semiconductor device
KR20130092471A (ko) 2012-02-10 2013-08-20 도오꾜오까고오교 가부시끼가이샤 패턴 형성 방법
US8592978B2 (en) 2009-03-30 2013-11-26 Kabushiki Kaisha Toshiba Method of fabricating semiconductor device and the semiconductor device
US8835321B2 (en) 2011-02-14 2014-09-16 Samsung Electronics Co., Ltd. Method for forming fine patterns of a semiconductor device
US8912655B2 (en) 2011-05-27 2014-12-16 Kabushiki Kaisha Toshiba Semiconductor memory device, method of manufacturing the same and method of forming contact structure
WO2016093087A1 (ja) * 2014-12-09 2016-06-16 東京エレクトロン株式会社 パターン形成方法、ガスクラスターイオンビーム照射装置及びパターン形成装置
KR101671082B1 (ko) 2011-12-29 2016-10-31 인텔 코포레이션 스페이서 보조 피치 분할 리소그래피
KR101751476B1 (ko) * 2011-10-17 2017-06-28 삼성전자주식회사 반도체 기억 소자의 형성 방법
KR20180025273A (ko) * 2016-08-31 2018-03-08 도쿄엘렉트론가부시키가이샤 자가 정렬 다중 패터닝 방법들 및 시스템들에 대한 인시츄 스페이서 재성형

Families Citing this family (111)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7151040B2 (en) 2004-08-31 2006-12-19 Micron Technology, Inc. Methods for increasing photo alignment margins
US7910288B2 (en) 2004-09-01 2011-03-22 Micron Technology, Inc. Mask material conversion
US7115525B2 (en) * 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
US7655387B2 (en) 2004-09-02 2010-02-02 Micron Technology, Inc. Method to align mask patterns
US7253118B2 (en) 2005-03-15 2007-08-07 Micron Technology, Inc. Pitch reduced patterns relative to photolithography features
US7390746B2 (en) 2005-03-15 2008-06-24 Micron Technology, Inc. Multiple deposition for integration of spacers in pitch multiplication process
US7611944B2 (en) 2005-03-28 2009-11-03 Micron Technology, Inc. Integrated circuit fabrication
US7429536B2 (en) 2005-05-23 2008-09-30 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7560390B2 (en) 2005-06-02 2009-07-14 Micron Technology, Inc. Multiple spacer steps for pitch multiplication
US7396781B2 (en) 2005-06-09 2008-07-08 Micron Technology, Inc. Method and apparatus for adjusting feature size and position
US7413981B2 (en) 2005-07-29 2008-08-19 Micron Technology, Inc. Pitch doubled circuit layout
US8123968B2 (en) 2005-08-25 2012-02-28 Round Rock Research, Llc Multiple deposition for integration of spacers in pitch multiplication process
US7816262B2 (en) 2005-08-30 2010-10-19 Micron Technology, Inc. Method and algorithm for random half pitched interconnect layout with constant spacing
US7829262B2 (en) 2005-08-31 2010-11-09 Micron Technology, Inc. Method of forming pitch multipled contacts
US7759197B2 (en) 2005-09-01 2010-07-20 Micron Technology, Inc. Method of forming isolated features using pitch multiplication
US7572572B2 (en) 2005-09-01 2009-08-11 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7557032B2 (en) 2005-09-01 2009-07-07 Micron Technology, Inc. Silicided recessed silicon
US7776744B2 (en) 2005-09-01 2010-08-17 Micron Technology, Inc. Pitch multiplication spacers and methods of forming the same
US7416943B2 (en) 2005-09-01 2008-08-26 Micron Technology, Inc. Peripheral gate stacks and recessed array gates
US7393789B2 (en) 2005-09-01 2008-07-01 Micron Technology, Inc. Protective coating for planarization
US7687342B2 (en) 2005-09-01 2010-03-30 Micron Technology, Inc. Method of manufacturing a memory device
US7476933B2 (en) 2006-03-02 2009-01-13 Micron Technology, Inc. Vertical gated access transistor
US7842558B2 (en) 2006-03-02 2010-11-30 Micron Technology, Inc. Masking process for simultaneously patterning separate regions
US7902074B2 (en) 2006-04-07 2011-03-08 Micron Technology, Inc. Simplified pitch doubling process flow
US8003310B2 (en) 2006-04-24 2011-08-23 Micron Technology, Inc. Masking techniques and templates for dense semiconductor fabrication
US7488685B2 (en) 2006-04-25 2009-02-10 Micron Technology, Inc. Process for improving critical dimension uniformity of integrated circuit arrays
US7795149B2 (en) 2006-06-01 2010-09-14 Micron Technology, Inc. Masking techniques and contact imprint reticles for dense semiconductor fabrication
US7723009B2 (en) 2006-06-02 2010-05-25 Micron Technology, Inc. Topography based patterning
US8852851B2 (en) * 2006-07-10 2014-10-07 Micron Technology, Inc. Pitch reduction technology using alternating spacer depositions during the formation of a semiconductor device and systems including same
KR20080012055A (ko) * 2006-08-02 2008-02-11 주식회사 하이닉스반도체 마스크 패턴 형성 방법
US7611980B2 (en) 2006-08-30 2009-11-03 Micron Technology, Inc. Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures
US7666578B2 (en) 2006-09-14 2010-02-23 Micron Technology, Inc. Efficient pitch multiplication process
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US8143156B2 (en) * 2007-06-20 2012-03-27 Sandisk Technologies Inc. Methods of forming high density semiconductor devices using recursive spacer technique
US8563229B2 (en) 2007-07-31 2013-10-22 Micron Technology, Inc. Process of semiconductor fabrication with mask overlay on pitch multiplied features and associated structures
US7737039B2 (en) 2007-11-01 2010-06-15 Micron Technology, Inc. Spacer process for on pitch contacts and related structures
US7659208B2 (en) * 2007-12-06 2010-02-09 Micron Technology, Inc Method for forming high density patterns
US7790531B2 (en) 2007-12-18 2010-09-07 Micron Technology, Inc. Methods for isolating portions of a loop of pitch-multiplied material and related structures
US8030218B2 (en) 2008-03-21 2011-10-04 Micron Technology, Inc. Method for selectively modifying spacing between pitch multiplied structures
US20090246706A1 (en) * 2008-04-01 2009-10-01 Applied Materials, Inc. Patterning resolution enhancement combining interference lithography and self-aligned double patterning techniques
US7989307B2 (en) 2008-05-05 2011-08-02 Micron Technology, Inc. Methods of forming isolated active areas, trenches, and conductive lines in semiconductor structures and semiconductor structures including the same
US10151981B2 (en) * 2008-05-22 2018-12-11 Micron Technology, Inc. Methods of forming structures supported by semiconductor substrates
JP2009289974A (ja) * 2008-05-29 2009-12-10 Toshiba Corp 半導体装置の製造方法
US8076208B2 (en) 2008-07-03 2011-12-13 Micron Technology, Inc. Method for forming transistor with high breakdown voltage using pitch multiplication technique
JP2010056443A (ja) * 2008-08-29 2010-03-11 Toshiba Corp 不揮発性半導体メモリ及びその製造方法
US8101497B2 (en) 2008-09-11 2012-01-24 Micron Technology, Inc. Self-aligned trench formation
US8492282B2 (en) 2008-11-24 2013-07-23 Micron Technology, Inc. Methods of forming a masking pattern for integrated circuits
US8273634B2 (en) * 2008-12-04 2012-09-25 Micron Technology, Inc. Methods of fabricating substrates
US8247302B2 (en) 2008-12-04 2012-08-21 Micron Technology, Inc. Methods of fabricating substrates
US8796155B2 (en) * 2008-12-04 2014-08-05 Micron Technology, Inc. Methods of fabricating substrates
US7989355B2 (en) * 2009-02-12 2011-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of pitch halving
US7862962B2 (en) * 2009-01-20 2011-01-04 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit layout design
JP5330004B2 (ja) * 2009-02-03 2013-10-30 株式会社東芝 半導体装置の製造方法
US8268543B2 (en) 2009-03-23 2012-09-18 Micron Technology, Inc. Methods of forming patterns on substrates
US9330934B2 (en) * 2009-05-18 2016-05-03 Micron Technology, Inc. Methods of forming patterns on substrates
US7972926B2 (en) * 2009-07-02 2011-07-05 Micron Technology, Inc. Methods of forming memory cells; and methods of forming vertical structures
US8110466B2 (en) * 2009-10-27 2012-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Cross OD FinFET patterning
KR101098062B1 (ko) * 2009-11-05 2011-12-26 주식회사 하이닉스반도체 반도체 소자의 형성방법
US20110129991A1 (en) * 2009-12-02 2011-06-02 Kyle Armstrong Methods Of Patterning Materials, And Methods Of Forming Memory Cells
US8026178B2 (en) * 2010-01-12 2011-09-27 Sandisk 3D Llc Patterning method for high density pillar structures
KR101736983B1 (ko) 2010-06-28 2017-05-18 삼성전자 주식회사 반도체 소자 및 반도체 소자의 패턴 형성 방법
US9130058B2 (en) 2010-07-26 2015-09-08 Taiwan Semiconductor Manufacturing Company, Ltd. Forming crown active regions for FinFETs
US8518788B2 (en) 2010-08-11 2013-08-27 Micron Technology, Inc. Methods of forming a plurality of capacitors
US8455341B2 (en) 2010-09-02 2013-06-04 Micron Technology, Inc. Methods of forming features of integrated circuitry
US8314034B2 (en) * 2010-12-23 2012-11-20 Intel Corporation Feature size reduction
CN102693898B (zh) * 2011-03-21 2016-02-24 华邦电子股份有限公司 缩小间距的方法
US8575032B2 (en) 2011-05-05 2013-11-05 Micron Technology, Inc. Methods of forming a pattern on a substrate
JP2013004669A (ja) * 2011-06-15 2013-01-07 Toshiba Corp パターン形成方法、電子デバイスの製造方法及び電子デバイス
US9076680B2 (en) 2011-10-18 2015-07-07 Micron Technology, Inc. Integrated circuitry, methods of forming capacitors, and methods of forming integrated circuitry comprising an array of capacitors and circuitry peripheral to the array
US9177794B2 (en) 2012-01-13 2015-11-03 Micron Technology, Inc. Methods of patterning substrates
KR101881750B1 (ko) 2012-02-29 2018-07-25 삼성전자주식회사 정보 저장 소자 및 그 제조 방법
US9153440B2 (en) * 2012-03-23 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a semiconductor device
US8629048B1 (en) 2012-07-06 2014-01-14 Micron Technology, Inc. Methods of forming a pattern on a substrate
KR20140008863A (ko) * 2012-07-12 2014-01-22 에스케이하이닉스 주식회사 더블 spt를 이용한 반도체 소자의 미세패턴 형성방법
KR102015568B1 (ko) * 2012-08-27 2019-08-28 삼성전자주식회사 반도체 소자의 제조 방법
US9711368B2 (en) * 2013-04-15 2017-07-18 United Microelectronics Corp. Sidewall image transfer process
US9406331B1 (en) 2013-06-17 2016-08-02 Western Digital (Fremont), Llc Method for making ultra-narrow read sensor and read transducer device resulting therefrom
US8969206B1 (en) 2013-09-04 2015-03-03 Sandisk Technologies Inc. Triple patterning NAND flash memory with stepped mandrel
US8932955B1 (en) * 2013-09-04 2015-01-13 Sandisk Technologies Inc. Triple patterning NAND flash memory with SOC
US9613806B2 (en) 2013-09-04 2017-04-04 Sandisk Technologies Llc Triple patterning NAND flash memory
US9177797B2 (en) * 2013-12-04 2015-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography using high selectivity spacers for pitch reduction
US9136106B2 (en) * 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
CN103972057A (zh) * 2014-05-27 2014-08-06 上海华力微电子有限公司 一种半导体精细特征尺寸图形的形成方法
US9224744B1 (en) 2014-09-03 2015-12-29 Sandisk Technologies Inc. Wide and narrow patterning using common process
KR102339781B1 (ko) 2014-12-19 2021-12-15 삼성전자주식회사 반도체 소자 및 그 제조 방법
US9673059B2 (en) * 2015-02-02 2017-06-06 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning integration schemes
US9390922B1 (en) 2015-02-06 2016-07-12 Sandisk Technologies Llc Process for forming wide and narrow conductive lines
US9472414B2 (en) * 2015-02-13 2016-10-18 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned multiple spacer patterning process
US9425047B1 (en) 2015-02-19 2016-08-23 Sandisk Technologies Llc Self-aligned process using variable-fluidity material
US9312064B1 (en) 2015-03-02 2016-04-12 Western Digital (Fremont), Llc Method to fabricate a magnetic head including ion milling of read gap using dual layer hard mask
US9502428B1 (en) 2015-04-29 2016-11-22 Sandisk Technologies Llc Sidewall assisted process for wide and narrow line formation
US9595444B2 (en) 2015-05-14 2017-03-14 Sandisk Technologies Llc Floating gate separation in NAND flash memory
US10957561B2 (en) 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US9837286B2 (en) 2015-09-04 2017-12-05 Lam Research Corporation Systems and methods for selectively etching tungsten in a downstream reactor
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
WO2017111822A1 (en) * 2015-12-24 2017-06-29 Intel Corporation Pitch division using directed self-assembly
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US9640409B1 (en) * 2016-02-02 2017-05-02 Lam Research Corporation Self-limited planarization of hardmask
US10147588B2 (en) 2016-02-12 2018-12-04 Lam Research Corporation System and method for increasing electron density levels in a plasma of a substrate processing system
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US9882028B2 (en) * 2016-06-29 2018-01-30 International Business Machines Corporation Pitch split patterning for semiconductor devices
WO2018031007A1 (en) 2016-08-10 2018-02-15 Intel Corporation Quantum dot array devices
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
US9911619B1 (en) * 2016-10-12 2018-03-06 Globalfoundries Inc. Fin cut with alternating two color fin hardmask
US10170328B1 (en) * 2017-08-28 2019-01-01 Nanya Technology Corporation Semiconductor pattern having semiconductor structures of different lengths
CN110690117B (zh) * 2018-07-05 2023-10-20 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US11792973B2 (en) 2020-07-28 2023-10-17 Changxin Memory Technologies, Inc. Storage device and forming method having a strip-shaped bitline contact structure
CN112786536B (zh) * 2021-01-29 2022-07-08 长鑫存储技术有限公司 存储器的制备方法
CN116741626A (zh) * 2022-03-04 2023-09-12 长鑫存储技术有限公司 一种半导体结构的制备方法及半导体结构

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5286410A (en) * 1988-03-10 1994-02-15 Merck Patent Gesellschaft Mit Beschrankter Haftung Supertwist liquid-crystal display
US5296410A (en) 1992-12-16 1994-03-22 Samsung Electronics Co., Ltd. Method for separating fine patterns of a semiconductor device
JP3715021B2 (ja) * 1996-04-09 2005-11-09 Jsr株式会社 液状硬化性樹脂組成物
US6121123A (en) * 1997-09-05 2000-09-19 Advanced Micro Devices, Inc. Gate pattern formation using a BARC as a hardmask
US6063688A (en) 1997-09-29 2000-05-16 Intel Corporation Fabrication of deep submicron structures and quantum wire transistors using hard-mask transistor width definition
US6180468B1 (en) * 1998-10-23 2001-01-30 Advanced Micro Devices Inc. Very low thermal budget channel implant process for semiconductors
US6248637B1 (en) * 1999-09-24 2001-06-19 Advanced Micro Devices, Inc. Process for manufacturing MOS Transistors having elevated source and drain regions
US6133132A (en) * 2000-01-20 2000-10-17 Advanced Micro Devices, Inc. Method for controlling transistor spacer width
US6429123B1 (en) 2000-10-04 2002-08-06 Vanguard International Semiconductor Corporation Method of manufacturing buried metal lines having ultra fine features
US6667237B1 (en) 2000-10-12 2003-12-23 Vram Technologies, Llc Method and apparatus for patterning fine dimensions
CN1153273C (zh) * 2001-03-29 2004-06-09 华邦电子股份有限公司 一种具有牺牲型填充柱的自行对准接触方法
CN1146034C (zh) * 2001-05-14 2004-04-14 世界先进积体电路股份有限公司 下埋式微细金属连线的制造方法
US6638441B2 (en) 2002-01-07 2003-10-28 Macronix International Co., Ltd. Method for pitch reduction
US6743368B2 (en) * 2002-01-31 2004-06-01 Hewlett-Packard Development Company, L.P. Nano-size imprinting stamp using spacer technique

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8836083B2 (en) 2006-11-29 2014-09-16 Micron Technology, Inc. Methods to reduce the critical dimension of semiconductor devices and related semiconductor devices
US8338304B2 (en) 2006-11-29 2012-12-25 Micron Technology, Inc. Methods to reduce the critical dimension of semiconductor devices and related semiconductor devices
JP2010511306A (ja) * 2006-11-29 2010-04-08 マイクロン テクノロジー, インク. 半導体デバイスのクリティカルディメンジョンを縮小する方法、及び、部分的に作製される縮小クリティカルディメンジョンを有する半導体デバイス
JP2009099792A (ja) * 2007-10-17 2009-05-07 Toshiba Corp 半導体装置の製造方法
JP2009164205A (ja) * 2007-12-28 2009-07-23 Tokyo Electron Ltd パターン形成方法、半導体製造装置及び記憶媒体
US8222159B2 (en) 2008-08-25 2012-07-17 Elpida Memory, Inc. Manufacturing method of semiconductor device
US8592978B2 (en) 2009-03-30 2013-11-26 Kabushiki Kaisha Toshiba Method of fabricating semiconductor device and the semiconductor device
US8835321B2 (en) 2011-02-14 2014-09-16 Samsung Electronics Co., Ltd. Method for forming fine patterns of a semiconductor device
US8912655B2 (en) 2011-05-27 2014-12-16 Kabushiki Kaisha Toshiba Semiconductor memory device, method of manufacturing the same and method of forming contact structure
KR101751476B1 (ko) * 2011-10-17 2017-06-28 삼성전자주식회사 반도체 기억 소자의 형성 방법
KR101671082B1 (ko) 2011-12-29 2016-10-31 인텔 코포레이션 스페이서 보조 피치 분할 리소그래피
US9459535B2 (en) 2012-02-10 2016-10-04 Tokyo Ohka Kogyo Co., Ltd. Method of forming pattern
KR20130092471A (ko) 2012-02-10 2013-08-20 도오꾜오까고오교 가부시끼가이샤 패턴 형성 방법
WO2016093087A1 (ja) * 2014-12-09 2016-06-16 東京エレクトロン株式会社 パターン形成方法、ガスクラスターイオンビーム照射装置及びパターン形成装置
JPWO2016093087A1 (ja) * 2014-12-09 2017-09-07 東京エレクトロン株式会社 パターン形成方法、ガスクラスターイオンビーム照射装置及びパターン形成装置
KR20180025273A (ko) * 2016-08-31 2018-03-08 도쿄엘렉트론가부시키가이샤 자가 정렬 다중 패터닝 방법들 및 시스템들에 대한 인시츄 스페이서 재성형
KR102250213B1 (ko) * 2016-08-31 2021-05-07 도쿄엘렉트론가부시키가이샤 자가 정렬 다중 패터닝 방법들 및 시스템들에 대한 인시츄 스페이서 재성형

Also Published As

Publication number Publication date
GB2428882B (en) 2007-12-12
GB0613201D0 (en) 2006-08-09
JP4405484B2 (ja) 2010-01-27
CN1909205A (zh) 2007-02-07
CN100446216C (zh) 2008-12-24
GB2428882A (en) 2007-02-07
US20070026684A1 (en) 2007-02-01
US7291560B2 (en) 2007-11-06

Similar Documents

Publication Publication Date Title
JP4405484B2 (ja) 半導体技術における微細ピッチの製造方法
US10840097B2 (en) Semiconductor methods and devices
US7312158B2 (en) Method of forming pattern
JP5052814B2 (ja) 微細ピッチのハードマスクを用いた半導体素子の微細パターン形成方法
US8871648B2 (en) Method for forming high density patterns
TWI299526B (en) Methods for forming arrays of small, closely spaced features
WO2008061031A1 (en) Methods of etching a pattern layer to form staggered heights therein and intermediate semiconductor device structures
TW200901278A (en) Method of fabricating pattern in semiconductor device using spacer
TW201742114A (zh) 使用具有多種材料之一層的基板圖案化方法
JP2012526382A (ja) 集積回路の製造において複数の導電線を形成する方法、導電線アレイを形成する方法、および集積回路
US20150035064A1 (en) Inverse side-wall image transfer
US20120175745A1 (en) Methods for fabricating semiconductor devices and semiconductor devices using the same
US20220328494A1 (en) Memory forming method and memory
TW201405712A (zh) 製造用於垂直通道dram的自對準包埋位元線的方法
KR20090049524A (ko) 스페이서를 이용한 반도체소자의 미세 패턴 형성 방법
JP2006245198A (ja) 半導体装置の製造方法
JP4095588B2 (ja) 集積回路にフォトリソグラフィ解像力を超える最小ピッチを画定する方法
JP2008091720A (ja) 半導体装置の製造方法
TW201545201A (zh) 用於平坦化之基板圖案化方法
JP2009010156A (ja) パターン形成方法
JP3841345B2 (ja) 半導体素子の微細パターンの形成方法
KR101073134B1 (ko) 스페이서패터닝을 이용한 매립패턴 형성 방법
US20090130854A1 (en) Patterning structure and method for semiconductor devices
KR100911675B1 (ko) 반도체 소자의 커패시터 형성 방법
CN116072537A (zh) 半导体结构的制造方法及半导体结构

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20090716

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090721

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090910

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20091006

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20091104

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121113

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131113

Year of fee payment: 4

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees