JP2006518927A - 半導体相互接続構造上に金属層を堆積するための方法 - Google Patents

半導体相互接続構造上に金属層を堆積するための方法 Download PDF

Info

Publication number
JP2006518927A
JP2006518927A JP2004558112A JP2004558112A JP2006518927A JP 2006518927 A JP2006518927 A JP 2006518927A JP 2004558112 A JP2004558112 A JP 2004558112A JP 2004558112 A JP2004558112 A JP 2004558112A JP 2006518927 A JP2006518927 A JP 2006518927A
Authority
JP
Japan
Prior art keywords
layer
depositing
metal conductor
liner layer
opening
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2004558112A
Other languages
English (en)
Other versions
JP4767541B2 (ja
Inventor
マルホトラ、サンドラ
サイモン、アンドリュー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2006518927A publication Critical patent/JP2006518927A/ja
Application granted granted Critical
Publication of JP4767541B2 publication Critical patent/JP4767541B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76844Bottomless liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

【課題】 エレクトロマイグレーション抵抗が向上し、応力移動が軽減し、TDDBを回避する金属相互接続、特に銅相互接続を製造するための方法を提供すること。
【解決手段】 半導体ウエハのための相互接続構造上に金属層を堆積するための方法を開示する。この方法において、金属導体を誘電層によって被覆する。誘電層をパターニングして、金属導体を露出させる。次いで、パターン内にライナ層を堆積する。次いで、ライナ層をアルゴン・スパッタ・エッチングして、ライナ層を除去すると共に金属導体を露出させる。アルゴン・スパッタ・エッチングでは、ライナ層はパターンの側壁に再堆積する。最後に、パターン内に追加層を堆積して、再堆積したライナ層を覆う。

Description

本出願は、本願と同一日に出願された、「AMethod for Depositing a Metal Layer on a Semiconductor Interconnect StructureHaving a Capping Layer」と題する米国特許出願連続番号第10/318,606号に関連する。
本発明は、半導体処理に関し、更に具体的には、銅を用いた最新の相互接続構造を組み込んだ半導体ウエハの処理に関する。
銅を用いた最新の相互接続構造には、機能性能に関して、多数の技術的課題がある。これらの中で重要なのは、熱サイクル下での安定した低接触抵抗ならびにエレクトロマイグレーションおよび応力移動下での高い信頼性を達成することである。
エレクトロマイグレーションは、電流が通ることに応答した銅等の導体内でのイオン移動であり、究極的には、導体の開路(断線)故障につながる恐れがある。
特に銅金属線および相互接続においてエレクトロマイグレーションを防止または抑制するために、様々な従来技術の手法が開発されている。例えば、Hsiao等の米国特許番号第6,191,029号は、金属相互接続を製造するための方法を開示する。その開示は、引用により本願にも含まれるものとする。この方法は、トレンチ内にバリア金属層を堆積し、銅等の導電金属を堆積してトレンチを充填し、銅の一部をエッチングにより除去してトレンチ内にくぼみを形成し、くぼみに上部バリア層および誘電材料を充填することを含む。銅の上のコンフォーマルな上部バリア層により、エレクトロマイグレーション抵抗が向上する。
Chenの米国特許番号第6,200,890号は、金属相互接続を製造するための方法を開示する。その開示は引用により本願にも含まれるものとする。この方法は、銅ワイヤ形成後に誘電層の一部をエッチングにより除去して、誘電層の表面から銅ワイヤが突出するようにし、次いで突出した銅ワイヤの上に上部バリア層を形成してエレクトロマイグレーションおよび電流漏れを防ぐことを含む。
Nogami等の米国特許番号第6,214,731号は、金属相互接続を製造するためのプロセスを開示する。その開示は引用により本願にも含まれるものとする。このプロセスは、トレンチ内にバリア金属層を堆積し、バリア金属層をシランで処理してシリコン層を形成し、シリコン層上に銅を堆積してトレンチを充填し、これによって銅およびシリコンが反応してバリア金属層と堆積した銅との間に銅シリサイド層を形成することを含む。銅シリサイド層によって、界面欠陥密度およびエレクトロマイグレーション抵抗が向上する。
米国特許6,191,029号 米国特許6,200,890号 米国特許6,214,731号
エレクトロマイグレーションの既知の問題に対処するために様々な従来技術の方法が存在するにもかかわらず、従来技術では、従来用いられている金属層堆積ステップによってエレクトロマイグレーションの問題のためになされた貢献は評価されていないようである。これらの課題を実現できないことは、有機誘電膜の使用により特に深刻になっている。例えば、有機誘電体においてトレンチおよびバイア等の相互接続構造により観察される側壁およびアンダーカット・プロファイルは、それ自体、金属充填の前にかかるトレンチおよびバイアの高品質のライナおよびシード層範囲を達成する際に前例のない困難を呈する。有機誘電膜の別の問題は、いわゆる時間依存絶縁破壊(TDDB:time dependent dielectric breakdown)であり、例えば銅が、不完全な側壁層を貫通し、誘電材料を汚染する。従って、エレクトロマイグレーション抵抗が向上し、応力移動が軽減し、TDDBを回避する金属相互接続、特に銅相互接続を製造するための方法に対する要望は存在し続けている。
現在既知の金属バリア方式は、とりわけ、パターニングした誘電体のアルゴン・スパッタ洗浄を含む。逆に、本出願人に既知のエレクトロマイグレーションおよびTDDBの問題に対する全ての既存の解決策は、金属層の堆積または一連の金属層を伴い、順次的な金属層堆積間にスパッタリング・ステップは考えられない。実際、堆積ツールの製造業者は、遮蔽の短絡に関する懸念のため、また、金属材料がスパッタ・チャンバのドームを覆い、充分に接着せず、最終的に以降のウエハ上に剥がれ落ち、過剰な異物による歩留まり損失を引き起こすので、金属層上のアルゴン・スパッタリングに対して反対している。
本発明の第1の態様によれば、半導体ウエハのための相互接続構造上に金属層を堆積するための方法が提供される。この方法は、
(a)誘電層によって被覆された金属導体を含む相互接続構造を設けるステップと、
(b)誘電層をパターニングして金属導体を露出させる開口を形成するステップと、
(c)開口の壁および底部にライナ層を堆積するステップと、
(d)ライナ層をスパッタ・エッチングして金属導体を露出させ、ライナ層を開口の側壁に少なくとも部分的に再堆積させるステップと、
(e)開口の壁に少なくとも1つの追加層を堆積し、再堆積したライナ層を覆うステップと、
を有する。
本発明の第2の態様によれば、半導体ウエハのための相互接続構造上に金属層を堆積するための方法が提供される。この方法は、
(a)キャッピング層および誘電層によって被覆された金属導体を含む相互接続構造を設けるステップと、
(b)誘電層およびキャッピング層をパターニングして金属導体を露出させる開口を形成するステップと、
(c)開口の壁および底部にライナ層を堆積するステップと、
(d)ライナ層をスパッタ・エッチングして金属導体を露出させ、ライナ層を開口の側壁に少なくとも部分的に再堆積させるステップと、
(e)開口の壁に少なくとも1つの追加層を堆積し、再堆積したライナ層を覆うステップと、
を有する。
新規であり、本発明の特徴的な要素であると考えられる本発明の機構については、特許請求の範囲に具体的に述べる。図面は、例示の目的のためのみのものであり、一定の縮尺通りではない。しかしながら、本発明自体は、構成および動作方法の双方について、添付図面と関連付けて以下に取り上げる詳細な説明を参照することにより、最も良く理解することができる。
図面を更に詳細に参照し、具体的に図1から図4を参照すると、本発明に従ったプロセスの第1の実施形態が示されている。最初に図1を参照すると、2つのレベルの半導体ウエハ10が示されている。第1のレベルは、レベル間誘電体(ILD)層12を含む。明確さのため、下にあるシリコンは図示しない。次のレベルでは、従来の技法を用いて、ILD12の上にILD18が堆積されている。
ILD12、18には、どんな誘電材料も用いることができる。しかしながら、現在のサブ・ミクロン高密度集積回路の要求では、ILD12、18が好ましくは有機誘電層を構成し、更に好適には低比誘電率(k)有機誘電層すなわち約3.0以下と一般に規定される低誘電率を有する有機誘電材料を構成することが必要である。かかる低k有機誘電材料の1つの好適な例は、SiLK(Dow Chemicalから入手可能なポリ(アリーレン・エーテル))である。ILD12、18の組成は、有機低k誘電体に限定されない。むしろ、それらは、ILDとして有用であるとして当業者に既知のどんな誘電体で構成することも可能である。金属導電線14は、銅、タングステン、またはアルミニウムを含むことができる。金属導電線14が第1の金属レベルである場合はタングステンが好ましく、以降のレベルでは銅が好ましい。
ここで図2を参照すると、好ましくは従来のリソグラフィおよびエッチング技法を用いて(例えば反応性イオン・エッチング(RIE))、ILD18上およびこれを貫通して開口20を含む回路パターンがパターニングされている。回路パターンは、例えば、金属導電線14に対する金属導電相互接続を形成するためのトレンチ20aおよびバイア20b等の相互接続構造を含む。図示する相互接続構造は、二重ダマシン構造であるが、この特定の構造は本発明に必須ではない。回路パターンは、製造される多レベル半導体集積回路の所定の設計要件に応じて、かかる半導体ウエハ内に従来の方法で設計された、ライン(トレンチ構造)、バイア(相互接続)、およびパッド等の他の構造およびFET等のデバイス等、いかなる所望のパターンも含むことができる。超大規模集積回路(VLSI)技術では、サブ・ミクロン寸法の個々のフィーチャを有する集積および相互接続回路要素の5または6(またはこれ以上である可能性もある)レベルのパターンを含み得る。
また、図2に示されるように、回路開口20にライナ層(または複数の層)24を堆積する。好ましくは、TaN、Ta、Ti、Ti(Si)N、またはWのライナ層24を、従来の方法で(例えば化学的気層体積(CVD)、プラズマ気相堆積(PVD)または他のプロセスによって)堆積する。
本発明に従って、スパッタ・エッチ(図2に示すようなアルゴン・スパッタ・エッチとして例示する)を利用して、金属導電線14の上のライナ層24を除去する。例示の目的のためにアルゴンを示すが、これは限定ではなく、Ar、He、Ne、Xe、N2、H2、NH3、N22、またはそれらの混合物等のいずれかの純粋ガスを、スパッタ・エッチ・プロセスに用いることができる。必要ならば、金属導電線14もスパッタ・エッチして、図3に示すように金属導電線14をエッチ・バックすることも可能である。金属導電線をエッチ・バックすることは、任意選択的なステップである。本発明者は、ライナ層24をアルゴン・スパッタ・エッチした場合、図3に示すように、ライナ層24の一部が、回路パターン20、更に具体的にはバイア20bの側壁上に再堆積される(22)ことを発見した。かかる再堆積は、回路パターン20、具体的にはバイア20bの底部近傍に多少の余分な材料を提供し(Cuがバイア/トレンチ金属である場合、余分なCu拡散バリア材料として特に有用である)、後に生じる恐れがあるエレクトロマイグレーションおよびTDDBの問題を緩和する。
堆積プロセスの通常の結果として、ILD18の上およびトレンチ20a内のライナ層24の水平部分は、バイア20bの底部におけるライナ層24の水平部分よりも通常はるかに厚い。従って、スパッタ・エッチングの後、ILD18の上およびトレンチ20a内のライナ層24の水平部分は、いくぶん薄くなるものの部分的に残る場合があり、または完全にエッチングにより除去される場合がある。図3は、ライナ層24のかかる水平部分が部分的に残っていることを示す。
スパッタ・エッチングは、真空チャンバにおいて電気的にバイアスされた2つの電極間にウエハを保持し、次いで真空チャンバ内に適切なガスを供給してウエハの表面に衝撃を与えるプラズマを生成するプロセスである。イオン化ガス粒子によって、ウエハの表面をエッチングする。スパッタ・エッチングの間にArガスを用いて、本発明者は、アルゴン・スパッタ・エッチングの好適な動作条件は以下の通りであることを見出した。すなわち、ガス流量20sccmアルゴン、温度20℃、上部電極のバイアス400KHzおよび750W、テーブル・バイアス13.56MHzおよび400W、プロセス圧力約0.6mTorrである。これらの動作条件は、おおよそのものであり、当業者によって認められるように、スパッタ・エッチ・チャンバの製造業者に応じて変動する。
ここで図4を参照すると、ウエハをスパッタ・エッチ・チャンバから取り出して堆積チャンバに戻した後、回路開口20に追加層(または複数の層)26を堆積することができる。好ましくは、TaN、Ta、Ti、Ti(Si)N、W、またはCuの追加層26を、従来の方法で(例えば化学的気層体積(CVD)、プラズマ気相堆積(PVD)または他のプロセスによって)堆積する。銅が金属導電線14の材料となる場合、追加層26の上に銅シード層(図示せず)を堆積することも可能である。好適な実施形態では、ライナ層24はTaNであり、追加層26はTaであり、その後に銅シード層がある。
追加層26の堆積の前に、任意選択的な気中シーケンスを行って、半導体ウエハ10を大気または大気の分圧に露呈することも可能である。更に、気中シーケンスは、スパッタ・エッチングの前または後のいずれかに行うことも可能である。これは、従来のクラスタ・プロセスにおいて、ウエハを大気に露呈することなく真空内で堆積ツール間を移動させるのとは対照的である。かかる気中は、ライナ層24と追加層26との間の接着を増すために望ましい場合がある。
次いで、充填冶金28を従来の方法で堆積して、その後に化学機械的研磨等の平坦化プロセスを行い、その結果、図4に示す構造が得られる。充填冶金28が銅である場合、ウエハを堆積チャンバから取り出し、銅充填冶金28を従来の方法でめっきする。充填冶金28がWまたはAlである場合、WまたはAlを同一の堆積チャンバで堆積するか、または、もっと従来の慣習に合わせて、WまたはAl充填冶金28を処理するために特別に構成したチャンバに移動させる。
ここで図5から8を参照すると、本発明によるプロセスの第2の実施形態が示されている。図5は、ILD12と18との間にキャッピング層16がある以外は、様々な層に使用可能な材料を含めて、先に説明した図1と同一である。キャッピング層16は、半導体ウエハ10の次レベルの処理の間、金属導体線14を、酸化、湿度、および汚染から保護する。従って、キャッピング層16は、導体線14のILD18内への望ましくない拡散を防ぐように機能する。キャッピング層16は、窒化シリコン、炭化シリコン、酸炭化シリコン、水素化炭化シリコン、二酸化シリコン、有機シリケート・ガラス、および他の低k誘電体等、いずれかの適切なキャッピング材料から成るものとすることができる。
図6に示すように、キャッピング層16はILD12および導電線14を覆う。しかしながら、キャッピング層16は、金属線14のみを覆いILD12は覆わない選択的な金属キャップ(例えばCoWP、Ta、またはW)から成る場合もある。
ここで図6を参照すると、好ましくは図2に関連して説明したような従来のリソグラフィおよびエッチング技法を用いて、ILD18およびキャッピング層16上およびこれらを貫通して、回路パターン20がパターニングされて、トレンチ20aおよびバイア20bが形成されている。回路パターン20は、金属導電線14を露出させている。
図7を参照すると、TaN、Ta、Ti、Ti(Si)N、またはWのライナ層24が、従来の方法で堆積されている。ここで、先に述べたガス(またはそれらの混合物)の1つを再び用いて、半導体ウエハ10’にスパッタ・エッチングを行う。例示の目的のためにアルゴン・スパッタ・エッチングを示すが、これは限定ではない。動作パラメータは、先に論じたものと同様である。本発明のこの実施形態では、ライナ層24にスパッタ・エッチングを行い、この結果、図7に示す構造が得られる。この場合も、必要であれば、ライナ層24を超えてスパッタ・エッチングを継続して、金属導体線14をエッチ・バックすることも可能である。ライナ層24は、バイア20bの側壁上に再堆積される(22)。
この場合も、堆積プロセスの結果として、ILD18の上およびトレンチ20a内のライナ層24の水平部分は、バイア20bの底部におけるライナ層24の水平部分よりも通常はるかに厚い。従って、スパッタ・エッチングの後、ILD18の上およびトレンチ20a内のライナ層24の水平部分は、いくぶん薄くなるものの部分的に残る場合があり、または完全にエッチングにより除去される場合がある。図7は、ライナ層24のかかる水平部分が部分的に残っていることを示す。
その後、図8に示すように、TaN、Ta、Ti、Ti(Si)N、W、またはCuの追加層26を、従来の方法で堆積する。次いで、ウエハを堆積チャンバから取り出し、その後、充填冶金28を堆積する。これは、好ましくはめっきした銅であるが、WまたはAlも許容可能である。充填冶金28として銅を用いる場合、通常、先の銅シード層が堆積される。次いで、化学機械的研磨または他の同様のプロセスによって半導体ウエハ10’を平坦化して、図8に示す構造を得る。
この場合も、追加層26の堆積の前に任意選択的な気中シーケンスを行って、ウエハ10を大気または大気の分圧に露呈することが可能である。更に、これは、スパッタ・エッチング・ステップの前または後のいずれかに行うことができる。
本発明の精神から逸脱することなく、ここに記載した実施形態以外の本発明の他の変形も可能であることは、当業者には明らかであろう。従って、かかる変形は、特許請求の範囲によってのみ限定される本発明の範囲内にあると考えられる。
金属層を堆積するための本発明に従ったプロセスの第1の実施形態を示す半導体ウエハの断面図である。 金属層を堆積するための本発明に従ったプロセスの第1の実施形態を示す半導体ウエハの断面図である。 金属層を堆積するための本発明に従ったプロセスの第1の実施形態を示す半導体ウエハの断面図である。 金属層を堆積するための本発明に従ったプロセスの第1の実施形態を示す半導体ウエハの断面図である。 金属層を堆積するための本発明に従ったプロセスの第2の実施形態を示す半導体ウエハの断面図である。 金属層を堆積するための本発明に従ったプロセスの第2の実施形態を示す半導体ウエハの断面図である。 金属層を堆積するための本発明に従ったプロセスの第2の実施形態を示す半導体ウエハの断面図である。 金属層を堆積するための本発明に従ったプロセスの第2の実施形態を示す半導体ウエハの断面図である。

Claims (20)

  1. 半導体ウエハのための相互接続構造上に金属層を堆積するための方法であって、
    (a)誘電層によって被覆された金属導体を含む相互接続構造を設けるステップと、
    (b)前記誘電層をパターニングして前記金属導体を露出させる開口を形成するステップと、
    (c)前記開口の壁および底部にライナ層を堆積するステップと、
    (d)前記ライナ層をスパッタ・エッチングして前記金属導体を露出させ、前記ライナ層を前記開口の側壁に少なくとも部分的に再堆積させるステップと、
    (e)前記開口の前記壁に少なくとも1つの追加層を堆積し、前記再堆積したライナ層を覆うステップと、
    を有する、方法。
  2. 前記ライナ層が、TaN、Ta、Ti、Ti(Si)N、およびWから成る群から選択され、前記追加層が、TaN、Ta、Ti、Ti(Si)N、W、およびCuから成る群から選択される、請求項1に記載の方法。
  3. 前記開口に銅を充填するステップを更に備える、請求項1に記載の方法。
  4. 前記開口がバイアまたはトレンチである、請求項1に記載の方法。
  5. 前記金属導体が、銅、タングステン、およびアルミニウムから成る群から選択される、請求項1に記載の方法。
  6. 前記スパッタ・エッチングのためのガスが、Ar、He、Ne、Xe、N2、H2、NH3、N22、またはそれらの混合物から成る群から選択される、請求項1に記載の方法。
  7. 前記スパッタ・エッチングのステップにおいて、前記スパッタ・エッチングが前記金属導体の上面上で停止する、請求項1に記載の方法。
  8. 前記スパッタ・エッチングのステップにおいて、前記スパッタ・エッチングが、前記金属導体を少なくとも部分的にスパッタ・エッチングした後に停止する、請求項1に記載の方法。
  9. 前記ライナ層の堆積ステップと前記少なくとも1つの追加層の堆積ステップとの間に前記ウエハを気中に露呈する、請求項1に記載の方法。
  10. 半導体ウエハのための相互接続構造上に金属層を堆積するための方法であって、
    (a)キャッピング層および誘電層によって被覆された金属導体を含む相互接続構造を設けるステップと、
    (b)前記誘電層およびキャッピング層をパターニングして前記金属導体を露出させる開口を形成するステップと、
    (c)前記開口の壁および底部にライナ層を堆積するステップと、
    (d)前記ライナ層をスパッタ・エッチングして前記金属導体を露出させ、前記ライナ層を前記開口の側壁に少なくとも部分的に再堆積させるステップと、
    (e)前記開口の前記壁に少なくとも1つの追加層を堆積し、前記再堆積したライナ層を覆うステップと、
    を有する、方法。
  11. 前記キャッピング層が、窒化シリコン、炭化シリコン、酸炭化シリコン、水素化炭化シリコン、二酸化シリコン、有機シリケート・ガラス、および他の低k誘電材料から成る群から選択される、請求項10に記載の方法。
  12. 前記キャッピング層が前記誘電層よりも厚さが薄い、請求項10に記載の方法。
  13. 前記ライナ層が、TaN、Ta、Ti、Ti(Si)N、およびWから成る群から選択され、前記追加層が、TaN、Ta、Ti、Ti(Si)N、W、およびCuから成る群から選択される、請求項10に記載の方法。
  14. 前記開口に銅を充填するステップを更に備える、請求項10に記載の方法。
  15. 前記開口がバイアまたはトレンチである、請求項10に記載の方法。
  16. 前記金属導体が、銅、タングステン、およびアルミニウムから成る群から選択される、請求項10に記載の方法。
  17. 前記スパッタ・エッチングのためのガスが、Ar、He、Ne、Xe、N2、H2、NH3、N22、またはそれらの混合物から成る群から選択される、請求項10に記載の方法。
  18. 前記スパッタ・エッチングのステップにおいて、前記スパッタ・エッチングが前記金属導体の上面上で停止する、請求項10に記載の方法。
  19. 前記スパッタ・エッチングのステップにおいて、前記スパッタ・エッチングが、前記金属導体を少なくとも部分的にスパッタ・エッチングした後に停止する、請求項10に記載の方法。
  20. 前記ライナ層の堆積ステップと前記少なくとも1つの追加層の堆積ステップとの間に前記ウエハを気中に露呈する、請求項1に記載の方法。
JP2004558112A 2002-12-11 2003-12-08 半導体相互接続構造上に金属層を堆積するための方法 Expired - Lifetime JP4767541B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/318,605 US6949461B2 (en) 2002-12-11 2002-12-11 Method for depositing a metal layer on a semiconductor interconnect structure
US10/318,605 2002-12-11
PCT/EP2003/050958 WO2004053926A2 (en) 2002-12-11 2003-12-08 A method for depositing a metal layer on a semiconductor interconnect structure

Publications (2)

Publication Number Publication Date
JP2006518927A true JP2006518927A (ja) 2006-08-17
JP4767541B2 JP4767541B2 (ja) 2011-09-07

Family

ID=32506404

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004558112A Expired - Lifetime JP4767541B2 (ja) 2002-12-11 2003-12-08 半導体相互接続構造上に金属層を堆積するための方法

Country Status (8)

Country Link
US (1) US6949461B2 (ja)
EP (1) EP1570518A2 (ja)
JP (1) JP4767541B2 (ja)
KR (1) KR100702549B1 (ja)
CN (1) CN100461369C (ja)
AU (1) AU2003300263A1 (ja)
TW (1) TWI236099B (ja)
WO (1) WO2004053926A2 (ja)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008187072A (ja) * 2007-01-31 2008-08-14 Fujitsu Ltd 半導体装置の製造方法及び半導体装置
JP2008205505A (ja) * 2004-06-10 2008-09-04 Renesas Technology Corp 半導体装置の製造方法
JP2009010382A (ja) * 2007-06-26 2009-01-15 Dongbu Hitek Co Ltd 半導体素子及びその製造方法
JP2010040772A (ja) * 2008-08-05 2010-02-18 Rohm Co Ltd 半導体装置の製造方法
US7936069B2 (en) 2004-06-10 2011-05-03 Renesas Electronics Corporation Semiconductor device with a line and method of fabrication thereof
US8432037B2 (en) 2004-06-10 2013-04-30 Renesas Electronics Corporation Semiconductor device with a line and method of fabrication thereof

Families Citing this family (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7122462B2 (en) * 2003-11-21 2006-10-17 International Business Machines Corporation Back end interconnect with a shaped interface
KR100573897B1 (ko) * 2003-12-30 2006-04-26 동부일렉트로닉스 주식회사 반도체 제조 방법
KR100564801B1 (ko) * 2003-12-30 2006-03-28 동부아남반도체 주식회사 반도체 제조 방법
KR100538444B1 (ko) * 2003-12-31 2005-12-22 동부아남반도체 주식회사 비아 홀 및 트렌치 형성 방법
JP4393244B2 (ja) * 2004-03-29 2010-01-06 キヤノン株式会社 インプリント装置
US7115522B2 (en) * 2004-07-09 2006-10-03 Kabushiki Kaisha Toshiba Method for manufacturing semiconductor device
JP2006216787A (ja) * 2005-02-03 2006-08-17 Renesas Technology Corp 半導体装置およびその製造方法
JP4830421B2 (ja) * 2005-06-28 2011-12-07 東京エレクトロン株式会社 金属膜の成膜方法及び成膜装置
JP2007109736A (ja) * 2005-10-11 2007-04-26 Nec Electronics Corp 半導体装置およびその製造方法
JP2007109894A (ja) * 2005-10-13 2007-04-26 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
US7312531B2 (en) * 2005-10-28 2007-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and fabrication method thereof
US20070126120A1 (en) * 2005-12-06 2007-06-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device
KR100721620B1 (ko) 2005-12-28 2007-05-23 매그나칩 반도체 유한회사 반도체 소자의 제조방법
US7528066B2 (en) * 2006-03-01 2009-05-05 International Business Machines Corporation Structure and method for metal integration
JP2007311771A (ja) * 2006-04-21 2007-11-29 Sanyo Electric Co Ltd 半導体装置及びその製造方法
DE102006035645B4 (de) * 2006-07-31 2012-03-08 Advanced Micro Devices, Inc. Verfahren zum Ausbilden einer elektrisch leitfähigen Leitung in einem integrierten Schaltkreis
JP2008041700A (ja) * 2006-08-01 2008-02-21 Tokyo Electron Ltd 成膜方法、成膜装置及び記憶媒体
US7666781B2 (en) * 2006-11-22 2010-02-23 International Business Machines Corporation Interconnect structures with improved electromigration resistance and methods for forming such interconnect structures
DE102007004860B4 (de) * 2007-01-31 2008-11-06 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung einer Kupfer-basierten Metallisierungsschicht mit einer leitenden Deckschicht durch ein verbessertes Integrationsschema
KR100870271B1 (ko) * 2007-06-28 2008-11-25 주식회사 하이닉스반도체 반도체 소자의 금속배선 및 그의 형성 방법
US7892968B2 (en) * 2008-01-21 2011-02-22 International Business Machines Corporation Via gouging methods and related semiconductor structure
US8337675B2 (en) 2009-01-26 2012-12-25 Spts Technologies Limited Method of plasma vapour deposition
US8487386B2 (en) * 2009-06-18 2013-07-16 Imec Method for forming MEMS devices having low contact resistance and devices obtained thereof
CN102376632B (zh) * 2010-08-19 2013-10-30 中芯国际集成电路制造(上海)有限公司 形成半导体器件结构的方法
DE102010063294B4 (de) * 2010-12-16 2019-07-11 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren zur Herstellung von Metallisierungssystemen von Halbleiterbauelementen, die eine Kupfer/Silizium-Verbindung als ein Barrierenmaterial aufweisen
US8368053B2 (en) * 2011-03-03 2013-02-05 International Business Machines Corporation Multilayer-interconnection first integration scheme for graphene and carbon nanotube transistor based integration
CN102361006B (zh) * 2011-10-25 2016-08-24 上海集成电路研发中心有限公司 一种低应力钽氮薄膜的制备方法
US9536777B2 (en) 2013-03-13 2017-01-03 Taiwan Semiconductor Manufacutring Company, Ltd. Interconnect apparatus and method
CN104051423B (zh) * 2013-03-13 2018-02-16 台湾积体电路制造股份有限公司 互连装置和方法
US9293392B2 (en) 2013-09-06 2016-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC interconnect apparatus and method
US9305886B2 (en) * 2013-12-18 2016-04-05 Globalfoundries Singapore Pte. Ltd. Integrated circuits having crack-stop structures and methods for fabricating the same
CN105098068A (zh) * 2014-05-22 2015-11-25 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法和电子装置
CN104362139B (zh) * 2014-09-23 2018-02-02 上海华力微电子有限公司 铜互连的扩散阻挡层、半导体器件及其制造方法
US9431603B1 (en) * 2015-05-15 2016-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. RRAM device
US10332790B2 (en) 2015-06-15 2019-06-25 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure with interconnect structure
US9536826B1 (en) 2015-06-15 2017-01-03 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (finFET) device structure with interconnect structure
US9786603B1 (en) * 2016-09-22 2017-10-10 International Business Machines Corporation Surface nitridation in metal interconnects
TWI697032B (zh) * 2016-10-24 2020-06-21 聯華電子股份有限公司 半導體元件的製程
CN108063117B (zh) * 2016-11-09 2020-12-01 中芯国际集成电路制造(上海)有限公司 互连结构及其形成方法
CN109346436A (zh) * 2018-09-20 2019-02-15 德淮半导体有限公司 制造半导体装置的方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000216239A (ja) * 1999-01-18 2000-08-04 United Microelectronics Corp 銅内部結線の形成方法
JP2002289690A (ja) * 2001-02-14 2002-10-04 Texas Instr Inc <Ti> 集積回路および集積回路を製造する方法
JP2004165336A (ja) * 2002-11-12 2004-06-10 Matsushita Electric Ind Co Ltd 半導体装置の製造方法

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5326427A (en) * 1992-09-11 1994-07-05 Lsi Logic Corporation Method of selectively etching titanium-containing materials on a semiconductor wafer using remote plasma generation
US5366929A (en) * 1993-05-28 1994-11-22 Cypress Semiconductor Corp. Method for making reliable selective via fills
JPH07130702A (ja) * 1993-11-08 1995-05-19 Fujitsu Ltd 白金又はパラジウムよりなる金属膜のパターニング方法
US5654232A (en) * 1994-08-24 1997-08-05 Intel Corporation Wetting layer sidewalls to promote copper reflow into grooves
US5933753A (en) * 1996-12-16 1999-08-03 International Business Machines Corporation Open-bottomed via liner structure and method for fabricating same
US5933758A (en) * 1997-05-12 1999-08-03 Motorola, Inc. Method for preventing electroplating of copper on an exposed surface at the edge exclusion of a semiconductor wafer
TW417249B (en) * 1997-05-14 2001-01-01 Applied Materials Inc Reliability barrier integration for cu application
US5985762A (en) * 1997-05-19 1999-11-16 International Business Machines Corporation Method of forming a self-aligned copper diffusion barrier in vias
US6214731B1 (en) 1998-03-25 2001-04-10 Advanced Micro Devices, Inc. Copper metalization with improved electromigration resistance
US6287977B1 (en) * 1998-07-31 2001-09-11 Applied Materials, Inc. Method and apparatus for forming improved metal interconnects
US6174800B1 (en) * 1998-09-08 2001-01-16 Taiwan Semiconductor Manufacturing Company Via formation in a poly(arylene ether) inter metal dielectric layer
US6228754B1 (en) * 1999-01-05 2001-05-08 Advanced Micro Devices, Inc. Method for forming semiconductor seed layers by inert gas sputter etching
US6080669A (en) * 1999-01-05 2000-06-27 Advanced Micro Devices, Inc. Semiconductor interconnect interface processing by high pressure deposition
US6221757B1 (en) * 1999-01-20 2001-04-24 Infineon Technologies Ag Method of making a microelectronic structure
TW426980B (en) * 1999-01-23 2001-03-21 Lucent Technologies Inc Wire bonding to copper
US6200890B1 (en) 1999-08-10 2001-03-13 United Microelectronics Corp. Method of fabricating copper damascene
US6191029B1 (en) 1999-09-09 2001-02-20 United Silicon Incorporated Damascene process
US6277249B1 (en) * 2000-01-21 2001-08-21 Applied Materials Inc. Integrated process for copper via filling using a magnetron and target producing highly energetic ions
US6284657B1 (en) * 2000-02-25 2001-09-04 Chartered Semiconductor Manufacturing Ltd. Non-metallic barrier formation for copper damascene type interconnects
US6498091B1 (en) * 2000-11-01 2002-12-24 Applied Materials, Inc. Method of using a barrier sputter reactor to remove an underlying barrier layer
US6607977B1 (en) * 2001-03-13 2003-08-19 Novellus Systems, Inc. Method of depositing a diffusion barrier for copper interconnect applications
US6777327B2 (en) * 2001-03-28 2004-08-17 Sharp Laboratories Of America, Inc. Method of barrier metal surface treatment prior to Cu deposition to improve adhesion and trench filling characteristics

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000216239A (ja) * 1999-01-18 2000-08-04 United Microelectronics Corp 銅内部結線の形成方法
JP2002289690A (ja) * 2001-02-14 2002-10-04 Texas Instr Inc <Ti> 集積回路および集積回路を製造する方法
JP2004165336A (ja) * 2002-11-12 2004-06-10 Matsushita Electric Ind Co Ltd 半導体装置の製造方法

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008205505A (ja) * 2004-06-10 2008-09-04 Renesas Technology Corp 半導体装置の製造方法
US7936069B2 (en) 2004-06-10 2011-05-03 Renesas Electronics Corporation Semiconductor device with a line and method of fabrication thereof
US8222146B2 (en) 2004-06-10 2012-07-17 Renesas Electronics Corporation Semiconductor device with a line and method of fabrication thereof
US8432037B2 (en) 2004-06-10 2013-04-30 Renesas Electronics Corporation Semiconductor device with a line and method of fabrication thereof
US8749064B2 (en) 2004-06-10 2014-06-10 Renesas Electronics Corporation Semiconductor device with a line and method of fabrication thereof
JP2008187072A (ja) * 2007-01-31 2008-08-14 Fujitsu Ltd 半導体装置の製造方法及び半導体装置
JP2009010382A (ja) * 2007-06-26 2009-01-15 Dongbu Hitek Co Ltd 半導体素子及びその製造方法
JP2010040772A (ja) * 2008-08-05 2010-02-18 Rohm Co Ltd 半導体装置の製造方法

Also Published As

Publication number Publication date
CN1947236A (zh) 2007-04-11
KR100702549B1 (ko) 2007-04-04
EP1570518A2 (en) 2005-09-07
AU2003300263A8 (en) 2004-06-30
WO2004053926A2 (en) 2004-06-24
AU2003300263A1 (en) 2004-06-30
US20040115928A1 (en) 2004-06-17
KR20050086476A (ko) 2005-08-30
JP4767541B2 (ja) 2011-09-07
CN100461369C (zh) 2009-02-11
TW200421542A (en) 2004-10-16
WO2004053926A3 (en) 2004-11-25
US6949461B2 (en) 2005-09-27
TWI236099B (en) 2005-07-11

Similar Documents

Publication Publication Date Title
JP4767541B2 (ja) 半導体相互接続構造上に金属層を堆積するための方法
US7241696B2 (en) Method for depositing a metal layer on a semiconductor interconnect structure having a capping layer
JP5430946B2 (ja) 相互接続構造体形成方法
US7037836B2 (en) Method of manufacturing a semiconductor device without oxidized copper layer
US7393777B2 (en) Sacrificial metal spacer damascene process
US7671470B2 (en) Enhanced mechanical strength via contacts
KR100475931B1 (ko) 반도체 소자의 다층 배선 형성방법
US20080293242A1 (en) Metal spacer in single and dual damascene processing
JP2011014904A (ja) ビアがガウジングされた相互接続構造体及びその製造方法
JP2006269537A (ja) 半導体装置の製造方法及び半導体装置
US5849367A (en) Elemental titanium-free liner and fabrication process for inter-metal connections
US20110114597A1 (en) Barrier integration scheme for high-reliability vias
KR100431742B1 (ko) 반도체소자의 구리 배선 형성 방법
JP2000340565A (ja) 半導体集積回路装置およびその製造方法
JPH09275141A (ja) 半導体基板に形成された空洞の中にチタン元素フリーのライナを沈着する方法

Legal Events

Date Code Title Description
A529 Written submission of copy of amendment under article 34 pct

Free format text: JAPANESE INTERMEDIATE CODE: A529

Effective date: 20050609

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20061130

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20061130

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20090206

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20090918

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100824

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101026

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110222

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110420

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110607

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110615

R150 Certificate of patent or registration of utility model

Ref document number: 4767541

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140624

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term