JP2006083468A - プロセス・パラメータの分光評価を用いた加工品のプラズマ・コーティングのための方法および装置 - Google Patents

プロセス・パラメータの分光評価を用いた加工品のプラズマ・コーティングのための方法および装置 Download PDF

Info

Publication number
JP2006083468A
JP2006083468A JP2005251479A JP2005251479A JP2006083468A JP 2006083468 A JP2006083468 A JP 2006083468A JP 2005251479 A JP2005251479 A JP 2005251479A JP 2005251479 A JP2005251479 A JP 2005251479A JP 2006083468 A JP2006083468 A JP 2006083468A
Authority
JP
Japan
Prior art keywords
plasma
coating
process gas
workpiece
electromagnetic energy
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2005251479A
Other languages
English (en)
Other versions
JP5179713B2 (ja
Inventor
Stephan Behle
シュテファン ベーレ
Andreas Luettringhaus-Henkel
ロイットリングハウス−ヘンケル アンドレアス
Peter Eimann
アイマン ペーター
Juergen Klein
クライン ユルゲン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Schott AG
Original Assignee
Schott AG
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Schott AG filed Critical Schott AG
Publication of JP2006083468A publication Critical patent/JP2006083468A/ja
Application granted granted Critical
Publication of JP5179713B2 publication Critical patent/JP5179713B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32972Spectral analysis
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/515Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using pulsed discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Details Of Rigid Or Semi-Rigid Containers (AREA)
  • Investigating, Analyzing Materials By Fluorescence Or Luminescence (AREA)
  • Coating Apparatus (AREA)
  • Application Of Or Painting With Fluid Materials (AREA)
  • Spray Control Apparatus (AREA)

Abstract

【課題】高速かつ簡単なコーティング・シーケンスの監視を可能にし、それによってプラズマ・コーティングした加工品の品質を改善すること。
【解決手段】本発明はプラズマ化学蒸着法を用いて加工品をコーティングする方法を提供するものであり、この方法ではプロセス・ガスがコーティング室に導入され、プラズマが加工品に隣接しかつプロセス・ガスが存在しているコーティング室の少なくとも1つの領域において電磁エネルギを用いて点火され、このコーティング操作はプラズマの少なくとも1つの測定されたスペクトル・パラメータに基づいて監視され、パラメータの所望の範囲からずれている場合には加工品が取り出される。
【選択図】図1

Description

本発明は一般に容器のプラズマ・コーティングに関し、特に本発明は、コーティングプロセスの分光学的監視に関する。
特にボトルなどの容器にバリア層を提供することはよく知られている。このバリア層は、とりわけ化学的蒸着法または物理的蒸着法によって生成される。このようなプロセスは高いバリア作用を有する比較的薄い層を形成することができる。
特にプラズマ強化またはプラズマ誘起蒸着法は適した化学的蒸着法であることが明らかとなっている。このプロセスでは、プラズマは電磁エネルギを用いてプロセス・ガス中で点火され、プラズマ中で形成された反応産物が容器上に層として付着される。
とりわけ、このプロセスは、大量の加工品を安価にコーティングするのに、例えば、バリア特性を改善するためにプラスチック製ボトルをコーティングするのに特に適している。
この点では、プロセス・シーケンスを簡単な方法で監視し、正しくないコーティングまたは加工品を迅速に検出することができるようにする必要性がある。
したがって、本発明は高速かつ簡単なコーティング・シーケンスの監視を可能にし、それによってプラズマ・コーティングした加工品の品質を改善するという目的に基づくものである。
この目的は独立項に請求したプロセスおよびコーティング装置によって非常に驚くほど簡単な方法で達成される。本発明の有利な構成および改善点を従属項に示す。
したがって、本発明は、プロセス・ガスをコーティング室に導入して、加工品に隣接しかつプロセス・ガスが存在するコーティング室の少なくとも1つの領域において電磁エネルギを用いてプラズマを点火するプラズマ化学蒸着法によって加工品をコーティングする方法を提供し、コーティング操作はプラズマの少なくとも1つの測定されたスペクトル・パラメータに基づいて監視され、パラメータの所望の範囲からずれが生じた場合には加工品が取り出される。
本発明の文脈では、コーティング室に導入される電磁エネルギという用語は、特に高周波および/またはマイクロ波を意味するものとして理解されたい。一例を挙げると、周波数が2.45GHzのマイクロ波が適している。
この目的のために、特に上記の方法を用いて、プラズマ化学蒸着法を利用して加工品をコーティングするのに相応する装置は、コーティング室、プロセス・ガスを導入する装置、およびコーティング室に電磁エネルギをコーティング室に導入する装置を備えており、この装置は電磁エネルギを用いてプロセス・ガス中に生成されたプラズマの少なくとも1つのスペクトル・パラメータを測定する測定装置、パラメータを所望の範囲と比較するのに使用される監視装置、およびパラメータが所望の範囲とずれている場合には加工品を取り除く装置も含む。
本発明の好適な実施形態によれば、スペクトル・パラメータの測定値を用いて漏れを検出することができる。このような漏れは、例えばコーティング室で起こり、プロセス・ガスの組成、故に付着させたコーティングも変性させるかもしれない。本発明のさらに好適な実施形態によれば、容器をコーティングする、特に内部をコーティングするコーティング装置が設計され、内部コーティングの場合には、プロセス・ガスが容器の内部に導入され、次にプラズマがその中で点火され、本発明を用いて容器自体の漏れが検出され得ることも特に好ましい。供給ラインの漏れを検出することも可能である。
漏れ率の分光学的測定はコーティング・プロセス自体の間に実行することができるので、非常に感度が高く、かつ高速である。複雑な漏れ試験を前または後に行わなくても、所望の範囲からのずれを判断することによって欠陥のある容器を取り除くことが容易である。
窒素の輝線または吸収線の強度は、漏れ率を測定するのに特に適したスペクトル・パラメータである。窒素は一般に真空排気または部分的に真空排気したコーティング室の残留ガス中にも存在する。周囲環境からの窒素がプロセス・ガスが存在するコーティング領域に流入すると、プラズマ中の窒素含有量が増大するが、これは窒素の輝線または吸収線が形成されるか、または増強されることを意味しており、適した濃度であると仮定すると、プラズマが変色するためにプロセス・ガス雰囲気中に含まれる窒素を肉眼で認識することができるので、これは非常に簡単な方法かつ高感度で分光学的に判定され得る。
窒素を含んだ成分のないプロセス・ガスを使用する場合、漏れの検出は特に容易である。この場合、(窒素が完全に存在しないことが理想的であるが)例えば745nmのN*線などの窒素の輝線の強度を閾値と比較することが十分である。しかし、窒素の輝線の強度を検出することによる漏れ検出は、例えばHMDSNを含有した混合物(HMDSN=ヘキサメチルジシラザン)などの窒素を含有するプロセス・ガスの場合であっても、強度測定によって実行することができる。
シリコンを含有した成分を有するプロセス・ガスを用いて、シリコン酸化物層を付着させてバリア・コーティングを生成することができる。例えばプラスチックなどの多くの材料の加工品に関し、バリア・コーティングの耐久性を向上させるために、プラズマ化学蒸着法を利用して、ボンディング層を付着させ、次にボンディング層の上にバリア層を付着させることもさらに推奨される。
欠陥のある加工品を取り除くことができるようにするために、コーティング・プロセスおよび/または加工品を監視するための測定したスペクトル・パラメータの使用に加え、少なくとも1つの測定したスペクトル・パラメータを用いてコーティング・プロセスの少なくとも1つのさらなるパラメータを制御することも可能である。この点では、
プロセス・ガスの組成、
プロセス・ガスの圧力、
電磁エネルギの出力、
電磁エネルギのパルス持続時間、
電磁エネルギのデューティ・サイクル
のうち少なくとも1つのパラメータを考慮する。一例を挙げると、プラズマの輝線の測定強度が非常に弱い場合には、プロセス・ガスの圧力および/またはマイクロ波出力を増大することができる。
本発明のさらなる特定の好適な実施形態は、パルス・プラズマを生成するために適した装置を用いて、パルス電磁エネルギをコーティング室に導入することも提供する。知られているように、このPICVDプロセス(PICVD=プラズマ衝撃誘起化学蒸着)を用いたパルス・プラズマの生成は、連続コーティング・プロセスよりも多くの重要な利点を提供する。例えば、高出力で供給することが可能であり、この場合、温度負荷の増大はインターパルス期間があるために同時に回避される。さらに使用済みのプロセス・ガスをインターパルス期間中に排出することができるので、好ましくない反応生成物のレベルの増大が回避される。これによって、特に純粋で洗練されたコーティングの生成が可能となる。同時に、スペクトル・パラメータの時間プロファイルの測定からの情報を利用してプロセス・シーケンスを管理し、またコーティングに欠陥のある加工品を取り除くことも推奨される。コーティング・プロセスに関する情報を得るためには、例えば、パルス中のプロセス・ガスの成分の輝線の発光の時間プロファイルを測定することが可能であることが有利である。この場合も、製造品質に悪影響を及ぼす、監視装置によって決定される所望の範囲からのずれを用いて、関連する加工品を取り除くこともできる。
別の例はHMDSOまたはHMDSNなどのシリコンを含有する成分を有するプロセス・ガスを使用することであり、この場合SiOの輝線の時間プロファイルが測定される。
代替的またはさらに、コーティング品質または何らかの欠陥のある加工品に関する結論を引き出すことができるようにするために、輝線のパルス発光の強度曲線の包絡線をスペクトル・パラメータとして測定することも可能である。
輝線の強度を用いて実際に点火されたパルスを計数することもできる。これは電磁エネルギのパルスが供給される度にプラズマの点火、故に材料の付着が必ずしも起こるとは限らないからである。しかし、実際のプラズマ・パルスが1つまたは複数の輝線の発光に基づいて計数される場合、特に、付着した層厚を高い精度で測定することが可能である。したがって、プロセス制御のためにプラズマ・パルスの数を使用することも推奨される。特に、各々の場合において、所定の数のパルスが輝線の強度に基づいて計数されるまでコーティングが継続され得る。次に、電磁パルスの供給を停止することができ、プロセス・ガスが変更された後にさらに層を塗布することができるか、または次の加工品を導入することができる。
所望の範囲からずれている場合には、コーティング操作の後またはその間にそれを取り除くために、コーティングおよび/または加工品に欠陥があることを示すスペクトル・パラメータとして輝線のパルス数を使用することもさらに可能である。例えば、1つの可能性としては単位時間当たりの実際のパルス数を確かめることである。例えば、プラズマの点火が上手くいかないことが多い場合には、これはコーティングに欠陥があることの指標となり得る。例えば、容器のコーティング中に容器が変形した場合には、この種の欠陥があることが考えられるので、空洞が変化することによりマイクロ波または高周波が生じる。この種の変形はまた、例えば時間強度プロファイルを記録するときに輝線のパルス形状に基づいて確立され得る。輝線のパルス形状は、各々のプロセス・ガス組成に特にまた特有であるので、そのパルス形状を用いて付着されるコーティングの組成に関する結果を引き出すこと、故に、コーティングに欠陥のある加工品を取り除くことも可能である。
ある変形例によれば、不適当な点火または誤発射の数を判断するため、および閾値、例えば不適当な点火と電磁パルスとの比が過剰である場合にはコーティングに欠陥があることを認識し、欠陥に関与する加工品を取り除くために、輝線の強度またはスペクトル領域の強度に基づいて同様に計数される電磁パルスの数と、プラズマ・パルスの数を比較することも可能である。すなわち、プラズマ・パルスの数と電磁パルスの数との間のずれが閾値を超えている場合には、加工品が取り除かれる。
本発明は輝線の強度の代替として、あるいはそれに加えるものとして、吸収線の強度を測定することも提供する。一例を挙げると、PICVDコーティングの場合には、これはインターパルス期間を測定することも可能にする。
さらに、汚れたプロセス・ガスの吸収スペクトルまたは発光スペクトルの少なくとも1つのスペクトル・パラメータ測定が可能であることも有利である。これは、例えば形成された反応生成物に基づいて、コーティング品質に関する結果を引き出すことも可能にする。
本発明は、特に簡単かつ効果的なプロセス制御によって製造コストの低減を可能にするだけでなく、本発明に従って製造され得る製造物の特徴が特に高い製品品質にあることを可能にする。
例えば、1つまたは複数のスペクトル・パラメータの測定に基づいて、バッチ内でコーティングした容器から本発明に従って容器を除去することによって、未コーティングの容器のバッチと比較して漏れ率を90%、好適には95%低減させることが可能となる。
当然、例えば層の組成に異常がある欠陥のあるコーティングなどのバッチの個々の加工品の他の欠陥を低減させることも可能である。
スペクトル・パラメータに基づいてコーティング・プロセスを監視することによって、付着させたコーティングの層厚の変動をバッチ内の加工品間で最大でも5%になるように特に低いレベルにすることも可能である。本発明の観点では、このことは、加工品のコーティング表面の上の層厚はこの量によってのみ変化し、比較され得る場所の層厚、例えばボトルの底の真中の層厚は加工品毎のこの小さな量によってのみ変化することを意味するものと理解すべきではない。
例えば、点火の誤発射の数が多過ぎることにより層厚が小さくなり過ぎる場合、これは単位時間当たりのプラズマ・パルスを測定することによって監視装置を用いて知ることができ、次に該当する加工品を取り除くことができる。この除去によってバッチ内の層厚の変動をそれに応じて有意に低減させることが可能となる。
以下の文章では、例示的な実施形態に基づき、かつ添付図面を参照して本発明をより詳細に説明するが、同一または類似する要素には同じ参照符号を付してあり、種々の例示的実施形態の特徴は相互に組み合わせられ得る。
図1はプラズマ化学蒸着法を用いて加工品をコーティングする本発明の例示的実施形態を概略的に示しており、全体を参照番号1で示している。装置1は回転コンベヤとして示したコンベヤ装置3を備え、この上には各々2つのコーティング室17、19を有する反応炉15が配置されており、コンベヤ装置3によって円形の搬送経路に沿って運ばれる。さらに、装填装置9および除去装置7が備えられ、これを用いて加工品が反応炉15に導入され、そこから除去される。
図1に示した例示的実施形態の装置1は、特にボトル11などの容器の内部コーティング用に設計されている。ボトル11はコンベヤ装置9を用いて装填装置5まで搬送され、装填装置5から反応炉15のコーティング室17、19に挿入される。コンベヤ装置の上で反応炉15が回転する間、コーティング室17、19および容器の内部が真空排気される。このプロセスでは、ボトルを囲繞する領域は10mbar〜50mbarまで真空排気され、容器の内部はより低い圧力である2mbarまで真空排気される。コーティング室17、19に接続されたポンピング装置33が真空排気のために設けられている。図1に示したこの例示的実施形態では、ポンピング装置33はコンベヤ装置3の上に配置されており、故に同様に回転する。
プロセス・ガスを導入する装置の一部として、ランス20がボトル11内に移動されると、プロセス・ガス30が流入する。
反応炉15のマイクロ波ヘッド16は、例えば周波数が2.45GHzのパルス電磁波の形態で電磁エネルギをコーティング室17、19に導入し、その結果プラズマ40がボトル内部にプロセス・ガス雰囲気下で生成される。さらに、発光スペクトルのスペクトル・パラメータを記録する測定装置21が存在する。測定したパラメータは、監視装置、例えば図1に示したようなコンピュータ25によって処理され、所望の値と比較される。スペクトル・パラメータが所定の所望の範囲内にある場合、コーティング・プロセスおよび加工品は適切であると判定される。代替的またはさらに、プラズマの輝線の強度を測定することと同様、例えばインターパルス期間中のプロセス・ガスの組成を監視するために、吸収スペクトルの吸収線を記録することも可能である。
さらに、図1に示したように、発光のスペクトル・パラメータおよび/または汚れたプロセス・ガスの吸収スペクトルを記録するために、コンピュータ25に接続された測定装置21をポンピング装置33への供給ライン内に配置することも可能である。このようにして汚れたプロセス・ガスを分析することも可能である。
ボトルがコーティングされた後、反応炉のコーティング室17、19は通気され、ボトル11、すなわちコーティングされた加工品11が除去装置7を用いて除去され、さらなるコンベヤ装置7上に置かれる。
測定したスペクトル・パラメータが所定の所望の範囲とずれている場合、それに該当するボトルおよび/またはそのコーティングは欠陥があると認識され、欠陥のあるボトル13が取り除かれる。この目的のために、例えばコンベヤ装置9から個々のボトル13を取り除く、欠陥のあるボトル13を取り除く装置27が存在する。この装置27はコンピュータ25に接続されており、測定したスペクトル・パラメータが所定の所望の範囲とずれている場合に、続いてコンベヤ装置9上の他のボトル11の数量から欠陥のあるボトル13を取り除くために、コンピュータ25によって相応して駆動される。
測定装置21は窒素の輝線を測定するように設計され得ることが特に好ましい。一例を挙げると、この目的のために測定装置21は、745nmのN*線を測定する光学フィルタを有するフォトダイオードを備えてよい。ボトルの周りの領域の窒素の分圧がボトル内部よりも高く、残留ガス雰囲気からの窒素がコーティング室からボトルの内部に流入するので、十分な強度のN*線、故にプロセス・ガス中の窒素の存在がフォトダイオードを用いて発光スペクトルとして測定された場合、これはボトル11に漏れがあることを意味している。このようにして同様にプロセス・ガス用のガス供給ラインの漏れを検出することが可能である。この濃度が十分である場合、プラズマは橙赤色になるので、窒素の流入も肉眼で容易に検出することができる。
例えば、ダイアフラムを使用している場合に生じるように流れに閉塞が生じた場合、ボトルが1000sccmの前駆ガスのプロセス・ガス流量でコーティングされており、ボトルが1mmの直径の穴を有しかつボトル外部の圧力が10mbarのときには、結果として生じる漏れ率は次のようになる:
漏れ率[mbar*1/s]=15.7[1/s*1/cm]*
直径*圧力[mbar]
上記条件下では、これは1.6mbar*1/秒(94sccm)に等しい。この種の漏れを検出できるようにするには、圧力測定値は10%以内の精度である必要があろう。しかし、これは技術的に厳しい。スペクトル計測は窒素の輝線の存在の有無を区別するだけでよく、この種の漏れのあるボトルを問題なく簡単な方法で認識することができるので、ボトルを確実に取り出すことができる。
コーティング操作を監視するのに適した強度のさらなる線には、特に367nmおよび777nmのO*輝線、および425nmのSiO輝線を含む。
図2は図1に示した例示的実施形態の変形例を示している。この変形例では、測定装置21は反応炉15の各コーティング室17、19に配置されている。この例においてパラメータを所望の範囲と比較するのに用いられるこの監視装置は、反応炉15の各々にマイクロコントローラ26を備えている。例えばN*輝線の強度などの測定されたスペクトル・パラメータを比較することによって、コーティング・プロセスの欠陥またはボトルの漏れが示される場合、マイクロコントローラは欠陥があることを表す信号を除去装置27に送り、続いてこの装置が欠陥のあるボトル13を除去する。
図3〜5はパルス中のプロセス・ガスのプラズマからの輝線が425nmのSiOの発光の測定された時間プロファイルを示している。この輝線は、例えばプロセス・ガス成分としてHMDSOおよび/またはHMDSNを有するシリコン酸化物バリア層がプラズマ・コーティングによって付着されている間に起こる。
著しく異なっているパルスの線形状は個々のコーティング・パラメータに特有である。例えば、プロセス・ガスの組成に関する結論を図3〜5に示した線形から引き出すことができる。したがって、欠陥のある加工品を取り除くために、このパルスの形状を用いて、測定したパルス形状が所望の範囲と著しくずれている場合にコーティング・プロセスに問題があるかどうかを確認することができる。
上記スペクトル・パラメータのすべてを用いて、図1に示したコンピュータ25などの監視装置を利用して、例えばプロセス・ガス圧、パルス出力、またはデューティ・サイクル、すなわちパルス継続時間とインターパルス期間との比などのコーティング・プロセスのさらなるパラメータを制御することができることも有利である。
他の考えられるスペクトル・パラメータは、SiO輝線の強度に基づいて決定されたプラズマ・パルスの数および単位時間当たりのプラズマ・パルスの数である。実際のプラズマ・パルスの測定数を用いてコーティング・プロセスの継続時間を制御することができるのは有利である。例えば、所定数のパルスが輝線の強度に基づいて計数されるまでコーティングを継続することが特に可能である。このようにして、コーティングされた加工品のバッチ内で、高いレベルの再現性で非常に均一性のある層厚を得ることが可能となる。
次に、単位時間当たりのプラズマ・パルスの数および/またはその周波数を測定することによって、例えば、単位時間当たりのプラズマ・パルスの数が所定の閾値より低くなる場合には、欠陥のあるコーティングを検出し、不完全にコーティングされたボトルを取り除くこともできる。この目的のために、例えばコンピュータ25またはマイクロコントローラ26などの監視装置は、単位時間当たりのプラズマ・パルスの数を判定するように設計され得る。代替的またはさらに、監視装置はまた、プラズマ・パルスの数と電磁パルスの数を比較するように、またずれが過度の場合には欠陥があることを表す信号を生成し、その後に欠陥があることを表す信号に応答して装置27を用いて欠陥のあるボトル13が製造から除去されるように設計され得る。
図6〜9はスペクトル・パラメータを測定する考えられる測定装置を概略的に示している。
図6は上流側干渉フィルタ213を有するフォトダイオード210を備えた測定装置21を示している。この干渉フィルタは、測定装置21を用いてプラズマの窒素含有量を判定するように、故に、特に窒素を含有する成分を含まないプロセス・ガスを用いた場合に漏れの存在を判定するように、例えば745ナノメートルのN*輝線の透過用に設計され得る。
図7はその上流側に接続された異なる干渉フィルタ213、214を有する2つのフォトダイオード210、211を備えた、この測定装置の改善例を示している。フィルタ213、214は、例えば、異なる2つの輝線に適合されてよい。しかし、特に、フォトダイオード211を用いてバックグラウンド信号を測定できるように、例えば再度N*線が745nmの場合にはフィルタ213を1つの輝線に適合させること、および他方のフィルタ214をこの輝線の次の範囲に適合させることも可能である。ダイオード210を用いて測定された信号からバックグラウンド信号が差し引かれる場合、輝線の強度は高精度で測定され得る。これによって、特に、窒素を含有するプロセス・ガスを用いた場合であっても漏れを検出することが可能となる。
図8は発光スペクトル全体またはスペクトルの部分的領域を測定するのに使用することのできる測定装置21の実施形態を概略的に示している。この目的のために、測定装置21はCCDチップ215およびCCDモジュールに輝線を結像する光学格子220を備えている。代替例としては、図9に示したように、光学格子220の代わりにプリズム225を使用することも可能である。
当業者であれば、本発明は上記実施形態に限定されるものではなく、むしろ数多くの様式に変形され得ることは明白であろう。特に、個々の例示的実施形態の特徴を相互に組み合わせることもできる。
本発明の装置の例示的実施形態を示す図である。 図1に示した例示的実施形態の変形例を示す図である。 異なる3つのボトル形状に関するマイクロ波パルス中の425nmのSiO輝線を示す時間プロファイルである。 異なる3つのボトル形状に関するマイクロ波パルス中の425nmのSiO輝線を示す時間プロファイルである。 異なる3つのボトル形状に関するマイクロ波パルス中の425nmのSiO輝線を示す時間プロファイルである。 スペクトル・パラメータを測定する測定装置の種々の実施形態を示す図である。 スペクトル・パラメータを測定する測定装置の種々の実施形態を示す図である。 スペクトル・パラメータを測定する測定装置の種々の実施形態を示す図である。 スペクトル・パラメータを測定する測定装置の種々の実施形態を示す図である。

Claims (37)

  1. プラズマ化学蒸着法を用いて加工品をコーティングする方法であって、前記方法ではプロセス・ガスがコーティング室に導入され、プラズマが加工品に隣接しかつ前記プロセス・ガスが存在しているコーティング室の少なくとも1つの領域において電磁エネルギを用いて点火され、このコーティング操作はプラズマの少なくとも1つの測定されたスペクトル・パラメータに基づいて監視され、該パラメータの所望の範囲からずれている場合には加工品が取り出される方法。
  2. 前記スペクトル・パラメータの測定を用いて漏れが存在するかどうかを判定する請求項1に記載の方法。
  3. 容器がコーティングされる請求項1または2に記載の方法。
  4. プロセス・ガスが前記容器の内部に導入され、かつ続いてプラズマがその中で点火される請求項3に記載の方法。
  5. 前記スペクトル・パラメータの測定を用いて前記容器に漏れがあるかどうかを判定する請求項3または4に記載の方法。
  6. 窒素の輝線または吸収線の強度は測定されたスペクトル・パラメータである請求項1乃至5のいずれか1項に記載の方法。
  7. シリコンを含有する成分を有するプロセス・ガスが用いられ、かつシリコン酸化物層が付着される請求項1乃至6のいずれか1項に記載の方法。
  8. プラズマ化学蒸着法を用いて、結合層が付着され、続いてバリア層が前記結合層の上に付着される請求項1乃至7のいずれか1項に記載の方法。
  9. パルス・プラズマを生成するために、パルス電磁エネルギが前記コーティング室に導入される請求項1乃至8のいずれか1項に記載の方法。
  10. 前記プロセス・ガスの組成の輝線の発光の時間プロファイルはパルス中に測定される請求項9に記載の方法。
  11. シリコンを含有する成分を有するプロセス・ガスが用いられ、SiO輝線の時間プロファイルが測定される請求項10に記載の方法。
  12. 前記プラズマの輝線の強度に基づいてプラズマ・パルスが計数される請求項9乃至11のいずれか1項に記載の方法。
  13. 単位時間当たりのプラズマ・パルスの数が閾値を下回った場合に、加工品が取り除かれる請求項12に記載の方法。
  14. プラズマ・パルス数と、それと同様に計数される電磁パルス数とが比較され、プラズマ・パルス数と電磁パルス数とのずれが閾値を超える場合には加工品が取り除かれる請求項12または13に記載の方法。
  15. 輝線の強度に基づいて、所定数のパルスが計数されるまでコーティングが継続される請求項12乃至14のいずれか1項に記載の方法。
  16. 吸収線の強度が測定される請求項1乃至15のいずれか1項に記載の方法。
  17. 前記汚れたプロセス・ガスの吸収スペクトルまたは発光スペクトルの少なくとも1つのスペクトル・パラメータが測定される請求項1乃至16のいずれか1項に記載の方法。
  18. 前記コーティング・プロセスの少なくとも1つのさらなるパラメータは、前記少なくとも1つの測定されたスペクトル・パラメータを用いて制御される請求項1乃至17のいずれか1項に記載の方法。
  19. 前記プロセス・ガスの組成、
    前記プロセス・ガスの圧力、
    前記電磁エネルギの出力、
    前記電磁エネルギのパルス継続時間、
    前記電磁エネルギのデューティ・サイクルのパラメータの少なくとも1つが測定される請求項18に記載の方法。
  20. 特に請求項1乃至19のいずれか1項に記載の方法を用いて、プラズマ化学蒸着法によって加工品をコーティングする装置であって、コーティング室と、プロセス・ガスを導入する装置と、前記コーティング室に電磁エネルギを導入する装置とを備え、この装置は前記電磁エネルギを用いて前記プロセス・ガス中に生成されたプラズマの少なくとも1つのスペクトル・パラメータを測定する測定装置と、前記パラメータを所望の範囲と比較するのに使用される監視装置と、前記パラメータが前記所望の範囲とずれている場合に前記加工品を取り除く装置とを含んだプラズマ化学蒸着法によって加工品をコーティングする装置。
  21. 容器内部をコーティングする請求項20に記載の装置。
  22. 前記監視装置は、前記スペクトル・パラメータの測定値に基づいて前記容器に漏れがあるかどうかを判定するように設計された請求項21に記載の装置。
  23. 窒素の輝線または吸収線の強度を測定する測定装置を含む請求項1乃至22のいずれか1項に記載の装置。
  24. 電磁エネルギを導入する前記装置は、パルス電磁エネルギを供給する装置からなる請求項1乃至23のいずれか1項に記載の装置。
  25. 前記プロセス・ガスの組成の輝線の発光の時間プロファイルを測定する測定装置を含む請求項24に記載の装置。
  26. SiO輝線の時間プロファイルを測定する測定装置を含む請求項24または25に記載の装置。
  27. 前記プラズマの輝線の強度に基づいてプラズマ・パルスを計数する装置を含む請求項24乃至26のいずれか1項に記載の装置。
  28. 前記監視装置は単位時間当たりのプラズマ・パルス数を判定するように設計された請求項27に記載の装置。
  29. 前記監視装置はプラズマ・パルス数を電磁パルス数と比較するように設計された請求項27または28に記載の装置。
  30. 吸収線の強度を測定する装置を含む請求項1乃至29のいずれか1項に記載の装置。
  31. 前記汚れたプロセス・ガスの吸収スペクトルまたは発光スペクトルの少なくとも1つのスペクトル・パラメータを測定する装置を含む請求項1乃至30のいずれか1項に記載の装置。
  32. 前記少なくとも1つの測定されたスペクトル・パラメータを用いて、前記コーティング・プロセスの少なくとも1つのさらなるパラメータを制御する制御装置を含む請求項1乃至31のいずれか1項に記載の装置。
  33. 前記少なくとも1つの測定されたスペクトル・パラメータを用いて、
    前記プロセス・ガスの組成、
    前記プロセス・ガスの圧力、
    前記電磁エネルギの出力、
    前記電磁エネルギのパルス継続時間、
    前記電磁エネルギのデューティ・サイクル
    のパラメータの少なくとも1つを制御する制御装置を含む請求項32に記載の装置。
  34. 請求項1乃至33のいずれか1項に記載の方法または装置を用いてコーティングされ得る、コーティングされた加工品のバッチからの加工品。
  35. 前記加工品はプラスチック製ボトルである請求項33に記載のコーティングされた加工品のバッチからの加工品。
  36. 前記コーティングされたプラスチック製ボトルは、未コーティングのプラスチック製ボトルと比較して90%、好適には95%低減される漏れ率を有する請求項35に記載のコーティングされた加工品のバッチからの加工品。
  37. 前記バッチ内の加工品間の前記付着させたコーティングの層厚の変動は、最大でも5%である請求項33、34、または35に記載のコーティングされた加工品のバッチからの加工品。
JP2005251479A 2004-08-31 2005-08-31 プロセス・パラメータの分光評価を用いた加工品のプラズマ・コーティングのための方法および装置 Active JP5179713B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
DE102004042431A DE102004042431B4 (de) 2004-08-31 2004-08-31 Verfahren und Vorrichtung zur Plasmabeschichtung von Werkstücken mit spektraler Auswertung der Prozessparameter und Verwendung der Vorrichtung
DE102004042431.4 2004-08-31

Publications (2)

Publication Number Publication Date
JP2006083468A true JP2006083468A (ja) 2006-03-30
JP5179713B2 JP5179713B2 (ja) 2013-04-10

Family

ID=35455938

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2005251479A Active JP5179713B2 (ja) 2004-08-31 2005-08-31 プロセス・パラメータの分光評価を用いた加工品のプラズマ・コーティングのための方法および装置

Country Status (5)

Country Link
US (1) US8397667B2 (ja)
EP (1) EP1630848B1 (ja)
JP (1) JP5179713B2 (ja)
AT (1) ATE412971T1 (ja)
DE (2) DE102004042431B4 (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013522464A (ja) * 2010-03-12 2013-06-13 カーハーエス コーポプラスト ゲーエムベーハー 工作物をプラズマ処理する方法および装置
JP2013544966A (ja) * 2010-10-18 2013-12-19 カーハーエス コーポプラスト ゲーエムベーハー 工作物のプラズマ処理方法および装置
KR20140109267A (ko) * 2013-03-04 2014-09-15 에이에스엠 아이피 홀딩 비.브이. 순환식 플라즈마 지원 프로세스를 제어하는 방법
WO2014157250A1 (ja) * 2013-03-25 2014-10-02 国立大学法人名古屋大学 成膜装置及び成膜方法

Families Citing this family (380)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1500600A4 (en) * 2002-04-26 2008-03-26 Hokkai Can PLASTIC CONTAINERS COATED ON THE INTERIOR AND METHOD OF MANUFACTURING THEREOF
US7399500B2 (en) * 2002-08-07 2008-07-15 Schott Ag Rapid process for the production of multilayer barrier layers
KR100816453B1 (ko) * 2006-06-28 2008-03-27 (주)쎄미시스코 공정챔버의 실시간 리크 검출 시스템
DE102007037527B4 (de) 2006-11-10 2013-05-08 Schott Ag Verfahren zum Beschichten von Gegenständen mit Wechselschichten
DE102006053366A1 (de) * 2006-11-10 2008-05-15 Schott Ag Verfahren und Vorrichtung zur plasmaunterstützten chemischen Dampfphasenabscheidung
US8393197B2 (en) * 2008-07-24 2013-03-12 Pivotal Systems Corporation Method and apparatus for the measurement of atmospheric leaks in the presence of chamber outgassing
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
DE102009011960B4 (de) 2009-03-10 2013-06-13 Schott Ag Verfahren zur Überwachung von Plasma-Entladungen
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
WO2013170052A1 (en) 2012-05-09 2013-11-14 Sio2 Medical Products, Inc. Saccharide protective coating for pharmaceutical package
US7985188B2 (en) 2009-05-13 2011-07-26 Cv Holdings Llc Vessel, coating, inspection and processing apparatus
KR101823159B1 (ko) * 2009-05-13 2018-01-29 에스아이오2 메디컬 프로덕츠, 인크. 유기실리콘 전구체를 이용한 pecvd 코팅
PL2251453T3 (pl) 2009-05-13 2014-05-30 Sio2 Medical Products Inc Uchwyt na pojemnik
US9458536B2 (en) 2009-07-02 2016-10-04 Sio2 Medical Products, Inc. PECVD coating methods for capped syringes, cartridges and other articles
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US11624115B2 (en) 2010-05-12 2023-04-11 Sio2 Medical Products, Inc. Syringe with PECVD lubrication
US9878101B2 (en) 2010-11-12 2018-01-30 Sio2 Medical Products, Inc. Cyclic olefin polymer vessels and vessel coating methods
US9272095B2 (en) 2011-04-01 2016-03-01 Sio2 Medical Products, Inc. Vessels, contact surfaces, and coating and inspection apparatus and methods
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
CN103649366A (zh) * 2011-07-01 2014-03-19 莱茵豪森等离子有限公司 空心件的等离子体处理
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US11116695B2 (en) 2011-11-11 2021-09-14 Sio2 Medical Products, Inc. Blood sample collection tube
EP2776603B1 (en) 2011-11-11 2019-03-06 SiO2 Medical Products, Inc. PASSIVATION, pH PROTECTIVE OR LUBRICITY COATING FOR PHARMACEUTICAL PACKAGE, COATING PROCESS AND APPARATUS
US9554968B2 (en) 2013-03-11 2017-01-31 Sio2 Medical Products, Inc. Trilayer coated pharmaceutical packaging
DE102012200976A1 (de) 2012-01-24 2013-07-25 Krones Ag Qualitätskontrolle von Behälterbeschichtungen
DE102012204690A1 (de) * 2012-03-23 2013-09-26 Krones Ag Vorrichtung zum Plasmabeschichten von Füllgutbehältern, wie Flaschen
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
CN104854257B (zh) 2012-11-01 2018-04-13 Sio2医药产品公司 涂层检查方法
US9903782B2 (en) 2012-11-16 2018-02-27 Sio2 Medical Products, Inc. Method and apparatus for detecting rapid barrier coating integrity characteristics
BR112015012470B1 (pt) 2012-11-30 2022-08-02 Sio2 Medical Products, Inc Método de produção de um tambor médico para um cartucho ou seringa médica
US9764093B2 (en) 2012-11-30 2017-09-19 Sio2 Medical Products, Inc. Controlling the uniformity of PECVD deposition
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9662450B2 (en) 2013-03-01 2017-05-30 Sio2 Medical Products, Inc. Plasma or CVD pre-treatment for lubricated pharmaceutical package, coating process and apparatus
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9937099B2 (en) 2013-03-11 2018-04-10 Sio2 Medical Products, Inc. Trilayer coated pharmaceutical packaging with low oxygen transmission rate
US9863042B2 (en) 2013-03-15 2018-01-09 Sio2 Medical Products, Inc. PECVD lubricity vessel coating, coating process and apparatus providing different power levels in two phases
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9275840B2 (en) 2014-01-25 2016-03-01 Yuri Glukhoy Method for providing uniform distribution of plasma density in a plasma treatment apparatus
US9484190B2 (en) 2014-01-25 2016-11-01 Yuri Glukhoy Showerhead-cooler system of a semiconductor-processing chamber for semiconductor wafers of large area
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
EP3693493A1 (en) 2014-03-28 2020-08-12 SiO2 Medical Products, Inc. Antistatic coatings for plastic vessels
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
KR20180048694A (ko) 2015-08-18 2018-05-10 에스아이오2 메디컬 프로덕츠, 인크. 산소 전달률이 낮은, 의약품 및 다른 제품의 포장용기
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
KR102695659B1 (ko) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
US11635338B2 (en) * 2020-10-23 2023-04-25 Applied Materials, Inc. Rapid chamber vacuum leak check hardware and maintenance routine
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US20220307428A1 (en) * 2021-03-23 2022-09-29 General Electric Company Hydrogen fuel leak detection system
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08106992A (ja) * 1994-03-24 1996-04-23 Hitachi Ltd プラズマ処理方法およびその装置
JPH08181104A (ja) * 1994-12-27 1996-07-12 Hitachi Ltd エッチングのモニタ方法
JPH1060660A (ja) * 1996-07-24 1998-03-03 Carl Zeiss:Fa 中空体の内側被覆方法及び装置
JP2000243268A (ja) * 1999-02-19 2000-09-08 Mitsubishi Electric Corp 陰極線管の製造装置及び製造方法
JP2001335949A (ja) * 2000-05-26 2001-12-07 Ind Technol Res Inst プラズマ式化学蒸気沈積設備の自動監視方法及び系統
US6376006B1 (en) * 2000-01-07 2002-04-23 Crown Cork & Seal Technologies Corporation Closure lining and color detector
US20030190761A1 (en) * 2002-03-20 2003-10-09 Applied Materials, Inc. System, method and medium for modeling, monitoring and/or controlling plasma based semiconductor manufacturing processes
JP2004061311A (ja) * 2002-07-29 2004-02-26 Shin Nikkei Co Ltd アルミニウム押出形材の製造装置及び製造方法
US6806198B1 (en) * 2001-05-23 2004-10-19 Advanced Micro Devices, Inc. Gas-assisted etch with oxygen

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4858768A (en) * 1986-08-04 1989-08-22 The Coca-Cola Company Method for discrimination between contaminated and uncontaminated containers
US5759424A (en) * 1994-03-24 1998-06-02 Hitachi, Ltd. Plasma processing apparatus and processing method
US5521351A (en) * 1994-08-30 1996-05-28 Wisconsin Alumni Research Foundation Method and apparatus for plasma surface treatment of the interior of hollow forms
DE4437050A1 (de) * 1994-10-17 1996-04-18 Leybold Ag Vorrichtung zum Behandeln von Oberflächen von Hohlkörpern, insbesondere von Innenflächen von Kraftstofftanks
DE4438359C2 (de) * 1994-10-27 2001-10-04 Schott Glas Behälter aus Kunststoff mit einer Sperrbeschichtung
US5789754A (en) * 1996-08-19 1998-08-04 Micron Technology, Inc. Leak detection method and apparatus for plasma processing equipment
JP4387573B2 (ja) * 1999-10-26 2009-12-16 東京エレクトロン株式会社 プロセス排気ガスモニタ装置及び方法、半導体製造装置、及び半導体製造装置管理システム及び方法
JP4340764B2 (ja) * 2002-04-26 2009-10-07 北海製罐株式会社 内面被覆プラスチック容器の製造方法
DE10258681A1 (de) * 2002-08-07 2004-02-26 Schott Glas Verfahren zum Herstellen von glatten Barriereschichten und Verbundmaterial mit glatter Barriereschicht
DE10258678B4 (de) * 2002-12-13 2004-12-30 Schott Ag Schnelles Verfahren zur Herstellung von Multilayer-Barriereschichten
US20040235299A1 (en) * 2003-05-22 2004-11-25 Axcelis Technologies, Inc. Plasma ashing apparatus and endpoint detection process

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08106992A (ja) * 1994-03-24 1996-04-23 Hitachi Ltd プラズマ処理方法およびその装置
JPH08181104A (ja) * 1994-12-27 1996-07-12 Hitachi Ltd エッチングのモニタ方法
JPH1060660A (ja) * 1996-07-24 1998-03-03 Carl Zeiss:Fa 中空体の内側被覆方法及び装置
JP2000243268A (ja) * 1999-02-19 2000-09-08 Mitsubishi Electric Corp 陰極線管の製造装置及び製造方法
US6376006B1 (en) * 2000-01-07 2002-04-23 Crown Cork & Seal Technologies Corporation Closure lining and color detector
JP2001335949A (ja) * 2000-05-26 2001-12-07 Ind Technol Res Inst プラズマ式化学蒸気沈積設備の自動監視方法及び系統
US6806198B1 (en) * 2001-05-23 2004-10-19 Advanced Micro Devices, Inc. Gas-assisted etch with oxygen
US20030190761A1 (en) * 2002-03-20 2003-10-09 Applied Materials, Inc. System, method and medium for modeling, monitoring and/or controlling plasma based semiconductor manufacturing processes
JP2004061311A (ja) * 2002-07-29 2004-02-26 Shin Nikkei Co Ltd アルミニウム押出形材の製造装置及び製造方法

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013522464A (ja) * 2010-03-12 2013-06-13 カーハーエス コーポプラスト ゲーエムベーハー 工作物をプラズマ処理する方法および装置
JP2013544966A (ja) * 2010-10-18 2013-12-19 カーハーエス コーポプラスト ゲーエムベーハー 工作物のプラズマ処理方法および装置
KR20140109267A (ko) * 2013-03-04 2014-09-15 에이에스엠 아이피 홀딩 비.브이. 순환식 플라즈마 지원 프로세스를 제어하는 방법
KR102185808B1 (ko) * 2013-03-04 2020-12-03 에이에스엠 아이피 홀딩 비.브이. 순환식 플라즈마 지원 프로세스를 제어하는 방법
WO2014157250A1 (ja) * 2013-03-25 2014-10-02 国立大学法人名古屋大学 成膜装置及び成膜方法
JPWO2014157250A1 (ja) * 2013-03-25 2017-02-16 国立大学法人名古屋大学 成膜装置及び成膜方法

Also Published As

Publication number Publication date
EP1630848B1 (de) 2008-10-29
EP1630848A1 (de) 2006-03-01
ATE412971T1 (de) 2008-11-15
DE502005005787D1 (de) 2008-12-11
US20060051520A1 (en) 2006-03-09
DE102004042431A1 (de) 2006-03-16
DE102004042431B4 (de) 2008-07-03
US8397667B2 (en) 2013-03-19
JP5179713B2 (ja) 2013-04-10

Similar Documents

Publication Publication Date Title
JP5179713B2 (ja) プロセス・パラメータの分光評価を用いた加工品のプラズマ・コーティングのための方法および装置
KR100521109B1 (ko) 처리 장치 및 클리닝 방법
US6838114B2 (en) Methods for controlling gas pulsing in processes for depositing materials onto micro-device workpieces
JP4036247B2 (ja) 中空体の内側被覆方法及び装置
JP3982402B2 (ja) 処理装置及び処理方法
US10615066B2 (en) Substrate warping monitoring device and substrate processing apparatus using the same, and substrate warping monitoring method
US7537671B2 (en) Self-calibrating optical emission spectroscopy for plasma monitoring
US20100297783A1 (en) Plasma Processing Method
JP2009513827A (ja) プラズマを監視する方法、この方法を実施する装置、この方法を使用して膜をpet中空体上に付着させる方法
US20170268098A1 (en) Film formation apparatus and film formation method
JP4544265B2 (ja) シャワーヘッド構造及び成膜処理装置
US5284547A (en) Plasma-process system with batch scheme
JP2006086325A (ja) クリーニングの終点検出方法
KR100255362B1 (ko) 성막 장치
US12014943B2 (en) Method of manufacturing semiconductor device and non-transitory computer-readable recording medium
CN105336638A (zh) 一种刻蚀终点检测系统
US8062716B2 (en) Method for forming thin film, apparatus for forming thin film, and method for monitoring thin film forming process
JP2009053134A (ja) 膜厚測定方法及び装置、並びにそれを用いたエッチング方法及び装置
JP2010151744A (ja) 貯留槽のレベル測定装置
JP4411896B2 (ja) 薄膜成膜プロセスの監視方法および薄膜成膜装置
Arshad et al. Analysis of optical plasma monitoring in plasma-enhanced atomic layer deposition process of Al2O3
JP4379057B2 (ja) 蒸着膜検査方法及び蒸着膜検査システム
KR20070084829A (ko) 반도체 제조용 식각설비의 리크검출장치 및 그 방법
US6265231B1 (en) Process control via valve position and rate of position change monitoring
JP2003151955A (ja) プラズマエッチング方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080820

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110829

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20111129

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20111202

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20120514

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120913

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20121024

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20121025

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20121114

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20121213

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130110

R150 Certificate of patent or registration of utility model

Ref document number: 5179713

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250