JP2005509280A - 複数の半導体基板の高圧加工用チャンバ - Google Patents

複数の半導体基板の高圧加工用チャンバ Download PDF

Info

Publication number
JP2005509280A
JP2005509280A JP2003533320A JP2003533320A JP2005509280A JP 2005509280 A JP2005509280 A JP 2005509280A JP 2003533320 A JP2003533320 A JP 2003533320A JP 2003533320 A JP2003533320 A JP 2003533320A JP 2005509280 A JP2005509280 A JP 2005509280A
Authority
JP
Japan
Prior art keywords
chamber
pressure processing
cassette
processing chamber
chamber housing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2003533320A
Other languages
English (en)
Other versions
JP2005509280A5 (ja
Inventor
エー. ビバーガー,マキシミリアン
ピー. レイマン,フレデリック
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2005509280A publication Critical patent/JP2005509280A/ja
Publication of JP2005509280A5 publication Critical patent/JP2005509280A5/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/67303Vertical boat type carrier whereby the substrates are horizontally supported, e.g. comprising rod-shaped elements
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0021Cleaning by methods not provided for in a single other subclass or a single group in this subclass by liquid gases or supercritical fluids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67057Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing with the semiconductor substrates being dipped in baths or vessels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/67303Vertical boat type carrier whereby the substrates are horizontally supported, e.g. comprising rod-shaped elements
    • H01L21/67309Vertical boat type carrier whereby the substrates are horizontally supported, e.g. comprising rod-shaped elements characterized by the substrate support

Abstract

複数の半導体基板を加工するための高圧加工チャンバが、チャンバハウジング、カセット、チャンバ閉鎖体を備えている。カセットはチャンバハウジングに対して取り外しができるように取り付けられている。
カセットは、少なくとも2つの半導体基板を収容するようになっている。チャンバ閉鎖体は、チャンバハウジングに結合されている。
運転時には、半導体基板の高圧加工のための閉鎖状態を提供すべく、チャンバ閉鎖体は、チャンバハウジングとともにシールされている。

Description

本発明は、複数の半導体基板の高圧加工用チャンバに関する。さらに詳しく言えば、複数の半導体基板を同時に加工する機能を備えた高圧加工用チャンバに関する。
近年、フォトレジスト(感光性樹脂)の除去、リンス(すすぎ)剤の乾燥、フォトレジストの感光のような加工に関する半導体基板の超臨界加工について、関心が高まっている。超臨界加工とは、臨界圧力および臨界温度と同じかそれ以上の圧力と温度の条件のもとで、高圧加工をするものを言う。臨界圧力および臨界温度以上においては、液相や気相は存在せず、これに替わり、超臨界相が存在する。
典型的な半導体基板は、半導体ウエハである。半導体ウエハは、薄い断面と大きい直径を持つ。最近、半導体ウエハは、直径300mmまでの直径を持つ。半導体の開発ならびに半導体加工用装置への投資額の理由で、半導体加工は、効率的で信頼性が高く経済的でなければならない。
このように、半導体基板の半導体加工用の超臨界加工システムは、効率的で信頼性が高く経済的な高圧加工チャンバを持たなければならない。必要とされるものは、効率的で信頼性が高く経済的な半導体基板用高圧加工チャンバである。
本発明は、複数の半導体基板を加工するための高圧加工チャンバである。高圧加工チャンバは、チャンバハウジング、カセット、チャンバ閉鎖体を備えている。カセットはチャンバハウジングに対して取り外しができるように取り付けられている。カセットは、少なくとも2つの半導体基板を収容するようになっている。
チャンバ閉鎖体は、チャンバハウジングに結合されている。運転中に半導体基板の高圧加工のための閉鎖状態が提供できるよう、チャンバ閉鎖体は、チャンバハウジングとともにシールするようになっている。
本発明に係る好適な高圧加工チャンバは、複数の半導体基板を、同時に加工することが好ましい。半導体基板は、複数の半導体ウエハを含むことが好ましい。又は、半導体基板は、他形式の半導体基板、例えば複数の半導体パック(puck)を備えていても良い。あるいは、半導体基板は、複数の半導体デバイスをそれぞれ固定することができる複数のトレイ皿を備えていても良い。
本発明に係る好適な高圧加工チャンバは、超臨界環境を提供することが好ましい。本発明に係る好適な高圧加工チャンバとしては、超臨界CO2加工環境を提供することが、更に好ましい。超臨界CO2加工環境としては、リンス(すすぎ)はされているが未乾燥の感光済フォトレジストを乾燥させるための環境も提供できることが好ましい。又は、超臨界CO2加工環境は、例えばMEMSデバイスの乾燥のような他形式半導体の乾燥工程に用いる乾燥環境を含んでも良い。又は、超臨界CO2加工環境は、フォトレジストを感光させる環境を含んでも良い。あるいは、超臨界CO2加工環境は、例えば、フォトレジストと残渣物の洗浄、又はCMP(化学機械的平坦化加工)残渣物洗浄のための半導体洗浄環境を含んでも良い。
図1に、本発明に係る高圧加工用チャンバ組立品を示す。当該チャンバ組立品10は、好適な高圧加工用チャンバ12と蓋持ち上げ機構14を備える。好適な高圧加工用チャンバ12は、チャンバハウジング16、チャンバ蓋18、ロック用リング20、好適なカセット22、第1のOリングシール26等を備える。チャンバハウジング16とチャンバ蓋18は、ステンレス鋼から成ることが好ましい。ロック用リング20は、高張力鋼から成ることが好ましい。好適なカセット22は、ステンレス鋼から成ることが好ましい。又は、カセット22は、耐腐食金属から成ることが好ましい。あるいは、カセット22は、耐腐食高分子材料から成ることが好ましい。
蓋持ち上げ機構14は、チャンバ蓋18に結合されている。ロック用リング20は、チャンバハウジング16に対して結合される。好適な高圧加工用チャンバ12が閉鎖されたとき、ロック用リング20は、チャンバハウジング16をチャンバ蓋18に結合させて、加工用囲繞体24を形成する。好適なカセット22は、チャンバハウジング16の内部に取り付けられる。
ロック用リング20は、使用時は、チャンバ蓋18をチャンバハウジング16へロックする。又、加工用囲繞体24の内部の高圧流体が、第1のOリングシール26から漏出するのを防止するため、ロック用リング20は、チャンバ蓋18とチャンバハウジング16の間でシール力を維持する。ロック用リング20と、チャンバ蓋18との締結が解除されたとき、蓋持ち上げ機構14は、蓋18を、持ち上げて、チャンバハウジング16から離しながら、ぐるりと回して移動させる。
本発明に係るロック用リングを、更に、図2Aおよび2Bに示す。ロック用リング20は、部分ねじ(broken thread)とリップ縁21とを備える。部分ねじは、合わせ面23を含み、この合わせ面23は、チャンバハウジング16の対応する造作部と、対になって合わせられる。(図1)
高圧加工用チャンバ10を、更に図3に示す。運転時には、好適なカセット22は、半導体ウエハ28を固定することが好ましい。ロボット(図示せず)は、好適なカセット22をチャンバハウジング16の中へ取り付けた後、後退することが好ましい。その後、蓋持ち上げ機構14(図1)は、チャンバ蓋18をチャンバハウジング16の上方へ降ろす。これに続いて、ロック用リング20は、チャンバ蓋18をチャンバハウジング16に対して、ロックしシールする。引き続き、半導体ウエハは、超臨界環境で加工されることが好ましい。次に、蓋持ち上げ機構14は、チャンバ蓋18を持ち上げる。最後に、ロボットは、好適なカセット22を、チャンバハウジング16から移動させる。
本発明に係る好適なカセット22を、更に図4に示す。好適なカセット22は、カセットフレーム30と保持用バー32とから成る。カセットフレーム30は、ウエハ固定用溝34と持ち上げ用造作部36とから成る。保持用バー32は、ヒンジ(蝶つがい)38を媒介としてカセットフレーム30に取付けられていることが好ましい。使用時には、半導体ウエハ28(アンダラインにより示す)は、好適なカセット22の中へ装着されることが好ましい。半導体ウエハ28は、FOUP(前面開放一体形ポッド)から好適なカセット22へ移動させ、好適なカセット22の中へ装着させるほうが、より好ましい。一旦、半導体ウエハ28が好適なカセット22の中へ装着されると、保持用バー32は、カセットフレーム30の保持用溝40の中へスナップ留めされることが好ましい。
本発明に係る自動加工用機器類の配置構成を図5Aおよび5Bに示す。自動加工用機器類の配置構成41は、チャンバハウジング16、ロボット42、第1および第2カセット、44と46から成る。ロボット42は、ロボット台48、垂直動機器49、ロボットアーム50およびフォーク状カセット係合具52から成る。ロボット台48は、ロボットアーム50に対して回転動Aをする。垂直動機器49は、ロボットアーム50に対して垂直動Bをする。
加工に入る前に、第1および第2カセット、44と46には、半導体ウエハ28が装着される。操作時には、ロボットアーム50は、フォーク状カセット係合具52を、第1カセット44の持ち上げ用造作部36を通過して伸長させ、第1カセット44を、持ち上げ、チャンバハウジング16の上方位置へ移動させ、チャンバハウジング16の中へ降ろし、フォーク状カセット係合具52を後退させる。
これに続いて、第1カセット44内の半導体ウエハ28を、加工する。次に、ロボット42は、フォーク状カセット係合具52を、第1カセット44の持ち上げ用造作部36を通過して伸長させ、第1カセット44を、チャンバハウジング16から移動させる。引き続き、ロボット42は、第1カセット44の持ち運びと同一手法で、更なる半導体ウエハ28を保持する第2カセット46を持ち運ぶ。
本発明に係る噴射ノズルの配列体および流体出口の配列体を、図6Aおよび6Bに示す。噴射ノズルの配列体54および流体出口の配列体56は、チャンバハウジング16の内部に設置することが好ましい。又は、噴射ノズルの配列体54は、好適なカセット22(図4)の一部に設けても良い。あるいは、流体出口の配列体56は、好適なカセット22(図4)の一部に設けても良い。噴射ノズルの配列体54は、貯留部58と複数の噴射ノズル60を備える。流体出口の配列体56は、流体出口62と排出部64を備える。運転時には、噴射ノズルの配列体54および流体出口の配列体56は、連携して働き、半導体ウエハ28を横断する加工用流体流れ66を、発生させる。
本発明に係る超臨界加工システムを、図7に示す。超臨界加工システム200は、好適な高圧加工用チャンバ12、圧力チャンバ用ヒータ204、炭酸ガス供給系206、循環路208、循環ポンプ210、化学剤およびリンス剤供給系212、分離用容器214、液体および固体の廃物収集容器217、液化および浄化装置219を含む。炭酸ガス供給系206は、炭酸ガス供給容器216、炭酸ガスポンプ218、炭酸ガスヒータ220を含む。化学剤およびリンス剤供給系212は、化学剤供給容器222、リンス剤供給容器224、第1および第2高圧噴射ポンプ、226および228を含む。
炭酸ガス供給容器216は、炭酸ガスポンプ218および炭酸ガスパイプ230を経由して高圧加工用チャンバ12とつながれる。炭酸ガスパイプ230は、炭酸ガスポンプ218と高圧加工用チャンバ12との間に設置する炭酸ガスヒータ220を含む。圧力チャンバ用ヒータ204は、高圧加工用チャンバ12と結合される。循環ポンプ210は、循環路208上に設置する。循環路208は、入口232と出口234の地点で、高圧加工用チャンバ12と結合される。化学剤供給容器222は、化学剤供給ライン236を経由して循環路208と結合される。リンス剤供給容器224は、リンス剤供給ライン238を経由して循環路208と結合される。分離用容器214は、排気ガスパイプ240を経由して、高圧加工用チャンバ12と結合される。液体および固体の廃物収集容器217は、分離用容器214と結合される。
分離用容器214は、戻りガスパイプ241を経由して、液化および浄化装置219と結合されることが好ましい。液化および浄化装置219は、液化炭酸ガス供給パイプ243を経由して、炭酸ガス供給容器216と結合されることが好ましい。又は、離れた場所に液化および浄化装置219が設置されても良い。液化および浄化装置219は、ガス収集容器内で排気ガスを受取り、液化炭酸ガス容器内に液化炭酸ガスを戻す。
圧力チャンバ用ヒータ204は、高圧加工用チャンバ12を加熱する。圧力チャンバ用ヒータ204は、囲繞形ヒータが好ましい。圧力チャンバ用ヒータは、他形式のヒータを選択しても良い。
第1と第2のフィルタ、221と223は、循環路208と結合されることが好ましい。第1フィルタ221は、緻密なフィルタであることが好ましい。第1フィルタ221は、0.05μm以上の粒子を捕集する緻密なフィルタであることが、更に好ましい。第2フィルタ223は、粗いフィルタであることが好ましい。第2フィルタ223は、2〜3μm以上の粒子を捕集する粗いフィルタであることが、更に好ましい。第3フィルタ225により、炭酸ガス供給容器216が、炭酸ガスポンプ218に結合されることが好ましい。第3フィルタ225は、緻密なフィルタであることが好ましい。第3フィルタ225は、0.05μm以上の粒子を捕集する緻密なフィルタであることが、更に好ましい。
超臨界加工システム200が、当該加工システムにおける典型的バルブ、典型的電子制御機器、典型的な実用的接続を含んでいることは、当該技術分野における通常の知識を有する者にとり、明らかであろう。
本発明に係る高圧加工用チャンバの第1変形例を、図8に示す。高圧加工用チャンバの第1変形例12Aは、変形例があるチャンバハウジング16A、変形例があるチャンバ蓋18Aとボルト66を備える。高圧加工用チャンバの第1変形例において、ボルト66が、好適な高圧加工用チャンバ12のロック用リング20(図3)に対して、置き換えられる。
本発明に係る高圧加工用チャンバの第2変形例は、当該加工用チャンバ12の中心軸が水平になるように方向づけられた好適な高圧加工用チャンバ12を備える。したがって、当該加工用チャンバの第2変形例において、チャンバ蓋18は、チャンバドアになる。
本発明に係るカセットの第1変形例を、図9に示す。カセットの第1変形例80は、変形例があるカセットフレーム82と変形例がある保持具84から成る。カセットの第1変形例において、変形例がある保持具84は、変形例があるカセットフレーム82と第1と第2の孔、86と88において結合される。変形例がある保持具84は、第2の孔88に挿入される挿入部90を有する。
本発明に係るカセットの第2変形例を、図10に示す。カセットの第2変形例100は、ウエハ固定部102とウエハ保持部104とから成る。ウエハ固定部102は、ウエハを固定する。ウエハ保持部104は、半分ヒンジ106と突起108を含む。ウエハ固定部102は、ヒンジ係合部110と係合用突起112を備える。運転時は、ウエハ固定部102とウエハ保持部104は、分離されている。ウエハ28は、FOUPから、ウエハ固定部102に装着されることが好ましい。その時、ウエハ保持部104の半分ヒンジ106は、ウエハ固定部102のヒンジ係合部110に係合される。最後に、ウエハ保持部104の突起108は、ウエハ固定部102の係合用突起112の中へスナップ留めされる。
本願請求項により定義された発明の思想と範囲から離れること無く、発明思想を好適に具現化する際、他の様々な変形案が作り出されることは、当該技術分野における通常の知識を有する者にとり、明らかであろう。
本発明に係る高圧加工用チャンバとリフト機構の好適な実施形態である。 本発明に係るロック用リングである。 本発明に係るロック用リングである。 本発明に係る高圧加工用チャンバとリフト機構の好適な実施形態の更なる説明図である。 本発明に係るカセットの好適な実施形態である。 本発明に係るチャンバハウジング、第1および第2カセット、ロボットである。 本発明に係るチャンバハウジング、第1および第2カセット、ロボットである。 本発明に係る噴射ノズル配列体および流体出口配列体である。 本発明に係る噴射ノズル配列体および流体出口配列体である。 本発明に係る超臨界加工システムである。 本発明に係る高圧加工用チャンバの第1変形例である。 本発明に係るカセットの第1変形例である。 本発明に係るカセットの第2変形例である。

Claims (21)

  1. 複数の半導体基板を加工するための高圧加工チャンバであって、以下のものを備えたチャンバ。
    a チャンバハウジング
    b チャンバハウジングに対して取り外しができるように取り付けられており、少なくとも2つの半導体基板を収容するようにした第1カセット
    c チャンバハウジングに結合されたチャンバ閉鎖体であって、運転中に、半導体基板の高圧加工のための閉鎖状態を提供できるよう、チャンバ閉鎖体が、チャンバハウジングとともにシールされている、チャンバ閉鎖体
  2. 請求項1に記載の高圧加工チャンバであって、
    チャンバハウジングとドアから形成されている囲繞体が、超臨界加工のための環境を提供することを特徴とする、高圧加工チャンバ。
  3. 請求項1に記載の高圧加工チャンバであって、
    チャンバハウジングとドアから形成されている囲繞体が、超臨界状態より低い高圧加工のための環境を提供することを特徴とする、高圧加工チャンバ。
  4. 請求項1に記載の高圧加工チャンバであって、
    複数の半導体基板のうち少なくとも1つが、半導体ウエハを備えており、
    さらに、チャンバハウジングと第1カセットが、半導体ウエハを収容するようにしたことを特徴とする、高圧加工チャンバ。
  5. 請求項1に記載の高圧加工チャンバであって、
    複数の半導体基板のうち少なくとも1つが、半導体パックを備えており、
    さらにチャンバハウジングと第1カセットが、半導体パックを収容するようにしたことを特徴とする、高圧加工チャンバ。
  6. 請求項1に記載の高圧加工チャンバであって、
    複数の半導体基板のうち少なくとも1つが、複数の半導体デバイスを固定するためのトレイ皿を備えており、
    さらにチャンバハウジングと第1カセットが、トレイ皿を収容するようにしたことを特徴とする、高圧加工チャンバ。
  7. 請求項1に記載の高圧加工チャンバであって、
    第1カセットを、第2カセットに置き換え可能であることを特徴とする、高圧加工チャンバ。
  8. 請求項7に記載の高圧加工チャンバであって、
    運転中に、第1カセットと第2カセットを取り付けたり取り外したりするロボットを設置したことを特徴とする、高圧加工チャンバ。
  9. 請求項1に記載の高圧加工チャンバであって、
    第1カセットが、噴射ノズル配列体を備えたことを特徴とする、高圧加工チャンバ。
  10. 請求項1に記載の高圧加工チャンバであって、
    第1カセットに、流体出口配列体を備えたことを特徴とする、高圧加工チャンバ。
  11. 請求項1に記載の高圧加工チャンバであって、
    第1カセットに、噴射ノズル配列体および流体出口配列体を備えたことを特徴とする、高圧加工チャンバ。
  12. 請求項11に記載の高圧加工チャンバであって、
    噴射ノズル配列体および流体出口配列体が、運転中に、複数の半導体基板の近傍に加工用流体の流れを発生させることを特徴とする、高圧加工チャンバ。
  13. 請求項12に記載の高圧加工チャンバであって、
    加工用流体の流れが、複数の半導体基板のそれぞれを横断する流れを備えていることを特徴とする、高圧加工チャンバ。
  14. 請求項13に記載の高圧加工チャンバであって、
    ある1つの半導体基板を横断する流れが、当該半導体基板の1つの側よりガス噴射がなされ、当該半導体基板のその反対側においてガス吸収がなされるようにされていることを特徴とする、高圧加工チャンバ。
  15. 請求項1に記載の高圧加工チャンバであって、
    チャンバハウジングは、長手方向に第1と第2の端面を持った概略円筒形状のものを備えていることを特徴とする、高圧加工チャンバ。
  16. 請求項15に記載の高圧加工チャンバであって、
    チャンバハウジングは、概略円筒形状の長手方向の第1端面が、ドーム形天井面を備えていることを特徴とする、高圧加工チャンバ。
  17. 請求項15に記載の高圧加工チャンバであって、
    チャンバ閉鎖体は、チャンバハウジングの概略円筒形の第2長手方向端面に対してシールするようにされていることを特徴とする、高圧加工チャンバ。
  18. 請求項15に記載の高圧加工チャンバであって、
    チャンバ閉鎖体は、ドーム形天井面を備えていることを特徴とする、高圧加工チャンバ。
  19. 複数の半導体基板を加工するための高圧加工チャンバであって、以下のものを備えたチャンバ。
    a チャンバハウジング
    b チャンバハウジングに対して取り外しができるように取り付けられており、少なくとも2つの半導体基板を収容するようにした第1カセット
    c チャンバハウジングに結合されたチャンバ閉鎖体であって、運転中に、半導体基板の高圧加工のための閉鎖状態を提供できるよう、チャンバ閉鎖体が、チャンバハウジングとともにシールされている、チャンバ閉鎖体
    d 噴射ノズル配列体および流体出口配列体であって、噴射ノズル配列体および流体出口配列体が、運転中に、複数の半導体基板の近傍に加工用流体の流れを発生させるように、チャンバハウジング内に結合された、噴射ノズル配列体および流体出口配列体
  20. 複数の半導体基板を加工するための高圧加工チャンバであって、以下のものを備えたチャンバ。
    a チャンバハウジング
    b チャンバハウジングに対して取り外しができるように取り付けられており、少なくとも2つの半導体基板を収容するようにした第1カセット
    c チャンバハウジングに結合されたチャンバ閉鎖体であって、
    運転中に、半導体基板の高圧加工のための閉鎖状態を提供できるよう、チャンバ閉鎖体が、チャンバハウジングとともにシールされている、チャンバ閉鎖体
    d チャンバハウジングに結合されたロボットであって、高圧加工の前に、第1カセットをチャンバハウジングの内部に取り付け、高圧加工後に、第1カセットを取り外し移動するようにされているロボット
  21. 複数の半導体基板を加工するための高圧加工チャンバであって、以下のものを備えたチャンバ。
    a チャンバハウジング
    b チャンバハウジングに対して取り外しができるように取り付けられており、少なくとも2つの半導体基板を収容するようにした第1カセット
    c チャンバハウジングに結合されたチャンバ閉鎖体であって、運転中に、半導体基板の高圧加工のための閉鎖状態を提供できるよう、チャンバ閉鎖体が、チャンバハウジングとともにシールされている、チャンバ閉鎖体
    d 噴射ノズル配列体および流体出口配列体であって、噴射ノズル配列体および流体出口配列体が、運転中に、複数の半導体基板の近傍に加工用流体の流れを発生させるように、チャンバハウジング内に結合された、噴射ノズル配列体および流体出口配列体
    e チャンバハウジングに結合されたロボットであって、高圧加工の前に、第1カセットをチャンバハウジングの内部に取り付け、高圧加工後に、第1カセットを取り外し移動するようにされているロボット
JP2003533320A 2001-10-03 2002-10-03 複数の半導体基板の高圧加工用チャンバ Pending JP2005509280A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/970,309 US20040040660A1 (en) 2001-10-03 2001-10-03 High pressure processing chamber for multiple semiconductor substrates
PCT/US2002/031710 WO2003030219A2 (en) 2001-10-03 2002-10-03 High pressure processing chamber for multiple semiconductor substrates

Publications (2)

Publication Number Publication Date
JP2005509280A true JP2005509280A (ja) 2005-04-07
JP2005509280A5 JP2005509280A5 (ja) 2006-01-05

Family

ID=25516738

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003533320A Pending JP2005509280A (ja) 2001-10-03 2002-10-03 複数の半導体基板の高圧加工用チャンバ

Country Status (9)

Country Link
US (1) US20040040660A1 (ja)
EP (1) EP1501961A4 (ja)
JP (1) JP2005509280A (ja)
KR (1) KR20040037245A (ja)
CN (1) CN1599807A (ja)
AU (1) AU2002334841A1 (ja)
CA (1) CA2462429A1 (ja)
TW (1) TW559879B (ja)
WO (1) WO2003030219A2 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2011142499A1 (ko) * 2010-05-10 2011-11-17 서강대학교 산학협력단 원통형 고압처리기
JP2012519392A (ja) * 2009-03-13 2012-08-23 エーエヌディ コーポレーション 高圧処理器及び高圧シーリング方法
JP2018534770A (ja) * 2015-10-04 2018-11-22 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板支持とバッフルの装置

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6748960B1 (en) 1999-11-02 2004-06-15 Tokyo Electron Limited Apparatus for supercritical processing of multiple workpieces
US7730898B2 (en) * 2005-03-01 2010-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor wafer lifter
US7767145B2 (en) 2005-03-28 2010-08-03 Toyko Electron Limited High pressure fourier transform infrared cell
US7789971B2 (en) 2005-05-13 2010-09-07 Tokyo Electron Limited Treatment of substrate using functionalizing agent in supercritical carbon dioxide
KR100744145B1 (ko) 2006-08-07 2007-08-01 삼성전자주식회사 초임계 유체를 이용하는 웨이퍼 처리 장치 및 웨이퍼 처리방법
KR101015336B1 (ko) * 2008-08-22 2011-02-16 삼성모바일디스플레이주식회사 내부 플레이트 및 이를 구비한 증착용 도가니 장치
US9676009B2 (en) * 2012-11-01 2017-06-13 Specrra Systems Corporation Supercritical fluid cleaning of banknotes and secure documents
EA030446B1 (ru) * 2012-11-01 2018-08-31 Спектра Систем Корпорейшн Очистка сверхкритической жидкостью банкнот и защищенных документов
US11174544B2 (en) * 2018-09-17 2021-11-16 Asm Nexx, Inc. Batch processing system with vacuum isolation
JP7336956B2 (ja) * 2019-10-10 2023-09-01 東京エレクトロン株式会社 基板処理システム、及び基板処理方法
JP7406385B2 (ja) * 2020-01-31 2023-12-27 株式会社Screenホールディングス 基板処理装置および基板処理システム

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0357217A (ja) * 1989-07-26 1991-03-12 Hitachi Ltd 超臨界ガス装置からの試料取り出し方法
JPH06260473A (ja) * 1993-03-04 1994-09-16 Tokyo Electron Ltd 回転処理装置
JPH07142441A (ja) * 1993-11-13 1995-06-02 Kaijo Corp 遠心乾燥装置
JPH07171527A (ja) * 1993-09-07 1995-07-11 Hughes Aircraft Co 液化ガスを使用した安価な洗浄装置
JPH07310192A (ja) * 1994-05-12 1995-11-28 Tokyo Electron Ltd 洗浄処理装置
JPH09213688A (ja) * 1995-11-29 1997-08-15 Toshiba Microelectron Corp 半導体基板等の表層の溶解方法及び装置
JPH11216437A (ja) * 1998-01-30 1999-08-10 Sharp Corp 超臨界流体洗浄方法及び超臨界流体洗浄装置
JPH11274132A (ja) * 1998-03-20 1999-10-08 Plasma System Corp 基板の洗浄方法及び洗浄装置
JP2000114218A (ja) * 1998-10-09 2000-04-21 Sony Corp ウエハ洗浄装置及びウエハ洗浄方法
JP2001060575A (ja) * 1999-08-20 2001-03-06 Kobe Steel Ltd 超臨界乾燥装置
JP2001077074A (ja) * 1999-08-31 2001-03-23 Kobe Steel Ltd 半導体ウエハ等の洗浄装置

Family Cites Families (98)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2625886A (en) * 1947-08-21 1953-01-20 American Brake Shoe Co Pump
US3744660A (en) * 1970-12-30 1973-07-10 Combustion Eng Shield for nuclear reactor vessel
US3968885A (en) * 1973-06-29 1976-07-13 International Business Machines Corporation Method and apparatus for handling workpieces
US4341592A (en) * 1975-08-04 1982-07-27 Texas Instruments Incorporated Method for removing photoresist layer from substrate by ozone treatment
US4029517A (en) * 1976-03-01 1977-06-14 Autosonics Inc. Vapor degreasing system having a divider wall between upper and lower vapor zone portions
US4091643A (en) * 1976-05-14 1978-05-30 Ama Universal S.P.A. Circuit for the recovery of solvent vapor evolved in the course of a cleaning cycle in dry-cleaning machines or plants, and for the de-pressurizing of such machines
JPS5448172A (en) * 1977-09-24 1979-04-16 Tokyo Ouka Kougiyou Kk Plasma reaction processor
US4367140A (en) * 1979-11-05 1983-01-04 Sykes Ocean Water Ltd. Reverse osmosis liquid purification apparatus
US4355937A (en) * 1980-12-24 1982-10-26 International Business Machines Corporation Low shock transmissive antechamber seal mechanisms for vacuum chamber type semi-conductor wafer electron beam writing apparatus
DE3112434A1 (de) * 1981-03-28 1982-10-07 Depa GmbH, 4000 Düsseldorf Druckluftgetriebene doppelmembran-pumpe
ZA822150B (en) * 1981-04-10 1983-11-30 Prestige Group Plc Pressure cookers
US4682937A (en) * 1981-11-12 1987-07-28 The Coca-Cola Company Double-acting diaphragm pump and reversing mechanism therefor
DE3145815C2 (de) * 1981-11-19 1984-08-09 AGA Gas GmbH, 2102 Hamburg Verfahren zum Entfernen von ablösungsfähigen Materialschichten von beschichteten Gegenständen,
US4522788A (en) * 1982-03-05 1985-06-11 Leco Corporation Proximate analyzer
US4426358A (en) * 1982-04-28 1984-01-17 Johansson Arne I Fail-safe device for a lid of a pressure vessel
DE3238768A1 (de) * 1982-10-20 1984-04-26 Kurt Wolf & Co Kg, 7547 Wildbad Kochgefaess aus kochtopf und deckel, insbesondere dampfdruckkochtopf
FR2536433A1 (fr) * 1982-11-19 1984-05-25 Privat Michel Procede et installation de nettoyage et decontamination particulaire de vetements, notamment de vetements contamines par des particules radioactives
US4865061A (en) * 1983-07-22 1989-09-12 Quadrex Hps, Inc. Decontamination apparatus for chemically and/or radioactively contaminated tools and equipment
US4549467A (en) * 1983-08-03 1985-10-29 Wilden Pump & Engineering Co. Actuator valve
GB8332394D0 (en) * 1983-12-05 1984-01-11 Pilkington Brothers Plc Coating apparatus
US4778356A (en) * 1985-06-11 1988-10-18 Hicks Cecil T Diaphragm pump
US4749440A (en) * 1985-08-28 1988-06-07 Fsi Corporation Gaseous process and apparatus for removing films from substrates
US5044871A (en) * 1985-10-24 1991-09-03 Texas Instruments Incorporated Integrated circuit processing system
US4827867A (en) * 1985-11-28 1989-05-09 Daikin Industries, Ltd. Resist developing apparatus
US4917556A (en) * 1986-04-28 1990-04-17 Varian Associates, Inc. Modular wafer transport and processing system
US4670126A (en) * 1986-04-28 1987-06-02 Varian Associates, Inc. Sputter module for modular wafer processing system
US4951601A (en) * 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
JPS63157870A (ja) * 1986-12-19 1988-06-30 Anelva Corp 基板処理装置
US5882165A (en) * 1986-12-19 1999-03-16 Applied Materials, Inc. Multiple chamber integrated process system
US4924892A (en) * 1987-07-28 1990-05-15 Mazda Motor Corporation Painting truck washing system
DE3725565A1 (de) * 1987-08-01 1989-02-16 Peter Weil Verfahren und anlage zum entlacken von gegenstaenden mit einem tauchbehaelter mit loesungsmittel
US5105556A (en) * 1987-08-12 1992-04-21 Hitachi, Ltd. Vapor washing process and apparatus
US4838476A (en) * 1987-11-12 1989-06-13 Fluocon Technologies Inc. Vapour phase treatment process and apparatus
JP2663483B2 (ja) * 1988-02-29 1997-10-15 勝 西川 レジストパターン形成方法
US4823976A (en) * 1988-05-04 1989-04-25 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Quick actuating closure
US5185296A (en) * 1988-07-26 1993-02-09 Matsushita Electric Industrial Co., Ltd. Method for forming a dielectric thin film or its pattern of high accuracy on a substrate
US5013366A (en) * 1988-12-07 1991-05-07 Hughes Aircraft Company Cleaning process using phase shifting of dense phase gases
US5051135A (en) * 1989-01-30 1991-09-24 Kabushiki Kaisha Tiyoda Seisakusho Cleaning method using a solvent while preventing discharge of solvent vapors to the environment
EP0409972B1 (en) * 1989-02-16 1992-10-21 PAWLISZYN, Janusz B. Apparatus and method for delivering supercritical fluid
US5288333A (en) * 1989-05-06 1994-02-22 Dainippon Screen Mfg. Co., Ltd. Wafer cleaning method and apparatus therefore
US5186718A (en) * 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US4923828A (en) * 1989-07-07 1990-05-08 Eastman Kodak Company Gaseous cleaning method for silicon devices
DE3926577A1 (de) * 1989-08-11 1991-02-14 Leybold Ag Vakuumpumpe mit einem rotor und mit unter vakuum betriebenen rotorlagerungen
US4983223A (en) * 1989-10-24 1991-01-08 Chenpatents Apparatus and method for reducing solvent vapor losses
US5213619A (en) * 1989-11-30 1993-05-25 Jackson David P Processes for cleaning, sterilizing, and implanting materials using high energy dense fluids
US5370741A (en) * 1990-05-15 1994-12-06 Semitool, Inc. Dynamic semiconductor wafer processing using homogeneous chemical vapors
DE4018464A1 (de) * 1990-06-08 1991-12-12 Ott Kg Lewa Membran fuer eine hydraulisch angetriebene membranpumpe
US5143103A (en) * 1991-01-04 1992-09-01 International Business Machines Corporation Apparatus for cleaning and drying workpieces
CH684402A5 (de) * 1991-03-04 1994-09-15 Xorella Ag Wettingen Vorrichtung zum Verschieben und Schwenken eines Behälter-Verschlusses.
JP3040212B2 (ja) * 1991-09-05 2000-05-15 株式会社東芝 気相成長装置
US5190373A (en) * 1991-12-24 1993-03-02 Union Carbide Chemicals & Plastics Technology Corporation Method, apparatus, and article for forming a heated, pressurized mixture of fluids
US5404894A (en) * 1992-05-20 1995-04-11 Tokyo Electron Kabushiki Kaisha Conveyor apparatus
TW263629B (ja) * 1992-05-27 1995-11-21 Nihon Densan Kk
US5313965A (en) * 1992-06-01 1994-05-24 Hughes Aircraft Company Continuous operation supercritical fluid treatment process and system
US5401322A (en) * 1992-06-30 1995-03-28 Southwest Research Institute Apparatus and method for cleaning articles utilizing supercritical and near supercritical fluids
US5316591A (en) * 1992-08-10 1994-05-31 Hughes Aircraft Company Cleaning by cavitation in liquefied gas
US5355901A (en) * 1992-10-27 1994-10-18 Autoclave Engineers, Ltd. Apparatus for supercritical cleaning
US5337446A (en) * 1992-10-27 1994-08-16 Autoclave Engineers, Inc. Apparatus for applying ultrasonic energy in precision cleaning
US5447294A (en) * 1993-01-21 1995-09-05 Tokyo Electron Limited Vertical type heat treatment system
US5377705A (en) * 1993-09-16 1995-01-03 Autoclave Engineers, Inc. Precision cleaning system
US5417768A (en) * 1993-12-14 1995-05-23 Autoclave Engineers, Inc. Method of cleaning workpiece with solvent and then with liquid carbon dioxide
EP0681317B1 (en) * 1994-04-08 2001-10-17 Texas Instruments Incorporated Method for cleaning semiconductor wafers using liquefied gases
JPH08306632A (ja) * 1995-04-27 1996-11-22 Shin Etsu Handotai Co Ltd 気相エピタキシャル成長装置
JPH08330266A (ja) * 1995-05-31 1996-12-13 Texas Instr Inc <Ti> 半導体装置等の表面を浄化し、処理する方法
WO1997032339A1 (fr) * 1996-02-29 1997-09-04 Tokyo Electron Limited Nacelle de traitement thermique pour plaquette de semi-conducteur
JP3346698B2 (ja) * 1996-03-18 2002-11-18 株式会社荏原製作所 高温用モータポンプとその運転方法
JP3176294B2 (ja) * 1996-08-26 2001-06-11 日本電気株式会社 半導体ウェーハ用キャリア
US5881577A (en) * 1996-09-09 1999-03-16 Air Liquide America Corporation Pressure-swing absorption based cleaning methods and systems
US6413355B1 (en) * 1996-09-27 2002-07-02 Tokyo Electron Limited Apparatus for and method of cleaning objects to be processed
US5908510A (en) * 1996-10-16 1999-06-01 International Business Machines Corporation Residue removal by supercritical fluids
US5928389A (en) * 1996-10-21 1999-07-27 Applied Materials, Inc. Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool
JPH10131889A (ja) * 1996-10-25 1998-05-19 Mitsubishi Heavy Ind Ltd 冷凍機用圧縮機
US5888050A (en) * 1996-10-30 1999-03-30 Supercritical Fluid Technologies, Inc. Precision high pressure control assembly
JP3437734B2 (ja) * 1997-02-26 2003-08-18 富士通株式会社 製造装置
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
JPH10261687A (ja) * 1997-03-18 1998-09-29 Furontetsuku:Kk 半導体等製造装置
US6306564B1 (en) * 1997-05-27 2001-10-23 Tokyo Electron Limited Removal of resist or residue from semiconductors using supercritical carbon dioxide
US6284360B1 (en) * 1997-09-30 2001-09-04 3M Innovative Properties Company Sealant composition, article including same, and method of using same
US6235634B1 (en) * 1997-10-08 2001-05-22 Applied Komatsu Technology, Inc. Modular substrate processing system
US6103638A (en) * 1997-11-07 2000-08-15 Micron Technology, Inc. Formation of planar dielectric layers using liquid interfaces
KR100524204B1 (ko) * 1998-01-07 2006-01-27 동경 엘렉트론 주식회사 가스 처리장치
US6048494A (en) * 1998-01-30 2000-04-11 Vlsi Technology, Inc. Autoclave with improved heating and access
US6067728A (en) * 1998-02-13 2000-05-30 G.T. Equipment Technologies, Inc. Supercritical phase wafer drying/cleaning system
US6244121B1 (en) * 1998-03-06 2001-06-12 Applied Materials, Inc. Sensor device for non-intrusive diagnosis of a semiconductor processing system
US6242165B1 (en) * 1998-08-28 2001-06-05 Micron Technology, Inc. Supercritical compositions for removal of organic material and methods of using same
US6642140B1 (en) * 1998-09-03 2003-11-04 Micron Technology, Inc. System for filling openings in semiconductor products
US6110232A (en) * 1998-10-01 2000-08-29 Taiwan Semiconductor Manufacturing Company, Ltd. Method for preventing corrosion in load-lock chambers
JP2000265945A (ja) * 1998-11-10 2000-09-26 Uct Kk 薬液供給ポンプ、薬液供給装置、薬液供給システム、基板洗浄装置、薬液供給方法、及び基板洗浄方法
KR100304254B1 (ko) * 1998-12-08 2002-03-21 윤종용 모듈외관검사설비
US6334266B1 (en) * 1999-09-20 2002-01-01 S.C. Fluids, Inc. Supercritical fluid drying system and method of use
US6508259B1 (en) * 1999-08-05 2003-01-21 S.C. Fluids, Inc. Inverted pressure vessel with horizontal through loading
US6602349B2 (en) * 1999-08-05 2003-08-05 S.C. Fluids, Inc. Supercritical fluid cleaning process for precision surfaces
TW510807B (en) * 1999-08-31 2002-11-21 Kobe Steel Ltd Pressure processing device
JP2001144086A (ja) * 1999-08-31 2001-05-25 Sony Corp 埋め込み配線の形成方法、及び、基体処理装置
US6228563B1 (en) * 1999-09-17 2001-05-08 Gasonics International Corporation Method and apparatus for removing post-etch residues and other adherent matrices
US6264003B1 (en) * 1999-09-30 2001-07-24 Reliance Electric Technologies, Llc Bearing system including lubricant circulation and cooling apparatus
US6558475B1 (en) * 2000-04-10 2003-05-06 International Business Machines Corporation Process for cleaning a workpiece using supercritical carbon dioxide
US6915804B2 (en) * 2002-12-03 2005-07-12 University Of Florida Tracheotomy surgical device

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0357217A (ja) * 1989-07-26 1991-03-12 Hitachi Ltd 超臨界ガス装置からの試料取り出し方法
JPH06260473A (ja) * 1993-03-04 1994-09-16 Tokyo Electron Ltd 回転処理装置
JPH07171527A (ja) * 1993-09-07 1995-07-11 Hughes Aircraft Co 液化ガスを使用した安価な洗浄装置
JPH07142441A (ja) * 1993-11-13 1995-06-02 Kaijo Corp 遠心乾燥装置
JPH07310192A (ja) * 1994-05-12 1995-11-28 Tokyo Electron Ltd 洗浄処理装置
JPH09213688A (ja) * 1995-11-29 1997-08-15 Toshiba Microelectron Corp 半導体基板等の表層の溶解方法及び装置
JPH11216437A (ja) * 1998-01-30 1999-08-10 Sharp Corp 超臨界流体洗浄方法及び超臨界流体洗浄装置
JPH11274132A (ja) * 1998-03-20 1999-10-08 Plasma System Corp 基板の洗浄方法及び洗浄装置
JP2000114218A (ja) * 1998-10-09 2000-04-21 Sony Corp ウエハ洗浄装置及びウエハ洗浄方法
JP2001060575A (ja) * 1999-08-20 2001-03-06 Kobe Steel Ltd 超臨界乾燥装置
JP2001077074A (ja) * 1999-08-31 2001-03-23 Kobe Steel Ltd 半導体ウエハ等の洗浄装置

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012519392A (ja) * 2009-03-13 2012-08-23 エーエヌディ コーポレーション 高圧処理器及び高圧シーリング方法
WO2011142499A1 (ko) * 2010-05-10 2011-11-17 서강대학교 산학협력단 원통형 고압처리기
JP2018534770A (ja) * 2015-10-04 2018-11-22 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板支持とバッフルの装置

Also Published As

Publication number Publication date
KR20040037245A (ko) 2004-05-04
EP1501961A2 (en) 2005-02-02
CA2462429A1 (en) 2003-04-10
CN1599807A (zh) 2005-03-23
US20040040660A1 (en) 2004-03-04
WO2003030219A3 (en) 2004-11-18
AU2002334841A1 (en) 2003-04-14
WO2003030219A2 (en) 2003-04-10
EP1501961A4 (en) 2005-09-28
TW559879B (en) 2003-11-01

Similar Documents

Publication Publication Date Title
JP2005509280A (ja) 複数の半導体基板の高圧加工用チャンバ
US5922138A (en) Liquid treatment method and apparatus
KR102161253B1 (ko) 고 종횡비 반도체 디바이스 구조들에 대한 오염물 제거를 갖는 무-스틱션 건조 프로세스
KR100271772B1 (ko) 반도체 습식 식각설비
US7252719B2 (en) High pressure processing method
US10825698B2 (en) Substrate drying apparatus, facility of manufacturing semiconductor device, and method of drying substrate
KR100949026B1 (ko) 원료액 공급 장치, 원료액 공급 장치의 원료 탱크 탈거 방법, 및 원료액 공급 도관 세척 방법
KR20170129610A (ko) 기판 액처리 장치, 탱크 세정 방법 및 기억 매체
JP2007149891A (ja) 基板処理装置および基板処理方法
JP2005509280A5 (ja)
US6253775B1 (en) Cleaning apparatus
TWI463527B (zh) 薄化半導體工件的系統
JP2002334863A (ja) Foup用ドアシェルの洗浄乾燥方法及び装置
JP5641374B2 (ja) 基板処理装置
JP2002313764A (ja) 高圧処理装置
JPH10321577A (ja) 半導体基板の洗浄装置
KR100589080B1 (ko) 반도체 제조설비 공정키트의 폴리머 세정장치
KR102480392B1 (ko) 기판 처리 장치 및 방법
KR100529604B1 (ko) 웨이퍼 세정장치 및 세정방법
US11806767B2 (en) Substrate processing apparatus and substrate processing method
JP2000077498A (ja) 基板把持装置洗浄装置
KR20080096065A (ko) 기판 세정 장치 및 방법
US20040089331A1 (en) Rinsing lid for wet bench
US20050155976A1 (en) Interlocking lid for wet bench
KR100675560B1 (ko) 기판 세정 장치 및 처리조 내로 세정액을 공급하는 방법

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20051003

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20051003

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070612

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070808

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20070918