TW559879B - High pressure processing chamber for multiple semiconductor substrates - Google Patents

High pressure processing chamber for multiple semiconductor substrates Download PDF

Info

Publication number
TW559879B
TW559879B TW091122865A TW91122865A TW559879B TW 559879 B TW559879 B TW 559879B TW 091122865 A TW091122865 A TW 091122865A TW 91122865 A TW91122865 A TW 91122865A TW 559879 B TW559879 B TW 559879B
Authority
TW
Taiwan
Prior art keywords
chamber
pressure processing
patent application
scope
item
Prior art date
Application number
TW091122865A
Other languages
English (en)
Inventor
Maximilian A Biberger
Frederick P Layman
Original Assignee
Supercritical Systems Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Supercritical Systems Inc filed Critical Supercritical Systems Inc
Application granted granted Critical
Publication of TW559879B publication Critical patent/TW559879B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/67303Vertical boat type carrier whereby the substrates are horizontally supported, e.g. comprising rod-shaped elements
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0021Cleaning by methods not provided for in a single other subclass or a single group in this subclass by liquid gases or supercritical fluids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67057Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing with the semiconductor substrates being dipped in baths or vessels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/67303Vertical boat type carrier whereby the substrates are horizontally supported, e.g. comprising rod-shaped elements
    • H01L21/67309Vertical boat type carrier whereby the substrates are horizontally supported, e.g. comprising rod-shaped elements characterized by the substrate support

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Description

559879 A7 ____B7_ 五、發明説明(1 ) 發明部份 (請先閲讀背面之注意事項再填寫本頁) 本發明係有關半導體基材之高壓處理室之部份。更明 確言之,本發明係有關半 導體基材之高壓處理室,其中,高壓處理室提供同時處理 多個半導體基材之能量 發明背景 最近,半導體基材之超臨界處理引起興趣,用於諸如 光阻劑移除,沖洗劑乾燥,及光阻劑顯影等處理上。超臨 界處理爲高壓處理,在此,壓力及溫度在臨界壓力及臨界 溫度或以上。在臨界溫度及臨界壓力以上,無液相或氣 相。而是,有一超臨界相。 普通半導體基材爲半導體晶圓。半導體晶圓具有直徑 高至300mm。由於半導體發展及半導體處理裝備二者之資 本花費,故半導體處理需有效,可靠,及經濟。 經濟部智慧財產局員工消費合作社印製 故此,用於處理多個半導體基材之超臨界處理系統需 具有有效,可靠,及經濟之高壓處理室。 因此,需要一種高壓處理室,用以處理多個半導體基 材,該處理室爲有效率,可靠,及經濟。 發明槪要 本發明爲一種用以處理多個半導體基材之高壓處理 室。該高壓處理室包含一室殻,一卡盒,及一室封閉艙。 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -4- 559879 A7 B7 五、發明説明(2) (請先閱讀背面之注意事項再填寫本頁) 卡盒以可移離之方式連接於室殼。卡盒被架構以容納至少 二半導體基材。室封閉艙連接於室殼。室封閉艙被架構以 當操作時,室封閉艙與室殼密封,以提供一封閉艙用於半 導體基材之高壓處理。 附圖簡述 圖1顯示本發明之較佳高壓處理室及一舉升機構。 圖 2A及 2B顯示本發明之一鎖環。 圖3進一步顯示本發明之較佳高壓處理室。 圖4顯不本發明之較佳卡盒。 圖5A及5B顯示本發明之室殼,第一及第二卡盒, 及一機械手臂。 圖6A及6B顯示本發明之注射噴嘴安排及流體出口 安排。 圖7顯示本發明之超臨界處理系統。 圖8顯示本發明之一第一另外高壓處理室。 圖9顯示本發明之一第一另外卡盒。 經濟部智慧財產局員工消費合作社印製 圖10顯不本發明之一第二另外卡盒。 主要元件對照表 10 高壓室組件 12 高壓室 14 蓋舉升機構 16 室殻 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -5- 559879 A7 B7 五、發明説明(3) 經濟部智慧財產局員工消費合作社印製 18 室蓋 20 鎖環 22 卡盒 24 處理封閉艙 26 〇密封環 28 半導體晶圓 30 盒框 32 夾持桿 34 晶圓夾持槽 36 舉升特色 38 鉸鏈 41 自動處理安排 42 機械手臂 48 機械手臂基座 49 垂直移動單元 50 機械手臂 52 叉盒介面 54 注射噴嘴安排 56 流體出口安排 204 壓力室加熱器 206 二氧化碳供應安排 208 循環環路 210 循環泵 214 分離容器 (請先閱讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS ) A4規格(210'乂297公釐) -6- 559879 A 7 B7 五、發明説明(4) 217 液體/固體廢料放集容器 219 液化/純化安排 222 化學物供應容器 224 沖洗劑容器 226 高壓注射泵 232 循環入口 234 循環出口 240 廢氣泵 241 回氣泵 較佳實施例之詳細說明 本發明之較佳高壓處理室宜同時處理多個半導體基 材。半導體基材宜包含半導體晶圓。或且,半導體基材包 含其他半導體基材,諸如半導體圓盤。又或且,半導體基 材包含盤,每一盤能保有多個半導體裝置。 本發明之較佳高壓處理室提供一超臨界處理環境。該 較佳高壓處理室更宜提供一超臨界C〇2處理環境。超臨界 C〇2處理環境宜包含一乾燥環境,用以乾燥經沖洗但未乾燥 之已顯影之光阻劑。或且,超臨界C〇2處理環境包含另 一乾燥環境,用於其他半導體乾燥處理,諸如乾燥MEMS 裝置。或且,超臨界C〇2處理環境包含一光阻劑顯影環 境。又或且,超臨界C〇2處理環境包含一半導體淸潔環 境,例如,用於光阻劑及殘留物淸潔,或用於CMP(化學 機械硏磨)殘留物淸潔。 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) --------裳-- (請先閲讀背面之注意事項再填寫本頁} 、11 經濟部智慧財產局8工消費合作社印製 -7- 559879 A7 B7 五、發明説明(5) 本發明之高壓處理室組件顯示於圖1。該高壓處理室 組件1〇包含較佳高壓處理室12及一蓋舉升機構14。 較佳高壓處理室12包含一室殼16,一室蓋18,一鎖環 20 ’〜較佳卡盒22,及一第一〇密封環26。室殼16 及室蓋18宜包含不銹鋼。鎖環20宜包含高抗拉強度 鋼。較佳卡盒22宜包含不銹鋼。或且,較佳卡盒22宜 包白抗銹鈾金屬。又或且,較佳卡盒22宜包含抗銹触之 聚合物材料。 蓋舉升機構14連接至室蓋18。鎖環 20連接至室 殼16。當較佳高壓處理室12關閉時,鎖環20連接室殻 於室蓋18,以形成一處理封閉艙24。 較佳卡盒22 連接於室殻1 6之內部。 在使用時,鎖環20鎖緊室蓋18於室殼16。 鎖環 2〇並維持室蓋18及室殻16間之密封力,以排除處理 室24內之高壓流體通過第一〇密封環26漏出。當鎖 環20放開室蓋18時,蓋舉升機構14升起蓋18,及 擺動蓋1 8離開室殻1 6。 本發明之鎖環20另顯示於圖2A及 2B。 鎖環20 包含一間斷螺紋及一唇部21。間斷螺紋包含一匹配表面 23’此匹配於室殼16上之對應特性(圖1)。 高壓處理室10另顯示於圖3。 在操作時,較佳卡 盒22宜夾持半導體晶圓28。 一機械手臂(未顯示)宜 裝入較佳卡盒22於室殼16中及退回。蓋舉升機構 14(圖1)然後降下室蓋18於室殼16上。其後,鎖 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) (請先閱讀背面之注意事項再填寫本頁) 訂 經濟部智慧財產局員工消費合作杜印製 -8- 559879 A7 ___B7 五、發明説明(6) 環20鎖緊並密封室蓋18於室殼ι6上。其後,宜在超 臨界環境中處理半導體晶圓。其次,舉升機構14升起室 蓋18。最後,機械手臂移出室殼ι6中之較佳卡盒22。 本發明之較佳卡盒22另顯示於圖4。該較佳卡盒 22包含一盒框30及一夾持桿32。盒框30包含晶圓夾 持槽34及舉升特色36。夾持桿32宜經一鉸鏈38連 接至盒框30。在使用時,半導體得圓28( —以虛線顯 示)宜裝進較佳卡盒 22中。半導體晶圓更宜由轉移半 導體晶圓28自一 FOUP(前開口統一莢)至較佳卡盒 22,而裝進較佳卡盒 22中。一旦半導體晶圓 28裝進較 佳卡盒22中時,夾持桿32宜扣入盒框20之夾持槽 40 中。 本發明之一自動處理安排顯示於圖5A及5B。自動 處理安排41包含室殼16,機械手臂42,及第一及第二 卡盒44及46。 機械手臂42包含一機械手臂基座48 ,一垂直移動單元49,一機械手臂50,及一叉盒介面 52。 機械手臂基座48對機械手臂50提供轉動A。 垂直移動單元 49對機械手臂50提供垂直移動B 。 在處理前,第一及第二卡盒裝以半導體晶圓28。在操 作時,機械手臂50伸出叉盒介面52穿過第一卡盒44 之舉升特色36。舉起第--^盒44,移動第——^盒至室殼 16上方之位置,降下第一卡盒於室殼16中,並退回叉 盒介面52。 其後,處理第--^盒44中之半導體晶圓。 其次,機械手臂42伸出叉盒介面52穿過第一卡盒44 本紙張尺度適用中國國家標準(CNS ) Α4規格(210Χ297公釐) (請先閱讀背面之注意事項再填寫本頁) 象·
、1T 經濟部智慧財產局員工消費合作社印製 -9- 559879 A 7 B7 五、發明説明(7) 之舉升特色 36,並自室殼16中移出第--^盒44。 其 後,機械手臂42依與搬運第--^盒44相似之方式搬運 夾持另外半導體晶圓之第二卡盒46。 本發明之一注射噴嘴安排及一流體出口安排顯示於圖 6A及6B。 注射噴嘴安排54及流體出口安排56宜置 於室殻16內。或且,注射噴嘴安排54構成較佳卡盒 22之一部份(圖4)。 又或且,流體出口安排56構成 較佳卡盒2 2之一部份。注射噴嘴安排5 4包含一儲槽 58及注射噴嘴 60。 流體出口安排 56包含流體出口 62 及一排出渠64。 在操作時,注射噴嘴安排54及流體出 口安排56聯合作用,以提供處理流體流66橫過半導體 晶圓28。 本發明之一超臨界處理系統顯示於圖7。 超臨界處理 系統200包含較佳高壓處理室12,一壓力室加熱器 204,一二氧化碳供應安排206, 一循環環路208,一循 環泵210,一化學劑及及沖洗劑供應安排212,一分離容 器214,一液體/固體廢料收集容器217,及一液化/純 化安排219。 二氧化碳供應安排206包含二氧化碳供應 容器216,二氧化碳泵218,及二氧化碳加熱器220 。 化學劑及沖洗劑供應安排包含化學物供應容器222,沖洗 劑供應容器224,及第一及第二高壓注射泵226及 228 ° 二氧化碳供應容器 216經二氧化碳泵218及二氧 化碳管230連接至高壓處理室12。二氧化碳管230包 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -I^----- (請先閱讀背面之注意事項再填寫本頁)
-、1T 經濟部智慧財產局員工消費合作社印製 -10- 559879 經濟部智慧財產局員工消費合作社印製 A7 B7五、發明説明(8) 含二氧化碳加熱器220置於二氧化碳泵218及高壓處理 室12之間。壓力室加熱器204連接至高壓處理室12。 循環泵210置於循環環路208中。循環環路208連接 至高壓處理室12之循環入口 232及循環出口 234處。 化學物供應容器222經化學物供應管236連接至循環環 路208。沖洗劑供應容器224經沖洗劑供應管2 38連接 至循環環路208。分離容器214經排氣管240連接至高 壓處理室12。 液體/固體廢料收集容器 217連接至 分離容器 214。 分離容器214宜經由回氣管241連接至液化/純 化安排219。液化/純化安排219宜經由液體二氧化碳 管243連接至二氧化碳供應容器216。或且,一離場位置 容納該液化/純化安排219,此接收氣體收集容器中之廢 氣,並送回液體二氧化碳於液體二氧化碳容器中。 壓力室加熱器204加熱高壓處理室12。壓力室加熱 器 204宜爲一熱氈。或且,壓力室加熱器爲其他型式之 加熱器。 第一及第二過濾器221及223連接至循環環路 208。 第一過濾器221宜包含一細濾器。第一過濾器 221更宜包含構造用以過濾〇.〇5 // m及較大微粒之 細濾器。第二過濾器223宜包含粗濾器。第二過濾器223 更宜包含構造用以過濾2-3 // m及更大微粒之粗濾器。 一第三過濾器225宜連接二氧化碳供應容器216至二氧 化碳泵 218。 第三過濾器225宜包含細濾器。第三過 (請先閱讀背面之注意事項再填寫本頁) 裝·
、1T i# 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) -11 - 559879 A7 B7 五、發明説明(9) 濾器225更宜包含構造用以過濾〇.〇5 // m及較大微粒 之細濾器。 (請先閱讀背面之注意事項再填寫本頁) 精於本藝之人士容易明暸該超臨界處理系統包含閥, 控制電子裝置,及用具,此等爲超臨界流體處理系統普通 所應有。 本發明之一第一另外高壓處理室顯示於圖8。 第一另 外高壓處理室12A包含一另外室殻16A,一另外室蓋 18A,及螺栓 66。在第一另外高壓室中,螺栓66取代較 佳高壓處理室12之鎖環20(圖3)。 本發明之一第二另外高壓處理室包含較佳高壓處理室 12, 其朝向在使較佳高壓處理室12之軸線成水平。如 此,在第二另外高壓處理室,室蓋18變爲室門。 本發明之一第一另外卡盒顯示於圖9。第一另外卡盒 80包含一另外盒框82及一另外夾持桿84。 在第一另外 卡盒中,另外夾持桿 84連接至另外盒框 82之第一及第 一孔86及88。該另外夾持桿84宜包含一螺紋區90, 此旋進第二孔88中。 經濟部智慧財產局員工消費合作社印製 本發明之一第二另外卡盒顯示於圖10。第二另外卡盒 100包含一晶圓夾持部份102及一晶圓夾持部份104。 晶圓夾持部份102夾持晶圓。晶圓夾持部份104包含一 半鉸鏈106及一突出物108。晶圓夾持部份102包含一 鉸鏈匹配區110及一突出物匹配特色112。 在使用時, 晶圓夾持部候102及晶圓夾持部份104分開。晶圓裝進 晶圓夾持部份102中,宜自FOUP裝進。然後,圓夾持 本紙張尺度適用中國國家標準(CNS ) A4規格(210X:Z97公釐) -12- 559879 A7 B7 五、發明説明(19 部份104之半鉸鏈106連接至晶圓夾持部份102之鉸 鏈匹配區110。 最後,晶圓夾持部份 104之突出物 108扣合於晶圓夾持部份 102之突出物匹配特色112 中。 精於本藝之人士容易明瞭較佳實施例可作其他各種修 改,而不脫離後附申請專利之精神及範圍。 (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 本紙張尺度適用中國國家標準(CNS ) A4規格(210 X 297公釐) 13-

Claims (1)

  1. 559879 A8 B8 C8 D8 六、申請專利範圍 1 1. 一種用以處理多個半導體基材之高壓處理室,包含: a. —室殼; b. —第一卡盒,以可移離之方式連接於室殻,且其 被架構以容納至少二半導體基材;及 c. 一室封閉艙,連接於室殼,且被架構以當操作時, 使室封閉艙與室殼密封,以提供一封閉艙用於半導體基材 之高壓處理。 2. 如申請專利範圍第1項所述之高壓處理室,其 中,該由室殻及門所構成之封閉艙提供一超臨界處理環_ 境。 3 .如申請專利範圍第1項所述之高壓處理室,其 中,該由室殼及門所構成之封閉艙提供在超臨界條件下之 一高壓處理環境。 4. 如申請專利範圍第1項所述之高壓處理室,其 中,該等半導體基材之至少之一包含一半導體晶圓,且其 中,室殻及第一卡盒被架構以容納半導體晶圓。 5. 如申請專利範圍第1項所述之高壓處理室,其 中,該等半導體基材之至少之一包含一半導體圓盤,且其 中,室殼及第一卡盒被架構以容納該半導體圓盤。 6. 如申請專利範圍第1項所述之高壓處理室,其 中,該等半導體基材之至少之一包含一盤,用以保有多個 半導體裝置,且其中,室殼及第一卡盒被架構以容納該 盤0 7. 如申請專利範圍第1項所述之高壓處理室,其 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) (請先聞讀背面之注意事項再填寫本頁) .裝· 訂 經濟部智慧財產局員工消費合作社印製 -14 - 559879 A8 B8 C8 D8_ 々、申請專利範圍 2 中,該第一卡盒可與一第二卡盒交換。 8. 如申請專利範圍第7項所述之高壓處理室,另包 含一機械手臂,俾在操作時,機械手臂裝上及卸下第一及 第二卡盒。 9. 如申請專利範圍第1項所述之高壓處理室,其 中,該第一卡盒另包含一注射噴嘴安排。 10. 如申請專利範圍第1項所述之高壓處理室,其 中,該第一卡盒另包含一流體出口安排。 11. 如申請專利範圍第1項所述之高壓處理室,·另 包含一注射噴嘴安排及一流體出口安排。 12. 如申請專利範圍第11項所述之高壓處理室,其 中,該注射噴嘴安排及流體出口安排在操作時,提供一處 理流體流於半導體基材附近。 13. 如申請專利範圍第12項所述之高壓處理室,其 中,該處理流體流包含橫過每一半導體基材之一流體流。 14. 如申請專利範圍第13項所述之高壓處理室,其 中,該橫過一特定半導體基材之流體流包含在特定半導體 基材之一第一側之氣體注射,及在該特定半導體基材之另 一側之氣體收集。 15. 如申請專利範圍第1項所述之高壓處理室,其 中,室殼包含約圓筒形長度,具有第一及第二端。 16. 如申請專利範圍第15項所述之高壓處理室,其 中,室殼包含一圓頂形表面在約圓筒形長度之第一端。 17. 如申請專利範圍第15項所述之高壓處理室,其 本紙張尺度適用中國國家標準(CNS ) A4規格(210 X 297公釐) (請先聞讀背面之注意事項再填寫本頁) •裝· 訂 經濟部智慧財產局員工消費合作社印製 -15- 經濟部智慧財產局員工消費合作社印製 559879 A8 B8 C8 D8 六、申請專利範圍 3 中,室封閉艙密封於室殻之圓筒形長度之第二端。 1 8 ·如申請專利範圍第15項所述之高壓處理室,其 中,室殻包含一圓頂形表面。 19. 一種用以處理多個半導體基材之高壓處理室,包 含: a. —室殼; b. —第一卡盒,以可移離之方式連接於室殼,且其 被架構以容納至少二半導體基材; c. 一室封閉艙,連接於室殼,且被架構以當操作時, 室封閉艙與室殼密封,以提供一封閉艙用於半導體基材之 高壓處理;及 d. —注射噴嘴安排及一流體出口安排,連接於室殼內 部,俾在操作時,注射噴嘴安排及流體出口安排提供一處 理流體流於半導體基材附近。 20. —種用以處理多個半導體基材之高壓處理室,包 含: a. —室殻; b. —第一卡盒,以可移離之方式連接於室殼,且其 被架構以容納至少二半導體基材; c. 一室封閉艙,連接於室殼,且被架構以當操作時, 室封閉艙與室殻密封,以提供一封閉艙用於半導體基材之 高壓處理;及 d. —機械手臂,連接至室殼,被架構以在於高壓處理 之前,裝入第一卡盒於室殻中,及被架構以於高壓處理 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) (請先閱讀背面之注意事項再填寫本頁)
    -16- 559879 A8 B8 C8 D8 六、申請專利範圍 4 後,卸出第一'卡盒。 21. —'種用以處理多個半導體基材之尚壓處理室,包 含: a. —室殼; b. —第一卡盒,以可移離之方式連接於室殻,且其 被架構以容納至少二半導體基材; c. 一室封閉艙,連接於室殼,且被架構以當操作時, 室封閉艙與室殻密封,以提供一封閉艙用於半導體基材之 高壓處理; d. —注射噴嘴安排及一流體出口安排,連接於室殼內 部,俾在操作時,注射噴嘴安排及流體出口安排提供一處 理流體流於半導體基材附近;及 e. —機械手臂,連接至室殻,被架構以於高壓處理之 前,裝入第一卡盒於室殼中,及被架構以於高壓處理後’ 卸出第--^盒。 (請先閲讀背面之注意事項再填寫本頁) 裝· 訂 經濟部智慧財產局員工消费合作社印製 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -17-
TW091122865A 2001-10-03 2002-10-03 High pressure processing chamber for multiple semiconductor substrates TW559879B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/970,309 US20040040660A1 (en) 2001-10-03 2001-10-03 High pressure processing chamber for multiple semiconductor substrates

Publications (1)

Publication Number Publication Date
TW559879B true TW559879B (en) 2003-11-01

Family

ID=25516738

Family Applications (1)

Application Number Title Priority Date Filing Date
TW091122865A TW559879B (en) 2001-10-03 2002-10-03 High pressure processing chamber for multiple semiconductor substrates

Country Status (9)

Country Link
US (1) US20040040660A1 (zh)
EP (1) EP1501961A4 (zh)
JP (1) JP2005509280A (zh)
KR (1) KR20040037245A (zh)
CN (1) CN1599807A (zh)
AU (1) AU2002334841A1 (zh)
CA (1) CA2462429A1 (zh)
TW (1) TW559879B (zh)
WO (1) WO2003030219A2 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI767518B (zh) * 2020-01-31 2022-06-11 日商斯庫林集團股份有限公司 基板處理裝置及基板處理系統

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6748960B1 (en) 1999-11-02 2004-06-15 Tokyo Electron Limited Apparatus for supercritical processing of multiple workpieces
US7730898B2 (en) * 2005-03-01 2010-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor wafer lifter
US7767145B2 (en) 2005-03-28 2010-08-03 Toyko Electron Limited High pressure fourier transform infrared cell
US7789971B2 (en) 2005-05-13 2010-09-07 Tokyo Electron Limited Treatment of substrate using functionalizing agent in supercritical carbon dioxide
KR100744145B1 (ko) 2006-08-07 2007-08-01 삼성전자주식회사 초임계 유체를 이용하는 웨이퍼 처리 장치 및 웨이퍼 처리방법
KR101015336B1 (ko) * 2008-08-22 2011-02-16 삼성모바일디스플레이주식회사 내부 플레이트 및 이를 구비한 증착용 도가니 장치
KR101047863B1 (ko) * 2009-03-13 2011-07-08 주식회사 에이앤디코퍼레이션 고압 처리기 및 고압 실링방법
KR101133017B1 (ko) * 2010-05-10 2012-04-09 서강대학교산학협력단 원통형 고압처리기
US9676009B2 (en) * 2012-11-01 2017-06-13 Specrra Systems Corporation Supercritical fluid cleaning of banknotes and secure documents
CN104918720B (zh) * 2012-11-01 2020-09-11 范围系统公司 纸钞及安全文件的超临界流体清理
KR102145950B1 (ko) * 2015-10-04 2020-08-19 어플라이드 머티어리얼스, 인코포레이티드 기판 지지체 및 배플 장치
CN114277353B (zh) * 2018-09-17 2024-06-18 先进尼克斯有限公司 真空隔离的批处理系统
JP7336956B2 (ja) * 2019-10-10 2023-09-01 東京エレクトロン株式会社 基板処理システム、及び基板処理方法

Family Cites Families (109)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2625886A (en) * 1947-08-21 1953-01-20 American Brake Shoe Co Pump
US3744660A (en) * 1970-12-30 1973-07-10 Combustion Eng Shield for nuclear reactor vessel
US3968885A (en) * 1973-06-29 1976-07-13 International Business Machines Corporation Method and apparatus for handling workpieces
US4341592A (en) * 1975-08-04 1982-07-27 Texas Instruments Incorporated Method for removing photoresist layer from substrate by ozone treatment
US4029517A (en) * 1976-03-01 1977-06-14 Autosonics Inc. Vapor degreasing system having a divider wall between upper and lower vapor zone portions
US4091643A (en) * 1976-05-14 1978-05-30 Ama Universal S.P.A. Circuit for the recovery of solvent vapor evolved in the course of a cleaning cycle in dry-cleaning machines or plants, and for the de-pressurizing of such machines
JPS5448172A (en) * 1977-09-24 1979-04-16 Tokyo Ouka Kougiyou Kk Plasma reaction processor
US4367140A (en) * 1979-11-05 1983-01-04 Sykes Ocean Water Ltd. Reverse osmosis liquid purification apparatus
US4355937A (en) * 1980-12-24 1982-10-26 International Business Machines Corporation Low shock transmissive antechamber seal mechanisms for vacuum chamber type semi-conductor wafer electron beam writing apparatus
DE3112434A1 (de) * 1981-03-28 1982-10-07 Depa GmbH, 4000 Düsseldorf Druckluftgetriebene doppelmembran-pumpe
ZA822150B (en) * 1981-04-10 1983-11-30 Prestige Group Plc Pressure cookers
US4682937A (en) * 1981-11-12 1987-07-28 The Coca-Cola Company Double-acting diaphragm pump and reversing mechanism therefor
DE3145815C2 (de) * 1981-11-19 1984-08-09 AGA Gas GmbH, 2102 Hamburg Verfahren zum Entfernen von ablösungsfähigen Materialschichten von beschichteten Gegenständen,
US4522788A (en) * 1982-03-05 1985-06-11 Leco Corporation Proximate analyzer
US4426358A (en) * 1982-04-28 1984-01-17 Johansson Arne I Fail-safe device for a lid of a pressure vessel
DE3238768A1 (de) * 1982-10-20 1984-04-26 Kurt Wolf & Co Kg, 7547 Wildbad Kochgefaess aus kochtopf und deckel, insbesondere dampfdruckkochtopf
FR2536433A1 (fr) * 1982-11-19 1984-05-25 Privat Michel Procede et installation de nettoyage et decontamination particulaire de vetements, notamment de vetements contamines par des particules radioactives
US4865061A (en) * 1983-07-22 1989-09-12 Quadrex Hps, Inc. Decontamination apparatus for chemically and/or radioactively contaminated tools and equipment
US4549467A (en) * 1983-08-03 1985-10-29 Wilden Pump & Engineering Co. Actuator valve
GB8332394D0 (en) * 1983-12-05 1984-01-11 Pilkington Brothers Plc Coating apparatus
US4778356A (en) * 1985-06-11 1988-10-18 Hicks Cecil T Diaphragm pump
US4749440A (en) * 1985-08-28 1988-06-07 Fsi Corporation Gaseous process and apparatus for removing films from substrates
US5044871A (en) * 1985-10-24 1991-09-03 Texas Instruments Incorporated Integrated circuit processing system
US4827867A (en) * 1985-11-28 1989-05-09 Daikin Industries, Ltd. Resist developing apparatus
US4917556A (en) * 1986-04-28 1990-04-17 Varian Associates, Inc. Modular wafer transport and processing system
US4670126A (en) * 1986-04-28 1987-06-02 Varian Associates, Inc. Sputter module for modular wafer processing system
US5882165A (en) * 1986-12-19 1999-03-16 Applied Materials, Inc. Multiple chamber integrated process system
JPS63157870A (ja) * 1986-12-19 1988-06-30 Anelva Corp 基板処理装置
US4951601A (en) * 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US4924892A (en) * 1987-07-28 1990-05-15 Mazda Motor Corporation Painting truck washing system
DE3725565A1 (de) * 1987-08-01 1989-02-16 Peter Weil Verfahren und anlage zum entlacken von gegenstaenden mit einem tauchbehaelter mit loesungsmittel
US5105556A (en) * 1987-08-12 1992-04-21 Hitachi, Ltd. Vapor washing process and apparatus
US4838476A (en) * 1987-11-12 1989-06-13 Fluocon Technologies Inc. Vapour phase treatment process and apparatus
JP2663483B2 (ja) * 1988-02-29 1997-10-15 勝 西川 レジストパターン形成方法
US4823976A (en) * 1988-05-04 1989-04-25 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Quick actuating closure
US5185296A (en) * 1988-07-26 1993-02-09 Matsushita Electric Industrial Co., Ltd. Method for forming a dielectric thin film or its pattern of high accuracy on a substrate
US5013366A (en) * 1988-12-07 1991-05-07 Hughes Aircraft Company Cleaning process using phase shifting of dense phase gases
US5051135A (en) * 1989-01-30 1991-09-24 Kabushiki Kaisha Tiyoda Seisakusho Cleaning method using a solvent while preventing discharge of solvent vapors to the environment
WO1990009233A1 (en) * 1989-02-16 1990-08-23 Pawliszyn Janusz B Apparatus and method for delivering supercritical fluid
US5288333A (en) * 1989-05-06 1994-02-22 Dainippon Screen Mfg. Co., Ltd. Wafer cleaning method and apparatus therefore
US5186718A (en) * 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US4923828A (en) * 1989-07-07 1990-05-08 Eastman Kodak Company Gaseous cleaning method for silicon devices
JPH077756B2 (ja) * 1989-07-26 1995-01-30 株式会社日立製作所 超臨界ガス装置からの試料取り出し方法
DE3926577A1 (de) * 1989-08-11 1991-02-14 Leybold Ag Vakuumpumpe mit einem rotor und mit unter vakuum betriebenen rotorlagerungen
US4983223A (en) * 1989-10-24 1991-01-08 Chenpatents Apparatus and method for reducing solvent vapor losses
US5213619A (en) * 1989-11-30 1993-05-25 Jackson David P Processes for cleaning, sterilizing, and implanting materials using high energy dense fluids
US5370741A (en) * 1990-05-15 1994-12-06 Semitool, Inc. Dynamic semiconductor wafer processing using homogeneous chemical vapors
DE4018464A1 (de) * 1990-06-08 1991-12-12 Ott Kg Lewa Membran fuer eine hydraulisch angetriebene membranpumpe
US5143103A (en) * 1991-01-04 1992-09-01 International Business Machines Corporation Apparatus for cleaning and drying workpieces
CH684402A5 (de) * 1991-03-04 1994-09-15 Xorella Ag Wettingen Vorrichtung zum Verschieben und Schwenken eines Behälter-Verschlusses.
JP3040212B2 (ja) * 1991-09-05 2000-05-15 株式会社東芝 気相成長装置
US5190373A (en) * 1991-12-24 1993-03-02 Union Carbide Chemicals & Plastics Technology Corporation Method, apparatus, and article for forming a heated, pressurized mixture of fluids
JP2889784B2 (ja) * 1993-03-04 1999-05-10 東京エレクトロン株式会社 回転処理装置
US5404894A (en) * 1992-05-20 1995-04-11 Tokyo Electron Kabushiki Kaisha Conveyor apparatus
TW263629B (zh) * 1992-05-27 1995-11-21 Nihon Densan Kk
US5313965A (en) * 1992-06-01 1994-05-24 Hughes Aircraft Company Continuous operation supercritical fluid treatment process and system
US5401322A (en) * 1992-06-30 1995-03-28 Southwest Research Institute Apparatus and method for cleaning articles utilizing supercritical and near supercritical fluids
US5316591A (en) * 1992-08-10 1994-05-31 Hughes Aircraft Company Cleaning by cavitation in liquefied gas
US5339844A (en) * 1992-08-10 1994-08-23 Hughes Aircraft Company Low cost equipment for cleaning using liquefiable gases
US5355901A (en) * 1992-10-27 1994-10-18 Autoclave Engineers, Ltd. Apparatus for supercritical cleaning
US5337446A (en) * 1992-10-27 1994-08-16 Autoclave Engineers, Inc. Apparatus for applying ultrasonic energy in precision cleaning
KR100251873B1 (ko) * 1993-01-21 2000-04-15 마쓰바 구니유키 종형 열처리 장치
US5377705A (en) * 1993-09-16 1995-01-03 Autoclave Engineers, Inc. Precision cleaning system
JP3204284B2 (ja) * 1993-11-13 2001-09-04 株式会社カイジョー 遠心乾燥装置
US5417768A (en) * 1993-12-14 1995-05-23 Autoclave Engineers, Inc. Method of cleaning workpiece with solvent and then with liquid carbon dioxide
DE69523208T2 (de) * 1994-04-08 2002-06-27 Texas Instruments Inc Verfahren zur Reinigung von Halbleiterscheiben mittels verflüssigter Gase
JPH07310192A (ja) * 1994-05-12 1995-11-28 Tokyo Electron Ltd 洗浄処理装置
JPH08306632A (ja) * 1995-04-27 1996-11-22 Shin Etsu Handotai Co Ltd 気相エピタキシャル成長装置
JPH08330266A (ja) * 1995-05-31 1996-12-13 Texas Instr Inc <Ti> 半導体装置等の表面を浄化し、処理する方法
JP3415373B2 (ja) * 1995-11-29 2003-06-09 東芝マイクロエレクトロニクス株式会社 半導体基板等の表層の溶解方法及び装置
KR19990077350A (ko) * 1996-02-29 1999-10-25 히가시 데쓰로 반도체웨이퍼의 열처리용 보트
JP3346698B2 (ja) * 1996-03-18 2002-11-18 株式会社荏原製作所 高温用モータポンプとその運転方法
JP3176294B2 (ja) * 1996-08-26 2001-06-11 日本電気株式会社 半導体ウェーハ用キャリア
US5881577A (en) * 1996-09-09 1999-03-16 Air Liquide America Corporation Pressure-swing absorption based cleaning methods and systems
US6413355B1 (en) * 1996-09-27 2002-07-02 Tokyo Electron Limited Apparatus for and method of cleaning objects to be processed
US5908510A (en) * 1996-10-16 1999-06-01 International Business Machines Corporation Residue removal by supercritical fluids
US5928389A (en) * 1996-10-21 1999-07-27 Applied Materials, Inc. Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool
JPH10131889A (ja) * 1996-10-25 1998-05-19 Mitsubishi Heavy Ind Ltd 冷凍機用圧縮機
US5888050A (en) * 1996-10-30 1999-03-30 Supercritical Fluid Technologies, Inc. Precision high pressure control assembly
JP3437734B2 (ja) * 1997-02-26 2003-08-18 富士通株式会社 製造装置
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
JPH10261687A (ja) * 1997-03-18 1998-09-29 Furontetsuku:Kk 半導体等製造装置
US6306564B1 (en) * 1997-05-27 2001-10-23 Tokyo Electron Limited Removal of resist or residue from semiconductors using supercritical carbon dioxide
US6284360B1 (en) * 1997-09-30 2001-09-04 3M Innovative Properties Company Sealant composition, article including same, and method of using same
US6235634B1 (en) * 1997-10-08 2001-05-22 Applied Komatsu Technology, Inc. Modular substrate processing system
US6103638A (en) * 1997-11-07 2000-08-15 Micron Technology, Inc. Formation of planar dielectric layers using liquid interfaces
KR100524204B1 (ko) * 1998-01-07 2006-01-27 동경 엘렉트론 주식회사 가스 처리장치
US6048494A (en) * 1998-01-30 2000-04-11 Vlsi Technology, Inc. Autoclave with improved heating and access
JPH11216437A (ja) * 1998-01-30 1999-08-10 Sharp Corp 超臨界流体洗浄方法及び超臨界流体洗浄装置
US6067728A (en) * 1998-02-13 2000-05-30 G.T. Equipment Technologies, Inc. Supercritical phase wafer drying/cleaning system
US6244121B1 (en) * 1998-03-06 2001-06-12 Applied Materials, Inc. Sensor device for non-intrusive diagnosis of a semiconductor processing system
JPH11274132A (ja) * 1998-03-20 1999-10-08 Plasma System Corp 基板の洗浄方法及び洗浄装置
US6242165B1 (en) * 1998-08-28 2001-06-05 Micron Technology, Inc. Supercritical compositions for removal of organic material and methods of using same
US6642140B1 (en) * 1998-09-03 2003-11-04 Micron Technology, Inc. System for filling openings in semiconductor products
US6110232A (en) * 1998-10-01 2000-08-29 Taiwan Semiconductor Manufacturing Company, Ltd. Method for preventing corrosion in load-lock chambers
JP2000114218A (ja) * 1998-10-09 2000-04-21 Sony Corp ウエハ洗浄装置及びウエハ洗浄方法
JP2000265945A (ja) * 1998-11-10 2000-09-26 Uct Kk 薬液供給ポンプ、薬液供給装置、薬液供給システム、基板洗浄装置、薬液供給方法、及び基板洗浄方法
KR100304254B1 (ko) * 1998-12-08 2002-03-21 윤종용 모듈외관검사설비
US6508259B1 (en) * 1999-08-05 2003-01-21 S.C. Fluids, Inc. Inverted pressure vessel with horizontal through loading
US6602349B2 (en) * 1999-08-05 2003-08-05 S.C. Fluids, Inc. Supercritical fluid cleaning process for precision surfaces
US6334266B1 (en) * 1999-09-20 2002-01-01 S.C. Fluids, Inc. Supercritical fluid drying system and method of use
JP4350843B2 (ja) * 1999-08-20 2009-10-21 株式会社神戸製鋼所 超臨界乾燥装置
JP2001077074A (ja) * 1999-08-31 2001-03-23 Kobe Steel Ltd 半導体ウエハ等の洗浄装置
US6712081B1 (en) * 1999-08-31 2004-03-30 Kobe Steel, Ltd. Pressure processing device
JP2001144086A (ja) * 1999-08-31 2001-05-25 Sony Corp 埋め込み配線の形成方法、及び、基体処理装置
US6228563B1 (en) * 1999-09-17 2001-05-08 Gasonics International Corporation Method and apparatus for removing post-etch residues and other adherent matrices
US6264003B1 (en) * 1999-09-30 2001-07-24 Reliance Electric Technologies, Llc Bearing system including lubricant circulation and cooling apparatus
US6558475B1 (en) * 2000-04-10 2003-05-06 International Business Machines Corporation Process for cleaning a workpiece using supercritical carbon dioxide
US6915804B2 (en) * 2002-12-03 2005-07-12 University Of Florida Tracheotomy surgical device

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI767518B (zh) * 2020-01-31 2022-06-11 日商斯庫林集團股份有限公司 基板處理裝置及基板處理系統
US11658054B2 (en) 2020-01-31 2023-05-23 SCREEN Holdings Co., Ltd. Substrate processing apparatus and substrate processing system

Also Published As

Publication number Publication date
AU2002334841A1 (en) 2003-04-14
CA2462429A1 (en) 2003-04-10
JP2005509280A (ja) 2005-04-07
WO2003030219A2 (en) 2003-04-10
EP1501961A4 (en) 2005-09-28
KR20040037245A (ko) 2004-05-04
EP1501961A2 (en) 2005-02-02
CN1599807A (zh) 2005-03-23
US20040040660A1 (en) 2004-03-04
WO2003030219A3 (en) 2004-11-18

Similar Documents

Publication Publication Date Title
TW559879B (en) High pressure processing chamber for multiple semiconductor substrates
US5417768A (en) Method of cleaning workpiece with solvent and then with liquid carbon dioxide
TW484169B (en) Method and apparatus for supercritical processing of a workpiece
US6374837B2 (en) Single semiconductor wafer processor
TW438631B (en) Low cost equipment for cleaning using liquefiable gases
TW538472B (en) Method and system for processing substrate
US5715612A (en) Method for precision drying surfaces
JP6906416B2 (ja) 基板処理装置
US6892741B2 (en) Apparatus and process for supercritical carbon dioxide phase processing
US5456759A (en) Method using megasonic energy in liquefied gases
TW201426850A (zh) 用於高深寬比半導體元件結構具有污染物去除之無黏附乾燥處理
JP2018074103A (ja) 基板処理装置、基板処理方法及び記録媒体
TWI588884B (zh) 分離再生裝置及基板處理裝置
TWI362070B (zh)
TWI227508B (en) Surface tension effect dryer with porous vessel walls
JP2013062417A (ja) 半導体基板の超臨界乾燥方法及び装置
TW533480B (en) Vertical process reactor
TW511187B (en) Etching method, processing apparatus and etching apparatus
JP5641374B2 (ja) 基板処理装置
JP6563351B2 (ja) 基板処理装置、基板処理方法および記憶媒体
JP3135209B2 (ja) 半導体ウェハの洗浄装置
JP2002313764A (ja) 高圧処理装置
JP3808994B2 (ja) 超臨界流体洗浄装置
KR20060021105A (ko) 약액 재생 시스템 및 약액 재생 방법, 그리고 상기시스템을 가지는 기판 처리 설비
KR100516345B1 (ko) 습식 식각 장치

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees