JP2005508819A - アンチモン・ドープ金属酸化物の化学蒸着 - Google Patents

アンチモン・ドープ金属酸化物の化学蒸着 Download PDF

Info

Publication number
JP2005508819A
JP2005508819A JP2002581347A JP2002581347A JP2005508819A JP 2005508819 A JP2005508819 A JP 2005508819A JP 2002581347 A JP2002581347 A JP 2002581347A JP 2002581347 A JP2002581347 A JP 2002581347A JP 2005508819 A JP2005508819 A JP 2005508819A
Authority
JP
Japan
Prior art keywords
antimony
compound
glass substrate
high temperature
glass
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2002581347A
Other languages
English (en)
Other versions
JP4290993B2 (ja
Inventor
レミントン、マイケル・ピー・ジュニア
Original Assignee
ピルキングトン・ノースアメリカ・インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ピルキングトン・ノースアメリカ・インコーポレイテッド filed Critical ピルキングトン・ノースアメリカ・インコーポレイテッド
Publication of JP2005508819A publication Critical patent/JP2005508819A/ja
Application granted granted Critical
Publication of JP4290993B2 publication Critical patent/JP4290993B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C17/00Surface treatment of glass, not in the form of fibres or filaments, by coating
    • C03C17/34Surface treatment of glass, not in the form of fibres or filaments, by coating with at least two coatings having different compositions
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C17/00Surface treatment of glass, not in the form of fibres or filaments, by coating
    • C03C17/34Surface treatment of glass, not in the form of fibres or filaments, by coating with at least two coatings having different compositions
    • C03C17/3411Surface treatment of glass, not in the form of fibres or filaments, by coating with at least two coatings having different compositions with at least two coatings of inorganic materials
    • C03C17/3417Surface treatment of glass, not in the form of fibres or filaments, by coating with at least two coatings having different compositions with at least two coatings of inorganic materials all coatings being oxide coatings
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C17/00Surface treatment of glass, not in the form of fibres or filaments, by coating
    • C03C17/22Surface treatment of glass, not in the form of fibres or filaments, by coating with other inorganic material
    • C03C17/23Oxides
    • C03C17/245Oxides by deposition from the vapour phase
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C17/00Surface treatment of glass, not in the form of fibres or filaments, by coating
    • C03C17/22Surface treatment of glass, not in the form of fibres or filaments, by coating with other inorganic material
    • C03C17/23Oxides
    • C03C17/245Oxides by deposition from the vapour phase
    • C03C17/2453Coating containing SnO2
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/407Oxides of zinc, germanium, cadmium, indium, tin, thallium or bismuth
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C2217/00Coatings on glass
    • C03C2217/20Materials for coating a single layer on glass
    • C03C2217/21Oxides
    • C03C2217/211SnO2
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C2217/00Coatings on glass
    • C03C2217/20Materials for coating a single layer on glass
    • C03C2217/21Oxides
    • C03C2217/24Doped oxides
    • C03C2217/244Doped oxides with Sb
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C2218/00Methods for coating glass
    • C03C2218/10Deposition methods
    • C03C2218/15Deposition methods from the vapour phase
    • C03C2218/152Deposition methods from the vapour phase by cvd

Landscapes

  • Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Geochemistry & Mineralogy (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Surface Treatment Of Glass (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

アンチモン・ドープ金属酸化物、好適には、アンチモン・ドープ酸化スズのガラス上への被膜は、有機スズ化合物、有機アンチモン化合物、水、及び酸素を含む均一で、且つ気化された反応体の混合物を提供して、該反応体混合物をガラスの高温リボンの面に送込んで、そこで、前記の複数の化合物を反応させてアンチモン・ドープ金属酸化物、好適には、アンチモン・ドープ酸化スズの被膜を形成することによって、作成可能である。本発明に従って、適用されたこのアンチモン・ドープ金属酸化物の被膜、好適には、アンチモン・ドープ酸化スズの被膜は、厚さの均一性、及びガラスの成膜された面上でのシート抵抗が向上され、更に、成膜/製造の効率が増大される。

Description

本発明は、一般にガラス上への金属酸化物被膜の生成方法に関するものであり、特に、アンチモン・ドープ酸化スズ被膜を化学蒸着を用いて高温のガラス基板上に形成するためのプロセスに関する。
通常、蒸着されたガラス製品は、当技術分野においてフロート・ガラス製法(Float Glass Process)として公知のプロセスで製造される際に、ガラス基板を連続的に成膜することによって製造される。このプロセスは、適切に囲まれた溶融スズ槽の上にガラスをキャスティングするステップ、次に、十分冷却させた後に、槽と位置合わせがなされている引取りロールにガラスを移動させるステップ、及び、ロールを横断してガラスを進める際に、最初にガラス焼きなまし炉を通して、次に周囲雰囲気に曝す間にガラスを冷却する最終的なステップを含む。酸化を防止するように、プロセスのフロート部分で非酸化性雰囲気が保持されている一方で、ガラスが溶融スズ槽と接触されている。周囲雰囲気は、ガラス焼きなまし炉の中に保持されている。種々の被膜の化学蒸着(CVD)は、槽又はガラス焼きなまし炉の中で、或いはそれらの間の移行ゾーンの中で、高温ガラスの面と、熱分解して金属酸化物被膜を形成する反応体を含有する化学気相とを接触させることによって、好都合に実行することが可能である。このためには、当然、その化学反応体は、それらの熱分解温度より低い気化温度を有している必要がある。CVD技法により気化させてガラス上に金属酸化物被膜を形成することが可能な金属含有化合物は、多数存在している。特に対象となるのは、気化されて酸化スズ被膜を形成するスズ酸化物である。
例えばガラス上への、酸化スズ等の所定の金属酸化物被膜の望ましい性能特性は、低い放射率、低いシート抵抗、高い光透過率、及び高い赤外線反射率等であり、それらは金属酸化物被膜の中にドーパントを組込むことによって向上される。従来技術でドーパントとして用いられている物質の中には、アンチモンもある。アンチモンは、通常、ハロゲン化アンチモン(例えば、SbCl3)等のように、無機アンチモン化合物の形で提供されてきた。
ガラス蒸着プロセスで用いられる反応体の物理的形態は、概して、液体、固体、気化した液体又は固体、キャリア・ガス混合物内に分散された液体又は固体、若しくはキャリア・ガス混合物内に分散された気化した液体又は固体である。化学蒸着のプロセスでは、概して、気化した液体又は固体が用いられ、それらは通常、キャリア・ガス混合物内に分散されている。
特許文献1には、有機アンチモン・ルイス塩基付加物が開示されており、それが、多種多様な化学蒸着を用いたアンチモン薄膜の形成、及びSb−イオン注入に有用であると記載されている。本発明には、ルイス塩基付加物も含んでおらず、且つアンチモン化金属の形成若しくはイオン注入も含んでいない。更に、前記特許文献1には、以下の化学蒸着法:化学蒸着法と、(例えば、レーザ、光、プラズマ、イオン等)利用型化学蒸着法だけしか開示されていない。
CVDプロセスを用いて、高温ガラス基板の面にアンチモンがドープされた酸化スズ被膜を適用するために、従来的に公知である方法を改良して、それにより、成膜されたガラス製品のシート抵抗の均一性及び低シート抵抗性を向上させること、且つ、同時に、公知の無機アンチモン・ドーパントで生じてしまう前駆物質材料の望ましくない前反応(pre-reaction)を最小限に抑えることが望ましい。更に、従来的に公知の方法よりも安価な、高温ガラス基板の面にアンチモン・ドープ酸化スズ被膜を適用するための方法を提供することが望ましい。
米国特許第6,005,127号 米国特許出願第09/625,921号 米国特許第5,090,985号 米国特許第4,504,526号 米国特許第4,377,613号
本発明は、高温ガラス基板の面にアンチモン・ドープ金属酸化物被膜を適用するための化学蒸着に関して方向付けられている。好適には、この金属は、スズ化合物である。最も好適には、このスズ化合物は、有機スズ化合物である。驚くべきことに、ガラス上の、アンチモン・ドープ金属酸化物被膜、特に、スズ酸化物被膜の望ましい特性は、
高温ガラス基板の面にアンチモン・ドープ金属酸化物被膜を適用する化学蒸着プロセスであって、
a)その上にアンチモン・ドープ金属酸化物被膜が蒸着される面を含む高温ガラス基板を提供するステップと、
b)金属化合物、酸素含有化合物、水、及び550°F未満の温度で前記酸素含有化合物又は水と前反応をしない有機アンチモン化合物を含む均一な、且つ気化された反応混合物を提供するステップと、
c)前記高温ガラス基板の前記面に前記気化された反応混合物を送込んで、前記混合物を反応させて、前記高温ガラス基板の前記面上にアンチモン・ドープ金属酸化物被膜を蒸着するステップと、
d)前記蒸着されたガラス基板を周囲温度に冷却するステップとを有するプロセスを利用することによって向上される。
酸素の供給源が本発明のプロセスに対して必要であり、好適には、空気、気体酸素、及び分子酸素の中から選択される。
一好適実施例では、ナトリウム拡散バリヤーが、好適には、シリカ層が、アンチモン・ドープ金属酸化物を蒸着する前にガラス基板の面に適用される。本発明のこのプロセスは、アンチモン・ドープ金属酸化物被膜を備えているガラス製品に対して特に適切であり、且つ、エネルギー効率のよい建築ガラス、航空機又は自動車のガラス、及び多種多様な光学デバイス又は電子デバイスに対して有用である。
同時係属中の特許文献2には、ガラス基板上に蒸着及び付着されるアンチモン・ドープ酸化スズ薄膜と、このアンチモン・ドープ酸化スズ被膜の上に蒸着及び付着されるフッ素・ドープ酸化スズの被膜とが開示されている。その結果による成膜されたガラス製品は、高い太陽エネルギー選択性を有している。ここでの言及を以って、特許文献2の全文を本明細書の一部とする。
金属酸化物被膜は、特に、アンチモンでドープされた酸化スズ被膜は、当技術分野において化学蒸着(CVD)として公知であるプロセスによって、高温のガラス基板の面上に成膜され得る。本発明のこのプロセスにより、その反応体が結合されて、高温のガラス基板の面上に送込まれる均一な、且つ気化された反応体の流れが形成される。この気化された反応体の流れが反応して、高温のガラス基板の面上にアンチモン・ドープ金属酸化物被膜が成膜される。高温ガラスの面上に存在している必要がある酸化性雰囲気の中で、有機金属化合物が、好適には、有機スズ被膜化合物が、熱分解して金属/酸化スズの被膜が形成される。
このプロセスは、通常、ガラス製造の際に、フロート・ガラス製法(フロート法)を用いて行われ、ガラスがまだ高温である間に、フロート槽、ガラス焼きなまし炉、若しくは槽と炉との間の移行ゾーンの中で生じる。このガラス基板は、概ね約750°F乃至約1500°Fの範囲内の温度で提供される。これらは、ガラスがフロート・ガラス製法を用いて製造される際の種々のステージにおける、大体の温度である。より詳述すると、この成膜プロセスは、900°F乃至1350°Fの範囲の温度で、好適には、1100°F乃至1280°Fの範囲の温度で実行される。
本発明によるプロセスで用いるのに適切なガラス基板には、当技術分野において公知の、成膜されたガラス製品の準備用の任意の従来式ガラス基板が含まれる。自動車の窓ガラス及びプレート・ガラスの製造に用いられる典型的なガラス基板は、一般的にソーダ石灰ケイ酸ガラスと呼ばれている。一般的には、別の適切なガラスに、アルカリ石灰ケイ酸ガラス、ホウケイ酸ガラス、アルミノケイ酸ガラス、ホウ素−アルミノケイ酸ガラス、リン酸ガラス、石英ガラス等、及びそれらを組合わせたものが指定されてよい。好適なガラスは、ソーダ石灰ケイ酸ガラスである。
好適には、本発明のCVD反応体の流れは、気化されて、前進されているガラス・リボンの面の付近若しくは所定の点に運搬される有機スズ被膜化合物を含んでいる。本発明を実行するのに有用な適切な有機スズ化合物には、二塩化ジメチルスズ、二塩化ジエチルスズ、二酢酸ジブチルスズ、テトラメチルスズ、三塩化メチルスズ、塩化トリエチルスズ、塩化トリメチルスズ、三塩化エチルスズ、三塩化プロピルスズ、三塩化イソプロピルスズ、三塩化s−ブチルスズ、三塩化t−ブチルスズ、三塩化フェニルスズ、三塩化カルボエトキシエチルスズ等、及びそれらを組合わせたものが含まれる(具体的に引用されたそれらだけに制限されるわけではない)。これらの化合物は、高温ガラス上に酸化スズ被膜を適用するための前駆物質として、CVD技法の分野で一般的に公知であり、且つ市販もされている。好適な有機スズ化合物は、二塩化ジメチルスズである。この有機スズ化合物と、所望に応じて、キャリア・ガス、酸化剤、安定剤、炭化水素、不活性ガス、及びその他同様のものとが気化されて、ガス状の有機スズ反応体の流れが形成される。ここでは、このガス状の有機スズ反応体の流れという用語は、気化された有機スズ化合物、酸化剤、及び不活性キャリア・ガスを概ね有するものとして用いられている。
この気化された有機スズ化合物は、当技術分野において公知の、例えば、分散又は流動化された有機スズ粉末を気化させる方法、高温キャリア・ガスの流れによる充填層内の有機スズ粒子を気化させる方法、可溶性の有機スズ化合物を高温キャリヤ・ガスの流れの中へ注入する方法、液体有機スズ化合物を通してキャリヤ・ガスを気泡化させる方法等の任意の手順によって準備することが可能である。気化された有機スズ化合物を含む反応体の流れを準備するための好適な方法は、例えば、特許文献3に開示されているように、混合ガスの存在下で、薄膜蒸発装置内で化合物を気化する方法である。ここでの言及を以って、該文献の全文を本明細書の一部とする。上述のように、概ねヘリウム、窒素、若しくはアルゴン、或いはそれらの混合物等の不活性キャリヤ・ガスから成るこの気体の流れは、所望に応じて水若しくは酸素等の酸化剤を含んでいてよい。好適なキャリア・ガスは、酸素を酸化剤として含むヘリウム及び窒素、並びにそれらの混合物である。その結果の気化された有機スズを含む反応体の流れは、概ね約250°F乃至約450°Fの温度に加熱され、次に、高温ガラス基板の面の反応ゾーンに運搬される。このとき、このガラス面に最も近い成膜装置の面での温度は、約550°F以下である。
有機アンチモン化合物、酸化剤、及び不活性ガスを含む別個の反応体の流れは、本発明の蒸着システムの別の構成要素である。
本発明において有用である有機アンチモン化合物は、
(R1XSb(R23−X (R1:アリール基、R2:アルキル基、X = 3 又は2)
という化学式で一般的に記述することが可能である。X = 2の場合、前記化合物のファミリーは、ジアリルアルキルアンチモン化合物として公知の化合物になり、本発明で用いるのに適切であるが、後述でより詳細が説明されるように、酸素と前反応を生じる可能性が高い。
上記の化学式でX = 3である場合、化合物の好適なファミリーであるトリアリルアンチモン化合物が形成される。そのような化合物の例には、(Mes)3Sb(ここで、Mes:メシチル若しくは1,3,5トリメチルフェニル)、(Tolyl)3Sb、Ph3Sb、及び(RXC6H5−X3Sb(ここで、R:1乃至4の炭素原子を有する小鎖有機群(即ち、C1−C4等)であり、X = 1〜5)がある。これらのうち、後述する理由で、Ph3Sbが特に好適である。
蒸着温度での反応性が非常に高く、且つ水若しくは酸素と前反応を生じない、若しくは腐食しないという不可欠な必要条件を満たすアンチモン化合物はほとんどないことがわかっている。上述のトリアリルアンチモン化合物は、これらの基準に合致することが分かっており、従って、本発明に関して好適な化合物である。Ph3Sbは、上述の望ましい特性を備えたトリアリルアンチモン化合物であることに加えて、商業量が入手できるので、特に好適である。
SbCl3等の従来の無機アンチモン前駆物質材料とは違い、このPh3Sbが有機スズ試薬、水、及び酸素と共に用いられた場合に、安定状態のまま残存し、前反応を生じないので、有害なSb/O/Cl固体化合物は、無視できる量しか生じない。SbCl3等の物質は、水との反応性が高いことが分かっている。更に、水と反応して望ましくない不揮発性の固体化合物を形成するだけでなく、それらは腐食性を有している。
主要な成膜装置上に形成されたそのような固体物質は、蒸着の効率を低下させてしまう。それどころか、最悪の場合には、蒸着作業が完全に中断されてしまう。本発明の、空気、及び水に対して安定である有機アンチモン前駆物質によって、Sb/O/Cl固体物質の形成が原因である整備のための頻繁な中断が減少されるので、作業の不活動時間が短縮される。
有機アンチモンを含有する反応体の流れは、その上に被膜が蒸着される高温ガラス基板の面に反応体を運搬する前に、所定位置で有機スズ反応体の流れと結合するが、好適には、それは非常に近接した位置で行われる。有機アンチモンを含有するこの反応体の流れは、有機スズの気化に関して前述された方法等の、任意の適切な方法を用いて化合物を気化することにより準備することが可能である。有機アンチモンを含有するこの気化された反応体の流れと、気化された有機スズ化合物を含有する反応体の流れとは、これら2つのガス状の流れが高温ガラス基板の面に送出される前に、混合することにより結合され得る。或いは、液体状若しくは溶液状の有機アンチモン含有反応体の流れが、気化された有機スズ化合物を含む高温の反応体の流れの中に噴射されてもよく、それにより、アンチモン含有の溶液状若しくは液体状の化合物が気化される。結合後に、有機スズ、有機アンチモン、水、及び酸素含有化合物から成る気化された反応体が、高温のガラスの面に運ばれて、そこでそれらが互いに反応して、その上にアンチモン・ドープ酸化スズの被膜が蒸着される。
一好適実施例では、二塩化ジメチルスズと、窒素、ヘリウム、若しくはそれらの混合物等の不活性キャリヤ・ガスとを上述のような蒸発装置内で気化させることにより、有機スズ反応体の流れが形成される。次に、生成されたガス状の流れが、ガス状の酸素と結合する。同時に、Ph3Sb及び水が気化されて、その結果生じた有機アンチモン及び水蒸気から成るガス状の反応体の流れが、ガス状の有機スズ反応体の流れと結合して、均一且つガス状の反応体の流れが形成される。この均一なガス状の反応体の流れが高温ガラス基板の面に運ばれて、それにより、アンチモン・ドープ酸化スズ被膜がこの高温ガラス基板の面上に蒸着される。この均一なガス状の反応体の流れは、任意の適切な成膜装置でガラスの面に送られてよい。好適な成膜装置の1つが、特許文献4に示されており、ここでの言及を以って、その全文を本明細書の一部とする。
本発明によれば、高温ガラス基板の面に送られた均一なガス状の反応体の混合物は、好適には、約10%乃至60%の酸素、約2%乃至50%の水、及び約0.01%乃至4%の有機アンチモンを含み、最も好適には、約10%乃至50%の酸素、約15%乃至35%の水、及び約0.01%乃至約0.05%の有機アンチモンを含む(示されているパーセントは全てモル%である)。更に、この均一なガス状の反応体の混合物は、所望する酸化スズ被膜の厚さ及び基板のライン・スピードに応じた所望の濃度の有機スズ混合物を含む。即ち、当業者が理解されるように、所望の基板のライン・スピードで所望の厚さの被膜を適用するのに十分な量の有機スズがガス状の反応体の混合物内に提供される。従来の商業運転の場合、ガス状の反応体の混合物は、約0.01%乃至約8%の有機スズを含む。
本発明に従ってアンチモン・ドープ酸化スズ被膜を形成する際に、ガラス基板とアンチモン・ドープ酸化スズ被膜との間に、ナトリウム拡散バリヤーとして機能する物質層が適用されるのが好ましいことにも留意されたい。成膜されたガラス製品は、本発明に従ってアンチモン・ドープ酸化スズ被膜が、間にナトリウム拡散バリヤー層を備えてガラスに成膜される場合には、ガラス上に直接的に適用する場合とは対照的に、低い放射率、低いシート抵抗、及び低い曇り度(haze)を有することが分かっている。このナトリウム拡散層は、好適には、シリカで形成される。このシリカ層は、好適には、従来式のCVD技法を用いて形成される。
より好適な実施例では、最初に酸化スズの薄膜が高温ガラス基板の面上に成膜され、更に、その上にシリカの薄膜が成膜されて、それにより、酸化スズ/シリカの下層構造が、ガラスと、その後に成膜されるアンチモン・ドープ酸化スズ層との中間層を形成する。この実施例では、シリカ薄膜は、ナトリウム拡散層として機能するだけでなく、第1の(ドープされていない)酸化スズ薄膜と組合わさって、できあがった成膜ガラス製品に真珠光沢が生じることを抑制するのにも役立っている。そのような真珠光沢防止層の利用法は、特許文献5に開示されており、ここでの言及を以ってその全文を本明細書の一部とする。
本発明に従って、気化された反応体を首尾よく結合させ、且つ送込むことに関して、作業条件は、細部にわたって重大な意味を有するものではないことに留意する必要がある。上述の作業条件は、従来式に本発明を実行するということに関して説明されたものにすぎない。しかしながら、上記の作業条件が、開示された範囲内で含まれる各化合物に対してそのまま適用可能でない場合もある。こういったことが生じる化合物は、当業者には容易に理解できるであろう。そのような場合は全て、いずれの処理も、例えば、温度条件の増減、反応体である有機スズ及び有機アンチモンの配合比の変更、気化の作業条件のルーチン的な変更等による当業者に公知の従来的な修正によって、首尾よく実行することが可能であり、或いは、他の点では従来的である別の作業条件を適用することによって本発明を実行することが可能である。
更に、本発明のプロセスが、複数の連続的な層で構成される被膜が形成されるように、所望に応じて所定基板上で繰返されてもよいことにも留意されたい。このとき、各層の組成が同一である必要はない。反応体に対して所定の流速が与えられた場合に、被膜層の厚さが基板の移動速度に依存するのは至極明白である。これらの条件下で、2以上の成膜装置を並置することによって、所望に応じて反応体ステーションが拡大されてもよい。この方法によって、層が冷却されてしまう前に次の層が重ねられて、非常に均質的な全面的な被膜が生成される。
本発明は、本発明を代表する特定の実施例を参照することで、より容易に理解することができる。しかしながら、この特定の実施例は、例示の目的のためだけに提供されたものであり、本発明は、その精神及び範疇から外れることなく、特に例示がなされたもの以外の方法を用いても実行し得ることを理解されたい。
(例1及び例2)
以下の実験的な条件が、例1及び例2に適用可能である。
実験室の炉は、1つ若しくは複数のガラス・シートを、200インチ/分の速度で炉の中を通して移動させるための移動用コンベヤーを備えており、更に、単一の、10インチ幅の、双方向性成膜装置を含んでいる。この成膜装置は、化学蒸着によって薄膜若しくは積層膜を形成するように、気化された反応体をガラス・シートの面に搬送するのに適している。
ガラス・シートが約1170°Fに加熱されるのに対して、この成膜装置は、反応器の面(即ち、ガラス面に最も近い部分)での温度が約500°Fである。
種々の前駆物質の準備は、「バブラー(bubbler)」として公知の複数のソース・チャンバ(source chamber)を利用することにより達成される。それは、酢酸エチル(EtOAc)、トリフェニルアンチモン(Ph3Sb)、及び二塩化ジメチルスズ(Me2SnCl2)の各々に対するバブラであり、特定温度に保持される。このバブラの中には、特定の流速でヘリウム・ガスが導入される。
特定のソース若しくはバブラの温度と流速との関係は、以下の通りである。
Figure 2005508819
用意された反応体は、5.25 slmの流速の酸素(O2)、及び12.4 slmの流速の水(H2O)と共に、実験室の成膜装置の中に導入される。
上述の種々の反応体が成膜装置の中で結合して、それにより、アンチモン・ドープ酸化スズ被膜が、この場合には、予め200Åの厚さのSiO2層が成膜されている透明なソーダ石灰ケイ酸ガラスの上に成膜される。
Figure 2005508819
薄膜の厚さは、側面計を用いて測定される。
Tvisは、スペクトルの可視領域(400nm乃至800nm)の光が、成膜されたガラスを通るときの透過率である。
Tsolは、太陽放射が、成膜されたガラスを通るときの透過率である。
EtOAcバブラを通るHeの流速が、例1では0.75 slmであるのに対して、例2では0.50 slmであることにも留意されたい。その他のパラメータは、両例に関して前述した通りである。
これらの試験によって生成された薄膜は、厚さ及び導電率が均一であり、低い曇り度及び優れた太陽エネルギー選択吸収特性を有する。
(例3)
適格なアンチモン・ドープ酸化スズ薄膜は、酢酸エチル(EtOAc)中に溶解されたトリフェニルアンチモン(Ph3Sb)等の、アリルアンチモン化合物溶液を利用しても生成可能である。その他の適切な溶剤には、それらだけに制限されるものではないが、ヘキサン、トルエン、ジクロロメタン、及びアセトニトリルが含まれる。例1及び例2と同様に、ガス状二塩化ジメチルスズ(Me2SnCl2)を通して不活性ガスが気泡化される。例1及び例2と同様に、反応体の流れが共に、酸素及び水と結合する。
例3における実験室の炉の条件及び成膜装置は、例1及び例2の場合と同じである。
重量にして18%のPh3Sbを含み、流速が毎分11立方センチメートルであるPh3Sb/EtOAcの反応体の流れは、5.25 slmの流速の酸素及び12.4 slmの流速の水と共に、1.2 slmの流速のガスをガス状のMe2SnCl2を通して吹込み気泡化させることにより生成されるMe2SnCl2の反応体の流れと結合して、予め200Åのケイ酸バリヤー層が上に成膜されているソーダ石灰ケイ酸基板上に薄膜を形成する。成膜される薄膜は、以下の特性を備えている。
Figure 2005508819
本発明は、その好適実施例と考えられるものに関して説明がなされてきた。しかしながら、この特定の実施例は、例示の目的のためだけに提供されたものであり、本発明は、その精神及び範疇から外れることなく、特に例示がなされたもの以外の方法を用いても実行し得ることを理解されたい。

Claims (18)

  1. 高温のガラス基板上の面にアンチモン・ドープ金属酸化物の被膜を適用するための化学蒸着プロセスであって、
    a)アンチモン・ドープ金属酸化物被膜がその上に成膜される面を含む高温ガラス基板を提供するステップと、
    b)金属化合物、酸素含有化合物、水、及び550°F未満の温度で前記の酸素含有化合物又は水と前反応を生じない有機アンチモン化合物を含む、均一で、且つ気化された反応体の混合物を提供するステップと、
    c)前記気化反応体混合物を前記高温ガラス基板の前記面に送込んで、前記混合物を反応させて前記高温ガラス基板の前記面上にアンチモン・ドープ金属酸化物被膜を成膜するステップと、
    d)前記の成膜されたガラス基板を周囲雰囲気に冷却するステップとを有することを特徴とするプロセス。
  2. 前記有機アンチモン化合物は、
    (R1XSb(R23‐Xの化学式(R1:アリール基、R2:アルキル基、X = 3 又は2)の化合物であることを特徴とする請求項1に記載のプロセス。
  3. 前記有機アンチモン化合物は、ジアリルアルキルアンチモン化合物であることを特徴とする請求項1に記載のプロセス。
  4. 前記有機アンチモン化合物は、
    (Mes)3Sb、Ph3Sb、(Tolyl)3Sb、及び(RXC6H5−X3Sb(ここで、R:1乃至4の炭素原子を有する小鎖有機群)から成る群より選択されるトリアリルアンチモン化合物であり、且つX = 1〜5であることを特徴とする請求項1に記載のプロセス。
  5. 前記有機アンチモン化合物は、Ph3Sbであることを特徴とする請求項1に記載のプロセス。
  6. 高温のガラス基板上の面にアンチモン・ドープ酸化スズの被膜を適用するための化学蒸着プロセスであって、
    a)アンチモン・ドープ酸化スズ被膜がその上に成膜される面を含む高温ガラス基板を提供するステップと、
    b)スズ化合物、酸素、水、及び550°F未満の温度で前記の酸素又は水と前反応を生じない有機アンチモン化合物を含む、均一で、且つ気化された反応体の混合物を提供するステップと、
    c)前記気化反応体混合物を前記高温ガラス基板の前記面に送込んで、前記の均一な気化反応体混合物を反応させて前記高温ガラス基板の前記面上にアンチモン・ドープ酸化スズ被膜を成膜するステップと、
    d)前記の成膜されたガラス基板を周囲雰囲気に冷却するステップとを有することを特徴とするプロセス。
  7. 前記スズの前駆物質は、有機スズ化合物であることを特徴とする請求項6に記載のプロセス。
  8. 前記スズの前駆物質は、二塩化ジメチルスズであることを特徴とする請求項6に記載のプロセス。
  9. 前記成膜プロセスは、フロート・ガラス製法の一部として行われることを特徴とする請求項6に記載のプロセス。
  10. 前記成膜プロセスは、フロート槽の中、若しくはフロート槽に隣接して行われることを特徴とする請求項9に記載のプロセス。
  11. 前記成膜プロセスは、900°F乃至1350°Fの温度で行われることを特徴とする請求項10に記載のプロセス。
  12. 前記成膜プロセスは、1100°F乃至1280°Fの温度で行われることを特徴とする請求項11に記載のプロセス。
  13. 前記成膜プロセスは、1000Å乃至5000Åの厚さで成膜されることを特徴とする請求項11に記載のプロセス。
  14. 前記成膜プロセスは、1000Å乃至3500Åの厚さで成膜されることを特徴とする請求項12に記載のプロセス。
  15. 前記アンチモン含有被膜が成膜される前に、前記アンチモン含有被膜がその上に成膜される前記基板に、色を抑制する被膜が適用されることを特徴とする請求項1に記載のプロセス。
  16. 前記有機アンチモン化合物は、水及び酸素と殆ど反応しないことを特徴とする請求項1に記載のプロセス。
  17. 高温のガラス基板上の面にアンチモン・ドープ金属酸化物を適用するための化学蒸着プロセスであって、
    a)アンチモン・ドープ金属酸化物被膜がその上に成膜される面を含む高温ガラス基板を提供するステップと、
    b)ナトリウム拡散バリヤー層を設けて、該層を前記高温ガラス基板上に直接的に成膜するステップと、
    c)金属化合物、酸素含有化合物、水、及び550°F未満の温度で前記の酸素含有化合物又は水と前反応を生じない有機アンチモン化合物を含む、均一で、且つ気化された反応体の混合物を提供するステップと、
    d)前記気化反応体混合物を前記高温ガラス基板の前記面に送込んで、前記混合物を反応させて前記高温ガラス基板の前記面上にアンチモン・ドープ金属酸化物被膜を成膜するステップと、
    e)前記の成膜されたガラス基板を周囲雰囲気に冷却するステップとを有することを特徴とするプロセス。
  18. 前記ナトリウム拡散バリヤー層は、シリカを有することを特徴とする請求項17に記載のプロセス。
JP2002581347A 2001-04-17 2002-04-09 アンチモン・ドープ金属酸化物の化学蒸着 Expired - Lifetime JP4290993B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/836,647 US6521295B1 (en) 2001-04-17 2001-04-17 Chemical vapor deposition of antimony-doped metal oxide and the coated article made thereby
PCT/US2002/011120 WO2002083588A1 (en) 2001-04-17 2002-04-09 Chemical vapor deposition of antimony-doped metal oxide

Publications (2)

Publication Number Publication Date
JP2005508819A true JP2005508819A (ja) 2005-04-07
JP4290993B2 JP4290993B2 (ja) 2009-07-08

Family

ID=25272408

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002581347A Expired - Lifetime JP4290993B2 (ja) 2001-04-17 2002-04-09 アンチモン・ドープ金属酸化物の化学蒸着

Country Status (10)

Country Link
US (1) US6521295B1 (ja)
EP (1) EP1379476B1 (ja)
JP (1) JP4290993B2 (ja)
KR (1) KR20030092075A (ja)
CN (1) CN1263696C (ja)
BR (1) BR0208966A (ja)
MX (1) MXPA03009494A (ja)
PL (1) PL371598A1 (ja)
RU (1) RU2302393C2 (ja)
WO (1) WO2002083588A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180133886A (ko) * 2016-04-08 2018-12-17 필킹톤 그룹 리미티드 발광 다이오드 디스플레이 및 이를 포함하는 절연 유리 유닛

Families Citing this family (350)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20150328659A1 (en) * 2012-12-28 2015-11-19 Industrial Technology Research Institute Tin oxide film and manufacturing method of the same
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) * 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
KR102235595B1 (ko) * 2013-07-08 2021-04-05 삼성디스플레이 주식회사 주석 산화물 반도체용 조성물 및 주석 산화물 반도체 박막의 형성 방법
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102319630B1 (ko) 2014-10-23 2021-10-29 인프리아 코포레이션 유기 금속 용액 기반의 고해상도 패터닝 조성물 및 상응하는 방법
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
WO2016132131A1 (en) * 2015-02-19 2016-08-25 Pilkington Group Limited A chemical vapour deposition process for depositing an iron doped tin oxide coating and a coated glass article formed thereby
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
EP4089482A1 (en) 2015-10-13 2022-11-16 Inpria Corporation Organotin oxide hydroxide patterning compositions, precursors, and patterning
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
CN113924279A (zh) * 2019-05-20 2022-01-11 皮尔金顿集团有限公司 减小涂覆的玻璃制品的发射率的方法
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN115196884A (zh) * 2022-06-30 2022-10-18 深圳市楠轩光电科技有限公司 一种光学玻璃镀膜方法

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4293594A (en) 1980-08-22 1981-10-06 Westinghouse Electric Corp. Method for forming conductive, transparent coating on a substrate
US4377613A (en) 1981-09-14 1983-03-22 Gordon Roy G Non-iridescent glass structures
US4504526A (en) 1983-09-26 1985-03-12 Libbey-Owens-Ford Company Apparatus and method for producing a laminar flow of constant velocity fluid along a substrate
GB8630918D0 (en) 1986-12-24 1987-02-04 Pilkington Brothers Plc Coatings on glass
US5090985A (en) 1989-10-17 1992-02-25 Libbey-Owens-Ford Co. Method for preparing vaporized reactants for chemical vapor deposition
JP3201209B2 (ja) 1994-03-29 2001-08-20 日本板硝子株式会社 建築物用ガラス
GB2302102B (en) 1995-06-09 1999-03-10 Glaverbel A glazing panel having solar screening properties and a process for making such a panel
MY129739A (en) 1996-01-09 2007-04-30 Nippon Sheet Glass Co Ltd Coated glass for buildings
US6005127A (en) 1997-11-24 1999-12-21 Advanced Technology Materials, Inc. Antimony/Lewis base adducts for Sb-ion implantation and formation of antimonide films

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180133886A (ko) * 2016-04-08 2018-12-17 필킹톤 그룹 리미티드 발광 다이오드 디스플레이 및 이를 포함하는 절연 유리 유닛
JP2019518231A (ja) * 2016-04-08 2019-06-27 ピルキントン グループ リミテッド 発光ダイオードディスプレイ及びそれを含む絶縁されたガラスユニット
KR102478211B1 (ko) * 2016-04-08 2022-12-20 필킹톤 그룹 리미티드 발광 다이오드 디스플레이 및 이를 포함하는 절연 유리 유닛
JP7198669B2 (ja) 2016-04-08 2023-01-04 ピルキントン グループ リミテッド 発光ダイオードディスプレイ及びそれを含む絶縁されたガラスユニット

Also Published As

Publication number Publication date
EP1379476A1 (en) 2004-01-14
RU2302393C2 (ru) 2007-07-10
US6521295B1 (en) 2003-02-18
BR0208966A (pt) 2006-11-28
KR20030092075A (ko) 2003-12-03
JP4290993B2 (ja) 2009-07-08
MXPA03009494A (es) 2004-02-12
RU2003132541A (ru) 2005-04-27
CN1263696C (zh) 2006-07-12
CN1531512A (zh) 2004-09-22
PL371598A1 (en) 2005-06-27
EP1379476B1 (en) 2017-10-04
WO2002083588A1 (en) 2002-10-24

Similar Documents

Publication Publication Date Title
JP4290993B2 (ja) アンチモン・ドープ金属酸化物の化学蒸着
JP4322314B2 (ja) 板ガラスにスズ酸化物被膜を形成する方法
EP1725504B1 (en) Method for depositing gallium oxide coatings on flat glass
US7211513B2 (en) Process for chemical vapor desposition of a nitrogen-doped titanium oxide coating
EP2817433B1 (en) Chemical vapor deposition process for depositing a silica coating on a glass substrate
McCurdy Successful implementation methods of atmospheric CVD on a glass manufacturing line
JP6039402B2 (ja) 酸化亜鉛被覆物品の作成方法
EP1730087B1 (en) Process for the deposition of aluminium oxide coatings
US7670647B2 (en) Method for depositing zinc oxide coatings on flat glass
WO2023214161A1 (en) Method of forming a tin oxide coating
WO2023026049A1 (en) Method of producing a coated glass article
MXPA98000254A (en) Method for forming a tin oxide coating on vid
WO2003066931A1 (en) A method of producing a tin oxide coating
WO2016132131A1 (en) A chemical vapour deposition process for depositing an iron doped tin oxide coating and a coated glass article formed thereby

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050217

RD01 Notification of change of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7426

Effective date: 20050221

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20050221

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080226

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080507

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080924

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20081204

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20090324

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20090402

R150 Certificate of patent or registration of utility model

Ref document number: 4290993

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120410

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120410

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130410

Year of fee payment: 4

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130410

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140410

Year of fee payment: 5

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term