CN1531512A - 掺锑的金属氧化物的化学气相沉积 - Google Patents

掺锑的金属氧化物的化学气相沉积 Download PDF

Info

Publication number
CN1531512A
CN1531512A CNA028119053A CN02811905A CN1531512A CN 1531512 A CN1531512 A CN 1531512A CN A028119053 A CNA028119053 A CN A028119053A CN 02811905 A CN02811905 A CN 02811905A CN 1531512 A CN1531512 A CN 1531512A
Authority
CN
China
Prior art keywords
antimony
coating
glass matrix
compound
organo
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA028119053A
Other languages
English (en)
Other versions
CN1263696C (zh
Inventor
M・P・小雷明顿
M·P·小雷明顿
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Pilkington North America Inc
Original Assignee
Pilkington North America Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Pilkington North America Inc filed Critical Pilkington North America Inc
Publication of CN1531512A publication Critical patent/CN1531512A/zh
Application granted granted Critical
Publication of CN1263696C publication Critical patent/CN1263696C/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C17/00Surface treatment of glass, not in the form of fibres or filaments, by coating
    • C03C17/34Surface treatment of glass, not in the form of fibres or filaments, by coating with at least two coatings having different compositions
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C17/00Surface treatment of glass, not in the form of fibres or filaments, by coating
    • C03C17/34Surface treatment of glass, not in the form of fibres or filaments, by coating with at least two coatings having different compositions
    • C03C17/3411Surface treatment of glass, not in the form of fibres or filaments, by coating with at least two coatings having different compositions with at least two coatings of inorganic materials
    • C03C17/3417Surface treatment of glass, not in the form of fibres or filaments, by coating with at least two coatings having different compositions with at least two coatings of inorganic materials all coatings being oxide coatings
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C17/00Surface treatment of glass, not in the form of fibres or filaments, by coating
    • C03C17/22Surface treatment of glass, not in the form of fibres or filaments, by coating with other inorganic material
    • C03C17/23Oxides
    • C03C17/245Oxides by deposition from the vapour phase
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C17/00Surface treatment of glass, not in the form of fibres or filaments, by coating
    • C03C17/22Surface treatment of glass, not in the form of fibres or filaments, by coating with other inorganic material
    • C03C17/23Oxides
    • C03C17/245Oxides by deposition from the vapour phase
    • C03C17/2453Coating containing SnO2
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/407Oxides of zinc, germanium, cadmium, indium, tin, thallium or bismuth
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C2217/00Coatings on glass
    • C03C2217/20Materials for coating a single layer on glass
    • C03C2217/21Oxides
    • C03C2217/211SnO2
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C2217/00Coatings on glass
    • C03C2217/20Materials for coating a single layer on glass
    • C03C2217/21Oxides
    • C03C2217/24Doped oxides
    • C03C2217/244Doped oxides with Sb
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C2218/00Methods for coating glass
    • C03C2218/10Deposition methods
    • C03C2218/15Deposition methods from the vapour phase
    • C03C2218/152Deposition methods from the vapour phase by cvd

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Geochemistry & Mineralogy (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Surface Treatment Of Glass (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

玻璃上的掺锑的金属氧化物涂层,优选氧化锡涂层,其制备方法是提供一种均匀的气化反应物的混合物,它包含有机锡化合物和有机锑化合物,水和氧,然后将这反应物之混合物传送到热玻璃板的表面上,这些化合物在此发生反应,从而形成掺锑的金属氧化物涂层,优选氧化锡涂层。按照本发明形成的掺锑的金属氧化物涂层,优选氧化锡涂层,其显示出有着改善的玻璃涂层表面的厚度均匀性,以及提高的涂布/制造效率。

Description

掺锑的金属氧化物的化学气相沉积
发明领域
本发明通常涉及一种在玻璃上形成金属氧化物涂层的方法,更具体而言,涉及一种采用化学气相沉积法将掺锑的氧化锡涂层涂布在热玻璃基质上的方法。
发明背景
涂膜玻璃制品的典型制造方法是,在采用一种本领域内称为“浮法玻璃制造方法”制造玻璃基质的同时,在该玻璃基质上进行连续涂布。该方法包括将玻璃注入能适当地加以密封的熔锡槽内,然后,在玻璃已足够冷却后,将其转移到与该槽对中的搬运用滚筒(take-away rolls)上,当玻璃横放在该滚筒上前进时玻璃最终冷却,一开始使其通过退火炉,此后使其暴露在环境气氛中一段时间。在玻璃与熔锡槽相接触的同时要使在该方法的悬浮部分内保持非氧化气氛,以防止氧化。在退火炉中要保持大气气氛。各种涂层的化学气相沉积(CVD)能方便地在槽内或退火炉内,或甚至可在它们的中间部分的过渡区内完成,方法是使热玻璃的表面与含有蒸气的化学反应物接触,发生热解分解从而形成金属氧化物涂层。当然,这要求化学反应物具有低于其热解分解温度的气化温度。存在着许多可以被气化的含金属的化合物,采用CVD技术,可使这些化合物在玻璃上形成金属氧化物涂层。最感兴趣的是锡的化合物,它们被气化便能形成氧化锡涂层。
对涂布在玻璃上的某些金属氧化物涂层例如氧化锡涂层所希望的性能特点是,例如低辐射率,低平板电阻,高的光透射率,高的红外线反射率等等,并且通过将掺杂剂引入金属氧化涂层便能改善这些特性。在现有技术中可以用作掺杂剂的材料之一是锑。通常锑是以锑的无机化合物形式提供的,作为实例,例如卤化锑,SbCl3
在玻璃涂布方法中采用的反应物的物理形态通常是液体,固体,气化的液体或固体,弥散在载气混合物中的液体或固体,或弥散在载气混合物中的气化的液体或固体。化学气相沉积法通常采用气化的液体或固体,它们通常是弥散于载气混合物中。
US6005127公开了一种有机锑路易斯碱加合物,据说这种加合物可用于Sb-离子移植技术并可通过各种型式的化学气相沉积法形成锑化物薄膜。本发明不包括路易斯碱加合物,也不涉及离子移植技术或形成金属锑化物。再者,US6005127仅公开了化学气相沉积的以下方法:化学气相沉积,辅助化学气相沉积(例如激光,光,等离子体,离子等等)。
最理想的是能改进迄今所知的通过CVD将掺锑的氧化锡涂层涂布到热玻璃基质表面上的方法,并借此提高了涂膜玻璃产品的低平板电阻和平板电阻的均匀性,同时将不希望有的,在熟知的无机锑掺杂剂存在时就会出现的前体材料预加反应降至最低程度。最理想的还有希望提供一种比迄今所知的方法费用要低的将掺锑的氧化锡涂层涂布到热玻璃基质表面上的方法。
发明概述
本发明涉及一种将掺锑的金属氧化涂层涂布到热玻璃基质表面上的化学气相沉积方法。优选的金属是锡的化合物。最优选的锡化合物是有机锡化合物。意想不到的是,已发现通过利用一种以下所述的方法,便能改进涂布在玻璃上的掺锑的金属氧化涂层,尤其是氧化锡涂层所希望的性能,这方法:包含:
一种将掺锑的金属氧化物涂层涂布到热玻璃基质表面上的化学气相沉积法,它包含:
a)提供一块热玻璃基质,包括一个在其上面要被沉积一层掺锑的金属氧化物涂层的表面;
b)提供一种均匀的气化反应物的混合物,它包含金属化合物,含氧化合物,水和有机锑化合物,这种有机锑化合物在温度低于550F的条件下将不会与所述含氧化合物或水发生预加反应;
c)将这气化反应物的混合物传送到热玻璃基质的表面上,然后使这混合物起反应,以便使掺锑的金属氧化物的涂层沉积在热玻璃基质的表面上;和
d)使这涂膜玻璃基质冷却到环境温度。
氧气源是本发明的方法所必需的,并优先从空气,气态氧和分子氧中选取。
在一个优选实施方案中,在沉积掺锑的金属氧化物之前,将钠扩散膜,优选二氧化硅涂层,涂布到玻璃基质的表面上。本发明的方法尤其适用于制造具有掺锑的金属氧化物涂层的玻璃和可用于能量效率型建筑用窗户,飞机或机动车的窗户和各种光学装置和电子装置。
共同未决的美国专利申请号09/625921公开了一种沉积在并粘附于玻璃基质上的掺锑的氧化锡薄膜,和沉积在并粘附于掺锑的氧化锡涂层上的掺氟的氧化锡涂层。这样制得的涂膜玻璃制品具有高的日光选择性。美国专利申请号09/625921在此整个地被引入作为参考。
优选实施方案评述
金属氧化物涂层,尤其是掺锑的氧化锡涂层,可以采用本领域内通常称为化学气相沉积(CVD)的方法,使其沉积在热玻璃基质的表面上。按照本发明的方法,使所有反应物相组合,以便形成能被传送到热玻璃基质表面的均匀的气化的反应物流,其中这气化的反应物流起反应,从而使掺锑的金属氧化物涂层沉积在热玻璃基质的表面上。在氧化性气氛中,在热玻璃的表面处必须存在着这种气氛,有机金属化合物,优选有机锡涂层化合物,便会热解分解形成金属/锡氧化物涂层。
本方法通常是在采用浮法玻璃制造方法制造玻璃期间进行的,并发生在悬浮槽,退火炉中或发生在该槽和退火炉之间的过渡区中,此时玻璃仍是热的。提供的玻璃基质其温度通常都是在约750°F到约1500°F范围内的。这些温度是采用浮法玻璃制造方法制造玻璃时在各个阶段中的玻璃的典型温度。更准确地说,涂布方法是在900~1350°F,优选在1100~1280°F的温度下进行的。
适用于本发明方法的玻璃基质包括在制备涂膜玻璃制品领域内熟知的任何常规玻璃基质。在制造机动车辆窗户和平板玻璃中使用的一种典型的玻璃基质一般称为钠钙硅玻璃。其它适用的玻璃通常可称为碱钙硅玻璃,硅硼酸盐玻璃,硅铝酸盐玻璃,硼硅铝酸盐玻璃,磷酸盐玻璃,石英玻璃等,以及它们的组合。优选的玻璃是钠钙硅玻璃。
本发明的CVD反应物优选包含有机锡涂层化合物,该化合物被气化并移送到前置玻璃带处或其附近的位置。可用来实践本发明的适合的有机锡化合物包括,但并不限于具体所列举的那些,二甲基锡二氯化物,二乙基锡二氯化物,二丁基锡二醋酸盐,四甲基锡,甲基锡三氯化物,三乙基锡氯化物,三甲基锡氯化物,乙基锡三氯化物,丙基锡三氯化物,异丙基锡三氯化物,仲丁基锡三氯化物,丁基锡三氯化物,苯基锡三氯化物,乙酯基乙基锡三氯化物,等等,以及它们的组合。这些化合物不仅市场买得到,而且在CVD技术领域内通常是熟知的,它作为前体用来将氧化锡涂层涂布在热玻璃上。优选的有机锡化合物是二甲基锡二氯化物。有机锡化合物,和任选的载气,氧化剂,稳定剂,碳氢化合物,惰性气体等等,使它们气化从而形成气态有机锡反应物流。此处采用的术语气态有机锡反应物流通常包含气化的有机锡化合物,氧化剂和惰性载气。
气化的有机锡化合物可以采用本领域内通晓的任何方法制备。作为实例,例如使分散状或流化状有机锡粉末气化,或在填充床内通过热载气流气化有机锡颗粒,或者将增熔化有机锡化合物注入到热载气流中,或使气泡状载气通过液态有机锡化合物。一种制备含有气化的有机锡化合物的反应物流的优选方法是,在有混合气体的薄膜蒸发器中气化该化合物,作为实例,正如公开于US 5090985中的,该专利在此整个地被引入作为参考。正如以上所指出的,这种通常包含惰性载气例如氦,氮,或氩,或其混合物的气态气流可以任选包括氧化剂例如水或氧。优选载气是氦和氮,和其混合物,并含有氧作为氧化剂。通常将制得的含有气化的有机锡化合物的反应物流加热到约250°F~约450°F的温度,然后将其传送到热玻璃基质表面上的反应区,在最靠近玻璃表面的涂层器的工作面上,该处温度小于或等于大约550°F。
一种含有有机锑化合物,氧化剂和惰性载气的单独的反应物流是本发明的涂布系统的另一种组分。
可用于本发明的有机锑化合物通常可用以下分子式描述。
(R1)XSb(R2)3-X,式中R1是芳基,R2是烷基,X=3或2。
在上述分子式中,当X=2时,所涉及的化合物系称为二芳基烷基锑化合物,虽然适用于本发明,然而此类化合物更可能与氧发生预加反应,将在下文中对此作更详细的陈述。
在上述分子式中,当X=3时,优选的化合物系,三芳基锑化合物便形成。这类化合物的实例是(Mes)3Sb,式中Mes是基(mesityl)或1,3,5-三甲基苯基,(Tolyl)3Sb,Ph3Sb,和(RXC6H5-X)3Sb,式中R是短链型有机族,具有1~4个碳原子,(亦即C1~C4)和X=1-5。这些中尤其优选Ph3Sb,其理由将在下文中论及。
已经发现少数锑化合物满足在沉积温度下必需是高反应活性的要求,但不与水或氧发生预先反应。已发现上面所述的三芳基锑化合物满足这些准则,故而它们是本发明所优选的化合物。尤其优选Ph3Sb,因为除了它是具有上述所希望性能的三芳基锑化合物外,还有它能获得大量供应。
与常规的无机锑前体材料例如SbCl3不同,当Ph3Sb与有机锡试剂,水和氧一起使用时,它仍是稳定的,不会发生预先反应,所以只产生少量有害的Sb/O/Cl固态化合物。已知许多材料例如SbCl3对水是敏感的,除了与水发生反应形成如上所述的不希望的,不挥发的固态化合物外,它们还具有腐蚀性。
这样的固体物质积集在主涂布装置上,降低了涂布效率,或者在最坏的情况下,会导致涂布作业实际上完全停止。本发明使用对空气和水都是稳定的有机锑前体,将会减少停工时间,这是由于因Sb/O/Cl固体的积集而造成频繁停机维修的情况少了。
在将反应物传送到热玻璃基质的要沉积涂层的表面上之前,使含有有机锑的反应物流与有机锡反应物在某处相组合,但该处优选极接近上述玻璃涂布表面。含有有机锑的反应物流可以采用任何适合的方法,例如上文中所论及的有关有机锑气化的方法,通过气化该化合物而制得。含有机锑的气化的反应物流与含气化的有机锡化合物的反应物流,在将它们传送到热玻璃基质的表面上之前,可以通过将这二种气态气流混合的方法使它们相组合。另一种方法是可以将呈液态或溶液形态的含有有机锑的反应物流引入到含有气化的有机锡化合物的热反应物流中,借此气化这含锑溶液或液态化合物。在组合之后,有机锡和有机锑的气化的反应物,水和含氧化合物被传送到热玻璃的表面,它们在该处相互发生反应,从而在其上沉积出掺锑的氧化锡涂层。
在一个优选实施方案中,有机锡反应物流通过在例如以上所述的那种蒸发器中气化二甲基锡二氯化物和惰性载气例如氮,氦或其混合物的方法形成。然后使所得的气态反应物流与气态氧相组合。同时,使Ph3Sb和水气化,制得的有机锑和水蒸气的气态反应物流与气态有机锡反应物流相组合,从而形成均匀的气态反应物流。将这均匀的气态反应物流传送到热玻璃基质的表面上,其中掺锑的氧化锡涂层被沉积在热玻璃基质的表面上。这均匀的气态反应物流可通过任何合适的涂布装置将其传送到玻璃表面上。在US4504526中阐明了一种优选的涂布装置,该专利在此整个地被引入作为参考。
按照本发明被传送到热玻璃基质表面上的均匀的气态反应物流,优选包含约10%~约60%的氧,约2%~约50%的水,和约0.01%~约4%的有机锑,而最优选的是包含约10%~约50%的氧,约15%~约35%的水,和约0.01%~约0.05%的有机锑(以上所有的百分率均是摩尔%)。这均匀的气态反应物的混合物还包括有机锡化合物,对其要求的浓度是对氧化锡涂层要求的厚度和玻璃基质的线速度的函数。这样,在气态反应物的混合物中所含有机锡的数量要足以能在要求的基质线速度下涂布出要求厚度的涂层,这是本领域内的那些技术人员能理解的。供典型的商业经营用的气态反应物的混合物通常包含约0.01%~约8%的有机锡。
还应指出,当按照本发明形成掺锑的氧化锡涂层时,优选在玻璃基质和掺锑的氧化锡涂层之间涂上一层起钠扩散膜作用的材料。已经发现,当将按照本发明沉积的掺锑的氧化锡涂层,涂布在该处中间带有钠扩散膜的玻璃上时,由此制得的涂膜玻璃制品与直接涂布在玻璃上的不同,它们显示出较低的辐射率,较低的平板电阻和较低的霾现象。这钠扩散涂层优选由二氧化硅形成的涂层。这二氧化硅涂层优选使用常规的CVD技术形成。
在一种更优选的实施方案中,首先将氧化锡薄膜沉积到在其上已沉积了一层二氧化硅薄膜的热玻璃基质的表面上,这样便在玻璃和随后沉积的掺锑的氧化锡涂层之间形成了一个氧化锡/二氧化硅基层结构。在这实施方案中,二氧化硅薄膜不仅起到钠扩散膜作用,而且和第一层(无掺杂的)氧化锡薄膜结合在一起,有助于消除制得的涂膜玻璃制品中的虹色。这样的抗虹色涂层的应用公开于US 4377613中,该专利在此整个地被引入作为参考。
必须指出,工艺条件并不是成功地组合和传送本发明的气化的反应物的重要关键。上文中所述的工艺条件通常公开于用来实践本发明的那些常规条款中。但是,偶尔会发生如上所述的工艺条件不能精确地应用于公开的技术领域内所包含的每种化合物。对于会出现上述情况的那些化合物,都将会被本领域内的那些技术人员很容易地识别出来。在所有这些情况中,本方法既可以通过本领域内的那些普通技术人员所熟知的惯用的改进措施成功地加以实施,例如采取增加或降低温度条件,采取改变有机锡和有机锑组合的速率,采取气化工艺条件的常规改进措施等等,也可以采用适用于实践本发明的不同于常规的其它工艺条件。
还应指出,本发明的方法可以根据要求在玻璃上重复涂布以便形成由若干层组成的涂层,且每一层的组合物未必是同样的。当然,很明显的是在反应物给定的流动速度下,涂层的厚度取决于基质的移动速度。根据这些条件,如需要,可以采用并置二台或更多台涂布装置的办法来增多反应工段。在这种情况下,在涂层已有时间冷却之前,逐次涂布的涂层被重叠形成了一个特别均匀的整体涂层。
通过参阅那些足以代表本发明的具体实施方案能更容易地理解本发明。但是,必须明白,所提供的这些具体的实施方案目的只是为了阐明本发明,并必须明白,可以采用与专为阐明用的实施方案不同的方法来实践本发明,只要该方法没有违反本发明的精神和范围。
实施例
实例1和实例2
以下的实验条件适用于实例1和实例2。
一台实验室用加热炉,它有一个活动传送装置用来移动一块玻璃板,或数块玻璃板,以200英寸/分钟的速度通过上述加热炉,它还包括单独一台10英寸宽的双向涂布器,这涂布器适用于将气化的反应物传送到玻璃板的表面,以便通过化学气相沉积形成一层薄膜或薄膜叠层。
玻璃板被加热到近似1170°F,而位于反应釜表面处的涂布器,亦即最靠近玻璃表面的部分,该处温度大约为500°F。
各种前体材料的制备通过采用称为“起泡器”的多路反应物源聚集室来实现,醋酸乙酯(EtOAc),三苯锑(Ph3Sb)和二甲基锡二氯化物(Me2SnCl2)各有一个起泡器,它们被保持在特定的温度下。将氦气以特定的流速引人起泡器内。
特定源或起泡器的温度和流速如下:
起泡器   EtOAc            Ph3Sb        Me2SnCl2
温度      97°F             465°F        355°F
氦流速    0.5~0.75slm      1.0slm        1.2slm
将制得的反应物连同氧(O2)和水(H2O)一起引人实验室用涂布器中,氧以5.25slm的流速引人,水以12.4slm的流速引人。
上述各种反应物在涂布器中被组合,以便在此状况下将掺锑氧化锡涂层沉积在清洁的钠钙硅玻璃板上,该玻璃板上已预先沉积了一层200厚度的SiO2层。
         薄膜厚度   平板电阻        Tvis      Tsol
实例1    1951      142欧姆/方       63%       48%
实例2    2158      119欧姆/方       67%       55%
薄膜厚度由轮廓测定仪测量确定。
Tvis是可见光谱段(400nm~800nm)中的光通过涂膜玻璃的透光度。
Tsal是总的日光通过涂膜玻璃的透光度。
还应指出,在实例1中通过EtoAc起泡器的He流速是0.75slm,在实例2中是0.50slm。其它的参数见前面对二个实例的陈述。
由这些试验生成的薄膜的厚度和导电率是均匀的,显示出低成雾现象和良好的日光选择吸附性。
实例3
还已发现,可以接受的掺锑的氧化锡薄膜可以通过采用溶解于醋酸乙酯(EtoAc)的芳基锑化合物,例如三苯锑(Ph3Sb)的溶液制得。其它合适的溶剂包括,但不限于这些,己烷,甲苯,二氯甲烷和乙腈。使惰性气体成气泡状通过气态二甲基锡二氯化物(Me2SnCl2),如在实例1和实例2中那样。这二种反应物流都与氧和水相组合,如在实例1和实例2中那样。
实例3所采用的实验室用加热炉的状况和涂布器与实例1和实例2的相同。
包含18wt%Ph3Sb的Ph3Sb/EtOAc反应物流,以11厘米3/分钟的流速流动,它与由成气泡状的惰性气体以1.2slm的流速通过气态Me2SnCl2所产生的反应物流Me2SnCl2相组合,连同以5.25slm流速流动的氧和以12.4slm流速流动的水一起,在钠钙硅基质上生成一层薄膜,这基质上预先沉积有一层200的二氧化硅膜层,上述沉积的薄膜具有以下特性:
         薄膜厚度     平板电阻       T vis     T sol
实例3    ≈2000      135欧姆/方      74%      58%
本发明已公开于被认为是优选的实施方案中,可是必须明白,所提供的这些具体的实施方案目的只是为了阐明本发明,并必须明白,可以采用与专为阐明用的实施方案不同的方法来实践本发明,只要这方法没有违反本发明的精神和范围。

Claims (18)

1.一种化学气相沉积法,它将掺锑的金属氧化物涂层涂布到热玻璃基质表面上,它包含:
a)提供一块热玻璃基质,包括一个在其上面要被沉积一层掺锑的金属氧化物涂层的表面;
b)提供一种均匀的气化反应物的混合物,它包含金属化合物,含氧化合物,水和有机锑化合物,这种有机锑化合物在温度低于550°F的条件下将不会与所述含氧化合物或水发生预加反应;
c)将气化反应物的混合物传送到热玻璃基质的表面上,然后使这混合物起反应,以便使掺锑的金属氧化物的涂层沉积在热玻璃基质的表面上;和
d)使这涂膜玻璃基质冷却到环境温度。
2.权利要求1的方法,其中所述有机锑化合物是以下形式的化合物:(R1)xSb(R2)3-x式中R1是芳族,R2是烷基,和X=3或2。
3.权利要求1的方法,其中所述有机锑化合物是二芳基烷基锑化合物。
4.权利要求1的方法,其中所述有机锑化合物是三芳基锑化合物,它选自:(Mes)3Sb,Ph3Sb,(Tolyl)3Sb,和(RxC6H5-x)3Sb,(式中R是短链型有机族,具有1~4个碳原子),和X=1-5。
5.权利要求1的方法,其中所述有机锑化合物是Ph3Sb。
6.一种化学气相沉积法,它将掺锑的氧化锡涂层涂布在热玻璃基质表面上,它包含:
a)提供一块热玻璃基质,包括一个在其上面要被沉积一层掺锑的氧化锡涂层的表面;
b)提供一种均匀的气化反应物的混合物,它包含锡的化合物,氧,水和有机锑化合物,这种有机锑化合物在温度低于550°F的条件下将不会与所述氧或水发生预加反应;
c)将气化反应物的混合物传送到热玻璃基质的表面上,然后使这混合物起反应,以便使掺锑的氧化锡的涂层沉积在热玻璃基质的表面上;和
d)使这涂膜玻璃基质冷却到环境温度。
7.权利要求6的方法,其中所述锡前体是有机锡化合物。
8.权利要求6的方法,其中所述锡前体是二甲基锡二氯化物。
9.权利要求6的方法,其中所述涂布方法是作为浮法玻璃制造方法的一部分进行的。
10.权利要求9的方法,其中所述涂布方法是在悬浮槽中,或在其附近进行的。
11.权利要求10的方法,其中所述涂布方法是在900~1350°F温度下进行的。
12.权利要求11的方法,其中所述涂布方法是在1100~1280°F温度下进行的。
13.权利要求11的方法,其中所述沉积的涂层厚度为1000~5000。
14.权利要求12的方法,其中所述沉积的涂层厚度为1000~3500。
15.权利要求1的方法,其中在沉积含锑涂层之前先将一层色彩抑制涂层涂布到其上面要沉积上述含锑涂层的基质上。
16.权利要求1的方法,其中所述有机锑化合物基本上是与水和氧不会发生反应的。
17.一种化学气相沉积法,它将掺锑的金属氧化物涂层涂布到热玻璃基质表面上,它包含:
a)提供一块热玻璃基质,包括一个在其上面要被沉积一层掺锑的金属氧化物涂层的表面;
b)提供一种钠扩散膜涂层并将其直接沉积在上述热玻璃基质上;
c)提供一种均匀的气化反应物的混合物,它包含金属化合物,含氧化合物,水和有机锑化合物,这种有机锑化合物在温度低于550°F的条件下将不会与所述含氧化合物或水发生预加反应;
d)将气化反应物的混合物传送到热玻璃基质的表面上,然后使这混合物起反应,以便使掺锑的金属氧化物的涂层沉积在热玻璃基质的表面上;和
e)使这涂膜玻璃基质冷却到环境温度。
18.权利要求17的方法,其中钠扩散膜涂层是二氧化硅。
CNB028119053A 2001-04-17 2002-04-09 掺锑的金属氧化物的化学气相沉积 Expired - Lifetime CN1263696C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/836,647 US6521295B1 (en) 2001-04-17 2001-04-17 Chemical vapor deposition of antimony-doped metal oxide and the coated article made thereby
US09/836,647 2001-04-17

Publications (2)

Publication Number Publication Date
CN1531512A true CN1531512A (zh) 2004-09-22
CN1263696C CN1263696C (zh) 2006-07-12

Family

ID=25272408

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB028119053A Expired - Lifetime CN1263696C (zh) 2001-04-17 2002-04-09 掺锑的金属氧化物的化学气相沉积

Country Status (10)

Country Link
US (1) US6521295B1 (zh)
EP (1) EP1379476B1 (zh)
JP (1) JP4290993B2 (zh)
KR (1) KR20030092075A (zh)
CN (1) CN1263696C (zh)
BR (1) BR0208966A (zh)
MX (1) MXPA03009494A (zh)
PL (1) PL371598A1 (zh)
RU (1) RU2302393C2 (zh)
WO (1) WO2002083588A1 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104736740A (zh) * 2012-12-28 2015-06-24 财团法人工业技术研究院 氧化锡膜及其制造方法
CN113924279A (zh) * 2019-05-20 2022-01-11 皮尔金顿集团有限公司 减小涂覆的玻璃制品的发射率的方法
CN115196884A (zh) * 2022-06-30 2022-10-18 深圳市楠轩光电科技有限公司 一种光学玻璃镀膜方法

Families Citing this family (352)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) * 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
KR102235595B1 (ko) * 2013-07-08 2021-04-05 삼성디스플레이 주식회사 주석 산화물 반도체용 조성물 및 주석 산화물 반도체 박막의 형성 방법
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR20230156842A (ko) 2014-10-23 2023-11-14 인프리아 코포레이션 유기 금속 용액 기반의 고해상도 패터닝 조성물 및 상응하는 방법
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
WO2016132131A1 (en) * 2015-02-19 2016-08-25 Pilkington Group Limited A chemical vapour deposition process for depositing an iron doped tin oxide coating and a coated glass article formed thereby
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
JP6805244B2 (ja) * 2015-10-13 2020-12-23 インプリア・コーポレイションInpria Corporation 有機スズオキシドヒドロキシドのパターン形成組成物、前駆体およびパターン形成
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10780673B2 (en) * 2016-04-08 2020-09-22 Pilkington Group Limited Light emitting diode display and insulated glass unit including the same
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4293594A (en) 1980-08-22 1981-10-06 Westinghouse Electric Corp. Method for forming conductive, transparent coating on a substrate
US4377613A (en) 1981-09-14 1983-03-22 Gordon Roy G Non-iridescent glass structures
US4504526A (en) 1983-09-26 1985-03-12 Libbey-Owens-Ford Company Apparatus and method for producing a laminar flow of constant velocity fluid along a substrate
GB8630918D0 (en) 1986-12-24 1987-02-04 Pilkington Brothers Plc Coatings on glass
US5090985A (en) 1989-10-17 1992-02-25 Libbey-Owens-Ford Co. Method for preparing vaporized reactants for chemical vapor deposition
JP3201209B2 (ja) 1994-03-29 2001-08-20 日本板硝子株式会社 建築物用ガラス
GB2302102B (en) 1995-06-09 1999-03-10 Glaverbel A glazing panel having solar screening properties and a process for making such a panel
MY129739A (en) 1996-01-09 2007-04-30 Nippon Sheet Glass Co Ltd Coated glass for buildings
US6005127A (en) 1997-11-24 1999-12-21 Advanced Technology Materials, Inc. Antimony/Lewis base adducts for Sb-ion implantation and formation of antimonide films

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104736740A (zh) * 2012-12-28 2015-06-24 财团法人工业技术研究院 氧化锡膜及其制造方法
CN105951061A (zh) * 2012-12-28 2016-09-21 财团法人工业技术研究院 氧化锡膜及其制造方法
CN104736740B (zh) * 2012-12-28 2016-10-19 财团法人工业技术研究院 氧化锡膜及其制造方法
CN105951061B (zh) * 2012-12-28 2018-07-13 财团法人工业技术研究院 氧化锡膜及其制造方法
CN113924279A (zh) * 2019-05-20 2022-01-11 皮尔金顿集团有限公司 减小涂覆的玻璃制品的发射率的方法
CN115196884A (zh) * 2022-06-30 2022-10-18 深圳市楠轩光电科技有限公司 一种光学玻璃镀膜方法

Also Published As

Publication number Publication date
CN1263696C (zh) 2006-07-12
JP2005508819A (ja) 2005-04-07
BR0208966A (pt) 2006-11-28
US6521295B1 (en) 2003-02-18
EP1379476B1 (en) 2017-10-04
WO2002083588A1 (en) 2002-10-24
KR20030092075A (ko) 2003-12-03
MXPA03009494A (es) 2004-02-12
EP1379476A1 (en) 2004-01-14
JP4290993B2 (ja) 2009-07-08
RU2302393C2 (ru) 2007-07-10
PL371598A1 (en) 2005-06-27
RU2003132541A (ru) 2005-04-27

Similar Documents

Publication Publication Date Title
CN1263696C (zh) 掺锑的金属氧化物的化学气相沉积
CN1122115C (zh) 在玻璃上形成氧化锡涂层的方法
CN1041815C (zh) 玻璃涂层组合物
EP0627391B1 (en) Neutral, low emissivity coated glass articles and method for making
KR20070012647A (ko) 평면 유리상에 갈륨 산화물 코팅을 침착하는 방법
EP2817433B1 (en) Chemical vapor deposition process for depositing a silica coating on a glass substrate
CN103958731A (zh) 通过常压化学气相沉积沉积氧化硅
McCurdy Successful implementation methods of atmospheric CVD on a glass manufacturing line
CN101014547B (zh) 沉积氧化铝涂层的方法
EP1730087B1 (en) Process for the deposition of aluminium oxide coatings
EP2059627B1 (en) Method of forming a zinc oxide coated article
CN1209312C (zh) 玻璃镀膜方法
CN111032591B (zh) 涂覆的玻璃制品、其制造方法,以及用其制成的光伏电池
JP2004026554A (ja) 透明導電膜形成液及びそれを用いた透明導電膜付基体の製法
JP2004018913A (ja) 透明導電膜形成液及びそれを用いた透明導電膜付基体の製造方法
US20210130229A1 (en) Chemical vapor deposition process for forming a silicon oxide coating
KR20050055863A (ko) 투명도전막 형성액 및 이를 사용한 투명도전막이 부착된기체의 제조방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CX01 Expiry of patent term
CX01 Expiry of patent term

Granted publication date: 20060712