JP2004504328A - ホトリソグラフィ用スピンオンガラス反射防止膜のための吸収性化合物 - Google Patents

ホトリソグラフィ用スピンオンガラス反射防止膜のための吸収性化合物 Download PDF

Info

Publication number
JP2004504328A
JP2004504328A JP2002512299A JP2002512299A JP2004504328A JP 2004504328 A JP2004504328 A JP 2004504328A JP 2002512299 A JP2002512299 A JP 2002512299A JP 2002512299 A JP2002512299 A JP 2002512299A JP 2004504328 A JP2004504328 A JP 2004504328A
Authority
JP
Japan
Prior art keywords
compound
group
silicon
absorbing
spin
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2002512299A
Other languages
English (en)
Inventor
ボールドウイン,テリーサ
リツチー,メアリー
ドレイジ,ジエイムズ
ウー,ホイ−ジユン
スピア,リチヤード
Original Assignee
ハネウエル・インターナシヨナル・インコーポレーテツド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ハネウエル・インターナシヨナル・インコーポレーテツド filed Critical ハネウエル・インターナシヨナル・インコーポレーテツド
Publication of JP2004504328A publication Critical patent/JP2004504328A/ja
Withdrawn legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/42Block-or graft-polymers containing polysiloxane sequences
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D4/00Coating compositions, e.g. paints, varnishes or lacquers, based on organic non-macromolecular compounds having at least one polymerisable carbon-to-carbon unsaturated bond ; Coating compositions, based on monomers of macromolecular compounds of groups C09D183/00 - C09D183/16
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C17/00Surface treatment of glass, not in the form of fibres or filaments, by coating
    • C03C17/28Surface treatment of glass, not in the form of fibres or filaments, by coating with organic material
    • C03C17/30Surface treatment of glass, not in the form of fibres or filaments, by coating with organic material with silicon-containing compounds
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/18Compounds having one or more C—Si linkages as well as one or more C—O—Si linkages
    • C07F7/1804Compounds having Si-O-C linkages
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/04Polysiloxanes
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31652Of asbestos
    • Y10T428/31663As siloxane, silicone or silane

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Wood Science & Technology (AREA)
  • Geochemistry & Mineralogy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Medicinal Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Silicon Polymers (AREA)
  • Paints Or Removers (AREA)
  • Surface Treatment Of Glass (AREA)
  • Compositions Of Macromolecular Compounds (AREA)

Abstract

酸素結合を介してナフタレンまたはアントラセン発色団に結合しているシリコンエトキシ、シリコンジエトキシまたはシリコントリエトキシ基を含む吸収性エーテル性化合物を有機吸収性化合物として使用する。前記吸収性エーテル性化合物をスピンオンガラス材料に配合して、遠紫外線ホトリソグラフィ用反射防止膜材を提供する。前記吸収性エーテル化合物の合成方法は、アルコールの存在下でのアルコール置換発色団とアセトキシシリコン化合物の反応に基づく。前記吸収性エーテル性化合物を含む吸収性スピンオンガラス材料の作成方法も提供する。

Description

【0001】
(技術分野)
本発明は包括的には、光吸収性スピンオンガラス材料に関する。より具体的には、本発明はホトリソグラフィにおいて反射防止層として使用するためのスピンオンガラス材料に配合され得る吸収性化合物及び前記吸収性化合物の製造方法に関する。
【0002】
(技術的背景)
高速性能に関する要件を満たすために、集積回路デバイスのフィーチャーの固有寸法は小さくなりつつある。より小さなフィーチャーサイズを有するデバイスの製造により、半導体製造において通常使用されている多くのプロセスに新たな問題が生じる。前記製造プロセスの中で最も重要なものの1つはホトリソグラフィである。
【0003】
半導体ウェハ上の下層から反射する光の光学的干渉により、ホトリソグラフィにより作成されるパターンの線幅が変化する恐れがあることは長年認められてきた。下層のトポグラフィーのためにホトレジストの厚さが変化したときも線幅が変化する。照射線の反射による干渉を防止するためにホトレジスト層の下に反射防止膜(ARC)が使用されてきた。更に、反射防止膜はウェハのトポグラフィーを部分的に平坦化し、これによりホトレジストの厚さがより均一となるので複数のステップでの線幅の変化が小さくなる。
【0004】
反射防止膜としては、有機ポリマーフィルム、特にホトレジストを露光するために慣用されているi線波長(365nm)及びg線波長(436nm)の光を吸収する有機ポリマーフィルムが使用されてきた。しかしながら、有機ARCが有機ホトレジストと多くの化学的特性を共有しているという事実により使用可能なプロセス順序が制限される恐れがある。更に、有機ARCはホトレジスト層に混入する恐れがある。混入を避けるための1つの解決方法は、例えばFlaimらの米国特許第5,693,691号明細書に記載されているように有機ARCの追加成分として熱硬化性結合剤を配合することである。Arnoldらの米国特許第4,910,122号明細書に記載されているように、染料を有機ARCに配合してもよく、また場合により湿潤剤、定着剤、保存剤や可塑剤のような追加添加剤を配合してもよい。
【0005】
シリコンオキシニトリドは反射防止膜として使用されてきた別の材料である。しかしながら、シリコンオキシニトリドは吸収というよりむしろ弱め合う干渉プロセスによりARCとして機能する。このことは、オキシニトリドの厚さを非常に厳密にコントロールすることが必要であり、材料が、大きく変わるトポグラフィー全体に対してARCとして機能しないことがあり得ることを意味する。更に、シリコンオキシニトリドは通常化学蒸着により沈着されるが、ホトレジスト層は通常スピンコータにより適用される。化学蒸着プロセスを追加することにより加工がより複雑となり得る。
【0006】
反射防止層として使用され得る別の種類の材料は染料を含有するスピンオンガラス(SOG)組成物である。Yauらの米国特許第4,587,138号明細書は、約1重量%の染料(例えば、ベーシックイエロー#11)をスピンオンガラスと混合することを開示している。Allmanらの米国特許第5,100,503号明細書は、無機染料(TiO、Cr、MoO、MnOまたはScO)及び密着性強化剤を含有する架橋ポリオルガノシロキサンを開示している。Allmanは更に、スピンオンガラス組成物が平坦化剤としても機能することを教示している。しかしながら、今までに開示されているスピンオンガラス−染料配合物は、小さなフィーチャーサイズを有するデバイスを製作するために使用されるようになっている遠紫外線(特に、248nm及び193nm)光源に露出するのに最適でない。加えて、いずれの染料もスピンオンガラス組成物に容易に配合され得ない。
【0007】
反射防止膜を形成するためにスピンオンガラス組成物に配合され得る遠紫外線スペクトル領域において強く吸収する化合物及び前記吸収性化合物の合成方法がなお要望されている。
【0008】
(概要)
遠紫外線ホトリソグラフィ用反射防止膜材料は、スピンオンガラス(SPG)材料に配合される1つ以上の有機吸収性化合物を含む。本発明の態様によれば、酸素架橋を介してナフタレンまたはアントラセン発色団に結合しているシリコンエトキシ、シリコンジエトキシまたはシリコントリエトキシ基を含む吸収性エーテル性化合物を有機吸収性化合物として使用する。吸収性エーテル性化合物は一般式:
14(CHOSiR(OC3−m、または
10(CHOSiR(OC3−m
(式中、nは1〜3であり、mは0〜2であり、Rは水素、またはメチル、エチルまたはプロピルのようなアルキル基である)
を有する。
【0009】
本発明の光吸収性エーテル性化合物の合成方法は、化学量論量のアルコールの存在下でアルコール置換発色団を一般式:
Si(OCOCH4−m
を有するアセトキシシリコン化合物と1:1:3−mのモル比で反応させることに基づく。例えば、9−アントラセンメトキシ−メチルジエトキシシランの合成には反応物質として9−アントラセンメタノール、メチルトリアセトキシシラン(MTAS)及びエタノールを1:1:2のモル比で使用する。これらの反応物質をアセトンまたは適当な代替ケトンと混合して反応混合物を形成し、生成物を形成するのに十分な時間反応混合物を撹拌し、次いで酢酸副生成物を不活性ガスパージングまたは真空抽出により除去する。
【0010】
吸収性エーテル性化合物は、メチルシロキサン、メチルシルセスキオキサン、フェニルシロキサン、フェニルシルセスキオキサン、メチルフェニルシロキサン、メチルフェニルシルセスキオキサンまたはシリケートポリマーを含むスピンオンガラス材料に配合され得る。本明細書中、スピンオンガラス材料は、一般式
(H0−1.0SiO1.5−2.0
を有する水素シロキサンポリマー(hydrogensiloxane)及び一般式:
(HSiO1.5
(式中、xは約8以上である)
を有する水素シルセスキオキサン(hydrogensilsesquioxane)ポリマーをも含む。水素シルセスキオキサンとアルコキシヒドリドシロキサンまたはヒドロキシヒドリドシロキサンのコポリマーをも含む。スピンオンガラス材料は更に、一般式:
(H0−1.0SiO1.5−2.0(R’0−1.0SiO1.5−2.0
を有する有機ヒドリドシロキサンポリマー及び一般式:
(HSiO1.5(R’SiO1.5
(式中、mは0以上であり、n+mは約8以上であり、R’はアルキルまたはアリールである)
を有する有機ヒドリドシルセスキオキサンポリマーを含む。吸収性化合物を含むスピンオンガラス材料のコーティング溶液は、集積回路デバイスの各種層上に反射防止フィルムを形成するために使用される。
【0011】
本発明の別の態様によれば、吸収性エーテル化合物を含む吸収性スピンオンガラス組成物の合成方法も提供される。
【0012】
(図面の簡単な説明)
図1は、本発明の態様に従ってメチルトリアセトキシシランを用いて9−アントラセンメトキシ−メチルジエトキシシランを合成するための反応スキームを示す。
【0013】
(詳細説明)
遠紫外線ホトリソグラフィ用反射防止膜材料はスピンオンガラス(SOG)材料に配合される1つ以上の有機吸収性化合物を含む。吸収性スピンオンガラス組成物を適当な溶媒に溶解してコーティング溶液を調製し、半導体デバイスを作製する際に材料の複数の層に適用する。吸収性スピンオンガラス反射防止膜は既存の半導体製作プロセスに容易に集積されるように設計されている。集積を与える性質には現像液耐性、標準のホトレジスト加工中の熱安定性及び下層の選択的除去が含まれる。
【0014】
多くのナフタレンベースまたはアントラセンベースの化合物は248nm以下の波長で強い吸収を示す。フェニルベースと同等であるベンゼンベースの化合物は200nm以下の短波長で強い吸収を示す。前記したナフタレン−、アントラセン−及びフェニルベースの化合物は染料と称されることが多いが、前記化合物の吸収がスペクトルの可視領域の波長に限定されないので本明細書では吸収性化合物という用語を用いる。しかしながら、このような吸収性化合物すべてがARC材料として使用されるスピンオンガラスに配合され得るわけではない。本発明で使用するのに適した吸収性化合物は、ホトリソグラフィにおいて使用され得る波長範囲、(例えば、248nm、193nm)または他の紫外線波長(例えば、365nm)付近の少なくとも約10nm幅の波長範囲において吸収ピークを有するものである。前記した波長範囲付近で狭い吸収ピーク、例えば2nm以下の幅しか有さない吸収性化合物は望ましくない。
【0015】
好適な吸収性化合物の発色団は通常1〜3個のベンゼン環を有し、このベンゼン環は縮合されていてもよい。配合可能な吸収性化合物は発色団に結合した利用可能な反応基を有し、この反応基にはヒドロキシ基、アミン基、カルボン酸基、及び1〜3個の脱離基(例えば、アルコキシ基またはハロゲン原子)に結合したケイ素を含む置換シリル基が含まれる。エトキシ基、メトキシ基または塩素原子が多くの場合脱離基として使用される。よって、好適な反応基にはシリコンエトキシ、シリコンジエトキシ、シリコントリエトキシ、シリコンメトキシ、シリコンジメトキシ、シリコントリメトキシ、クロロシリル、ジクロロシリル及びトリクロロシリル基が含まれる。スピンオンガラス組成物のための配合可能な吸収性化合物の具体例は、援用により本明細書に組み込まれる本出願と同一出願人による米国特許出願第09/491,166号明細書に記載されている。反応基にケイ素に結合した1つ以上のエトキシ基を導入すると吸収性SOGフィルムの熱安定性を促進するために特に有利であることが判明した。
【0016】
本発明の1態様によれば、配合可能な吸収性化合物は、酸素架橋を介してナフタレンまたはアントラセン発色団に結合する反応基としてシリコンエトキシ、シリコンジエトキシまたはシリコントリエトキシ基を含む。よって、本発明の吸収性化合物はエーテル性である。(吸収性化合物中のケイ素原子が炭素原子で置換されている場合、化合物は厳密にはエーテルと分類される。)化合物は一般構造:
【0017】
【化1】
Figure 2004504328
(式中、n=1〜3、m=0〜2、及びRは水素、またはメチル、エチルまたはプロピルのようなアルキル基である)
を有し得る。構造及びは反応基が特定置換部位で発色団に結合している化合物を示す。反応基が別の部位に結合している類似化合物はすべて一般式:
14(CHOSiR(OC3−m、または
10(CHOSiR(OC3−m
で示されるが、いずれも本発明に含まれる。
【0018】
構造及びを有する光吸収性エーテル性化合物の合成方法は、アルコールの存在下でのアルコール置換縮合環発色団とアセトキシシラン化合物の反応に基づく。アセトキシシリコン反応物質は一般式:
Si(OCOCH4−m
(式中、R及びmは上記と同義である)
で示される。有用なアセトキシシリコン化合物の具体例にはメチルトリアセトキシシラン(MTAS)、テトラアセトキシシラン(TAS)、ジメチルジアセトキシシラン及びジエチルジアセトキシシランが含まれる。有用なアルコールにはメタノール、エタノール及びプロパノールが含まれる。
【0019】
例えば、9−アントラセンメトキシ−メチルジエトキシシラン
【0020】
【化2】
Figure 2004504328
の合成では、反応物質として9−アントラセンメタノール、MTAS及びエタノールを1:1:2のモル比で使用する。図1の反応メカニズムから理解され得るように、MTAS上の1個のアセトキシ基を9−アントラセンメタノール上の−CHOHと反応させるとケイ素含有反応基とのエーテル結合及び酢酸が形成され、MTAS上の2個のアセトキシ基をエタノールと反応させるとエトキシ基及び酢酸が形成される。この合成方法では、反応物質をアセトンまたは他のケトン(例えば、メチルイソブチルケトン(MIBK)またはメチルエチルケトン)と混合して反応混合物を形成し、この混合物を生成物を形成するのに十分な時間、典型的には数日間撹拌し、その後不活性ガスをパージするかまたは真空抽出により酢酸副生成物を除去する。この反応メカニズムから、アルコール置換縮合環化合物:アセトキシシリコン化合物RSi(OCOCH4−m:アルコールの比は通常1:1:3−mであることが理解されるであろう。
【0021】
吸収性エーテル性化合物は、メチルシロキサン、メチルシルセスキオキサン、フェニルシロキサン、フェニルシルセスキオキサン、メチルフェニルシロキサン、メチルフェニルシルセスキオキサンまたはシリケートポリマーを含むスピンオンガラス材料に配合され得る。本明細書中、「スピンオンガラス材料」は一般式:
(H0−1.0SiO1.5−2.0
を有する水素シロキサンポリマー及び式:
(HSiO1.5
(式中、xは約8以上である)
を有する水素シルセスキオキサンポリマーを含む。また、水素シルセスキオキサン及びアルコキシヒドリドシロキサンまたはヒドロキシヒドリドシロキサンのコポリマーも含まれる。スピンオンガラス材料は更に一般式:
(H0−1.0SiO1.5−2.0(R’0−1.0SiO1.5−2.0
を有する有機ヒドリドシロキサンポリマー及び一般式:
(HSiO1.5(R’SiO1.5
(式中、mは0以上であり、n+mは約8以上であり、R’はアルキルまたはアリールである)
を有する有機ヒドリドシルセスキオキサンポリマーを含む。幾つかの有用な有機ヒドリドシロキサンポリマーは、n+mが約8〜約5000であり、R’がC1−20アルキル基またはC6−12アリール基であるものである。有機ヒドリドシロキサンポリマー及び有機ヒドリドシルセスキオキサンポリマーはスピンオンポリマーとも称する。具体例には、メチルヒドリドシロキサン、エチルヒドリドシロキサン、プロピルヒドリドシロキサン、t−ブチルヒドリドシロキサン、フェニルヒドリドシロキサン、メチルヒドリドシルセスキオキサン、エチルヒドリドシルセスキオキサン、プロピルヒドリドシルセスキオキサン、t−ブチルヒドリドシルセスキオキサン、フェニルヒドリドシルセスキオキサン及びその組合せである。
【0022】
吸収性スピンオンガラス組成物において、吸収性化合物はスピンオンガラスマトリックス中に介入するように配合され得る。或いは、吸収性化合物はスピンオンガラスポリマーに化学的に結合させる。理論に束縛されないが、本発明者らは利用可能な反応基を介してスピンオンガラスポリマー骨格に配合可能な吸収性化合物を結合させると有利な結果が得られることを示唆している。
【0023】
スピンオンガラス材料は通常各種シラン反応物質から合成される。その例には、トリエトキシシラン(HTEOS)、テトラエトキシシラン(TEOS)、メチルトリエトキシシラン(MTEOS)、ジメチルジエトキシシラン、ジメチルジメトキシシラン、テトラメトキシシラン(TMOS)、メチルトリメトキシシラン(MTMOS)、トリメトキシシラン、ジメチルジメトキシシラン、フェニルトリエトキシシラン(PTEOS)、フェニルトリメトキシシラン(PTMOS)、ジフェニルジエトキシシラン及びジフェニルジメトキシシランが含まれる。ハロシラン、特にトリクロロシラン、メチルトリクロロシラン、エチルトリクロロシラン、フェニルトリクロロシラン、テトラクロロシラン、ジクロロシラン、メチルジクロロシラン、ジメチルジクロロシラン、クロロトリエトキシシラン、クロロトリメトキシシラン、クロロメチルトリエトキシシラン、クロロエチルトリエトキシシラン、クロロフェニルトリエトキシシラン、クロロメチルトリメトキシシラン、クロロエチルトリメトキシシラン及びクロロフェニルトリメトキシシランのようなクロロシランもシラン反応物質として使用される。吸収性スピンオンガラス組成物を製造するためには、SOG材料の合成中に吸収性エーテル化合物、例えば構造(1)または(2)、或いは構造(1)及び/または(2)と他の吸収性化合物の組合せをシラン反応物質と混合する。
【0024】
吸収性スピンオンガラス組成物を製造するための典型的な方法では、シラン反応物質(例えば、HTEOS、TEOSとMTEOS、またはTMOSとMTMOS、またはテトラクロロシラン及びメチルトリクロロシラン)、1つ以上の吸収性化合物、溶媒または溶媒混合物及び酸/水混合物を含む反応混合物を反応容器において形成する。適当な溶媒には、アセトン、2−プロパノール及び他の単純アルコール、ケトン及びエステル(例えば、1−プロパノール、MIBK、プロポキシプロパノール及び酢酸プロピル)が含まれる。酸/水混合物の例は硝酸/水混合物である。或いは、他のプロトン酸または酸無水物(例えば、酢酸、ギ酸、リン酸、塩酸または酢酸無水物)を酸混合物中に使用する。生じた混合物を約30〜80℃の温度に約1〜24時間保持して、吸収性SOGポリマー溶液を作成する。
【0025】
吸収性SOGを適当な溶媒で希釈してコーティング溶液を調製してもよく、この溶液から異なる厚さを有するフィルムが作成される。適当な希釈溶媒には、アセトン、2−プロパノール、エタノール、ブタノール、メタノール、酢酸プロピル、乳酸エチル、プロピレングリコールメチルエーテルアセテート、及びPropasol−Pとして市販されているプロピレングリコールプロピルエーテルが含まれる。高沸点を有する希釈溶媒、例えば乳酸エチル及びプロピレングリコールプロピルエーテルが有利であることが判明している。高沸点溶媒はバブルフィルム欠陥が形成される可能性を低下させる。対照的に、低沸点溶媒はフィルムの架橋上層の下に捕捉されるようになり、その後焼成ステップ中に除去したときに空隙が生ずるであろう。本発明において有用な追加溶媒には、グリム(glyme)と称されるエチレングリコールジメチルエーテル、アニソール、ジブチルエーテル、ジプロピルエーテル及びペンタノールが含まれる。場合により、ミネソタ州ミネアポリスに所在の3Mから販売されている製品FC430または日本のDICから販売されている製品Megaface R08のような界面活性剤もコーティング溶液に添加する。コーティング溶液は通常約0.5〜20重量%のポリマーを含む。使用前に、コーティング溶液を標準の濾過方法により濾過する。
【0026】
吸収性有機ヒドリドシロキサン材料の形成方法は、非極性溶媒と極性溶媒の両方を含む2相溶媒及び相間移動触媒の混合物を形成し、1つ以上の有機トリハロシラン、ヒドリドトリハロシラン及び1つ以上の吸収性化合物を添加して2相反応混合物を生成し、この2相反応混合物を1〜24時間反応させて吸収性有機ヒドリドシロキサンポリマーを生成することを含む。相間移動触媒には塩化テトラブチルアンモニウム及び塩化ベンジルトリメチルアンモニウムが含まれるが、これらに限定されない。非極性溶媒の例にはペンタン、ヘキサン、ヘプタン、シクロヘキサン、ベンゼン、トルエン、キシレン、ハロゲン化溶媒(例えば、四塩化炭素)及びその混合物が含まれるが、これらに限定されない。有用な極性溶媒には水、アルコール及びアルコール/水混合物が含まれる。吸収性ポリマー溶液を上記したように希釈、濾過して、コーティング溶液を調製する。
【0027】
吸収性SOGコーティング溶液は、特定の製作方法に応じて半導体加工において使用される各種層に典型的には慣用のスピンオン沈着法により適用される。前記方法はディスペンススピンステップ、厚さスピンステップ及び熱焼成ステップを含み、吸収性SOG反射防止フィルムが作成される。典型的な方法は1000〜4000rpmで約20秒間の厚さスピン及び80〜300℃で約1分間の焼成ステップ×2〜3回を含む。下記実施例2に示すように、構造(3)の吸収性エーテルを含む吸収性SOG反射防止フィルムは0.18以上の吸光係数を示す。
【0028】
9−アントラセンメトキシ−メチルジエトキシシラン、9−アントラセンメトキシ−トリエトキシシラン、及び前記吸収性化合物を含む吸収性SOG材料の合成方法を下記実施例に示す。
【0029】
実施例1
9−アントラセンメトキシ−メチルジエトキシシランの合成
3L容量のフラスコにおいて、メチルトリアセトキシシラン(MTAS)(92.37g,0.419モル)、9−アントラセンメタノール(87.36g,0.419モル)、エタノール(38.56g,0.839モル)及びアセトン(595.51g,10.20モル)を混合した。溶液を窒素雰囲気下で7日間撹拌した。溶液を脱気して酢酸副生成物を除去した。
【0030】
実施例2
9−アントラセンメトキシ−メチルジエトキシシランを含む吸収性SOGの合成
1L容量のフラスコにおいて、2−プロパノール(297g,4.798モル)、アセトン(148g,2.558モル)、TEOS(123g,0.593モル)、MTEOS(77g,0.432モル)、実施例1で製造した9−アントラセンメトキシ−メチルジエトキシシラン(200g)、ロゾール酸(2.61g,0.009モル)、2−ヒドロキシ−4−(3−トリエトキシシリルプロポキシ)ジフェニルケトン(10g,0.024モル)、アントラフラビン酸(0.09g,0.0004モル)、1.0M 硝酸(0.6g)及び脱イオン水(72g,3.716モル)を混合した。フラスコを4時間還流した。この溶液に、ブタノール(43g,0.590モル)を添加した。溶液を濾過した。溶液を分配した後、3000rpmで20秒間厚さスピンし、80℃及び180℃でそれぞれ1分間焼成した。光学特性をN & K Technology(モデル1200)アナライザーで調べた。フィルムの厚さは2801Åであった。248nmで、屈折率(n)は1.470であり、吸光係数(k)は0.185であった。
【0031】
実施例3
9−アントラセンメトキシ−トリエトキシシランの合成
3L容量のフラスコにおいて、テトラアセトキシシラン(TAS)(110.73g,0.419モル)、9−アントラセンメタノール(87.36g,0.419モル)、エタノール(57.98g,1.2585モル)及びアセトン(595.51g,10.20モル)を混合する。溶液を窒素雰囲気下で7日間撹拌する。溶液を脱気して酢酸副生成物を除去する。
【0032】
実施例4
9−アントラセンメトキシ−トリエトキシシランを含む吸収性SOGの合成
1L容量のフラスコにおいて、2−プロパノール(297g,4.798モル)、アセトン(148g,2.558モル)、TEOS(123g,0.593モル)、MTEOS(77g,0.432モル)、実施例3で製造した9−アントラセンメトキシ−トリエトキシシラン(200g)、1.0M 硝酸(0.6g)及び脱イオン水(72g,3.716モル)を混合する。フラスコを4時間還流する。この溶液にブタノール(43g,0.590モル)を添加する。溶液を濾過する。
【0033】
実施例5
9−アントラセンメトキシ−メチルジエトキシシランを含む吸収性SOGの合成
1L容量のフラスコにおいて、2−プロパノール(297g,4.798モル)、アセトン(148g,2.558モル)、TEOS(123g,0.593モル)、MTEOS(77g,0.432モル)、実施例1で製造した9−アントラセンメトキシ−メチルジエトキシシラン(200g)、1.0M 硝酸(0.6g)及び脱イオン水(72g,3.716モル)を混合する。フラスコを4時間還流する。この溶液にブタノール(43g,0.590モル)を添加する。溶液を濾過する。
【0034】
特定実施例を参照して本発明を説明してきたが、本明細書の記載は本発明の適用例にすぎず、限定と解釈すべきでない。本明細書に記載の実施例の各種改変及び要件の組合せも本発明の範囲内である。
【図面の簡単な説明】
【図1】
本発明の態様に従ってメチルトリアセトキシシランを用いて9−アントラセンメトキシ−メチルジエトキシシランを合成するための反応スキームを示す。

Claims (18)

  1. 2または3個の環を含むアルコール置換縮合ベンゼン環化合物、アセトキシシリコン化合物、アルコール及び溶媒を混合して反応混合物を生成し、前記反応混合物を光吸収性エーテル性化合物を形成するのに十分な時間撹拌し、酸性副生成物を除去することを含むことを特徴とする光吸収性エーテル性化合物の製造方法。
  2. アセトキシシリコン化合物が一般式:
    Si(OCOCH4−m
    (式中、Rは水素、メチル、エチル及びプロピルからなる群から選択され、mは0〜2である)
    を有し、縮合環化合物、アセトキシシリコン化合物及びアルコールを1:1:3−mの化学量論比で混合することを特徴とする請求項1に記載の方法。
  3. アセトキシシリコン化合物がメチルトリアセトキシシランであることを特徴とする請求項2に記載の方法。
  4. 縮合環化合物が9−アントラセンメタノールであることを特徴とする請求項2に記載の方法。
  5. アルコールがエタノールであることを特徴とする請求項2に記載の方法。
  6. 光吸収性エーテル化合物が9−アントラセンメトキシ−メチルジエトキシシランであることを特徴とする請求項3に記載の方法。
  7. シロキサンポリマー、及び2または3個の縮合ベンゼン環とシリコンエトキシ、シリコンジエトキシ及びシリコントリエトキシからなる群から選択されるケイ素含有基への酸素結合を含む吸収性エーテル性化合物を含むことを特徴とする光吸収性スピンオンガラス組成物。
  8. 吸収性エーテル化合物が式:
    14(CHOSiR(OC3−mまたは
    10(CHOSiR(OC3−m
    (式中、nは1〜3であり、mは0〜2であり、Rは水素、メチル、エチル及びプロピルからなる群から選択される)
    を有することを特徴とする請求項7に記載の組成物。
  9. 吸収性エーテル化合物が9−アントラセンメトキシ−メチルジエトキシシランであることを特徴とする請求項8に記載の組成物。
  10. シロキサンポリマーがメチルシロキサン、メチルシルセスキオキサン、フェニルシロキサン、フェニルシルセスキオキサン、メチルフェニルシロキサン、メチルフェニルシルセスキオキサン及びシリケートポリマーからなる群から選択されるポリマーであることを特徴とする請求項7に記載の組成物。
  11. シロキサンポリマーが水素シロキサンポリマー、水素シルセスキオキサンポリマー、有機ヒドリドシロキサンポリマー、有機ヒドリドシルセスキオキサンポリマー、及び水素シルセスキオキサン−アルコキシヒドリドシロキサンまたはヒドロキシヒドリドシロキサンコポリマーからなる群から選択されるポリマーであることを特徴とする請求項7に記載の組成物。
  12. シロキサンポリマーが
    (H0−1.0SiO1.5−2.0
    (式中、xは約8以上である)、及び
    (H0−1.0SiO1.5−2.0(R’0−1.0SiO1.5−2.0
    (式中、mは0以上であり、n+mは約8〜約5000であり、R’はC1−20アルキル基またはC6−12アリール基である)
    からなる群から選択される一般式を有するポリマーであることを特徴とする請求項11に記載の組成物。
  13. 請求項7に記載の吸収性スピンオンガラス組成物及び溶媒または溶媒混合物を含むことを特徴とするコーティング溶液。
  14. 溶液が約0.5〜約20重量%の吸収性スピンオンガラス組成物を含むことを特徴とする請求項13に記載のコーティング溶液。
  15. アルコキシシラン及びハロシランからなる群から選択される1つ以上のシラン反応物質、1つ以上の配合可能な有機吸収性化合物、酸/水混合物及び1つ以上の溶媒を混合して反応性混合物を形成し、前記反応混合物を約30〜約80℃の温度で吸収性スピンオンガラス組成物を形成するのに十分な時間保持することを含む吸収性スピンオンガラス組成物の製造方法であって、前記した有機吸収性化合物の少なくとも1つは2または3個の縮合ベンゼン環とシリコンエトキシ、シリコンジエトキシ及びシリコントリエトキシからなる群から選択されるケイ素含有基への酸素結合を含む吸収性エーテル化合物であることを特徴とする前記方法。
  16. 1つ以上のシラン反応物質がトリエトキシシラン、テトラエトキシシラン、メチルトリエトキシシラン、ジメチルジエトキシシラン、ジメチルジメトキシシラン、テトラメトキシシラン、メチルトリメトキシシラン、トリメトキシシラン、ジメチルジメトキシシラン、フェニルトリエトキシシラン、フェニルトリメトキシシラン、ジフェニルジエトキシシラン、ジフェニルジメトキシシラン、トリクロロシラン、メチルトリクロロシラン、エチルトリクロロシラン、フェニルトリクロロシラン、テトラクロロシラン、クロロトリエトキシシラン、クロロトリメトキシシラン、クロロメチルトリエトキシシラン、クロロエチルトリエトキシシラン、クロロフェニルトリエトキシシラン、クロロメチルトリメトキシシラン、クロロエチルトリメトキシシラン及びクロロフェニルトリメトキシシランからなる群から選択されることを特徴とする請求項15に記載の方法。
  17. 1つ以上のシラン反応物質がテトラエトキシシラン及びメチルトリエトキシシランであることを特徴とする請求項16に記載の方法。
  18. 酸/水混合物が硝酸/水混合物であることを特徴とする請求項15に記載の方法。
JP2002512299A 2000-07-17 2001-07-12 ホトリソグラフィ用スピンオンガラス反射防止膜のための吸収性化合物 Withdrawn JP2004504328A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/617,365 US6368400B1 (en) 2000-07-17 2000-07-17 Absorbing compounds for spin-on-glass anti-reflective coatings for photolithography
PCT/US2001/022232 WO2002006402A1 (en) 2000-07-17 2001-07-12 Absorbing compounds for spin-on glass anti-reflective coatings for photolithography

Publications (1)

Publication Number Publication Date
JP2004504328A true JP2004504328A (ja) 2004-02-12

Family

ID=24473372

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002512299A Withdrawn JP2004504328A (ja) 2000-07-17 2001-07-12 ホトリソグラフィ用スピンオンガラス反射防止膜のための吸収性化合物

Country Status (9)

Country Link
US (3) US6368400B1 (ja)
EP (1) EP1301569A4 (ja)
JP (1) JP2004504328A (ja)
KR (1) KR100804870B1 (ja)
CN (1) CN1296435C (ja)
AU (1) AU2001280558A1 (ja)
CA (1) CA2413726C (ja)
TW (2) TWI238174B (ja)
WO (1) WO2002006402A1 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002284997A (ja) * 2000-10-10 2002-10-03 Shipley Co Llc 多孔性有機ポリシリカ誘電体形成用の組成物
KR20110118781A (ko) * 2009-02-10 2011-11-01 에이제트 일렉트로닉 머트리얼즈 유에스에이 코프. 폴리실라잔을 사용하는 리버스 톤 상의 형성을 위한 하드마스크 공정

Families Citing this family (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6268457B1 (en) * 1999-06-10 2001-07-31 Allied Signal, Inc. Spin-on glass anti-reflective coatings for photolithography
US6824879B2 (en) 1999-06-10 2004-11-30 Honeywell International Inc. Spin-on-glass anti-reflective coatings for photolithography
KR100804873B1 (ko) * 1999-06-10 2008-02-20 얼라이드시그날 인코퍼레이티드 포토리소그래피용 sog 반사방지 코팅
US6890448B2 (en) * 1999-06-11 2005-05-10 Shipley Company, L.L.C. Antireflective hard mask compositions
EP1189267B1 (en) * 2000-04-03 2012-05-23 Ulvac, Inc. Method for preparing porous sio2 film
KR100929042B1 (ko) 2000-08-17 2009-11-26 롬 앤드 하스 일렉트로닉 머트어리얼즈, 엘.엘.씨 내에칭성 반사방지 코팅 조성물
JP3602071B2 (ja) * 2001-06-05 2004-12-15 株式会社日立製作所 核酸の精製分離方法
JP4144204B2 (ja) * 2001-09-20 2008-09-03 日産自動車株式会社 光吸収膜およびその製造方法
EP1478682A4 (en) * 2001-11-15 2005-06-15 Honeywell Int Inc ANTIREFLECTIVE LAYERS FOR PHOTOLITHOGRAPHY AND METHODS OF PREPARATION THEREOF
EP1472574A4 (en) * 2001-11-15 2005-06-08 Honeywell Int Inc ANTI-REFLECTIVE COATINGS DESIGNED TO BE INSTALLED BY ROTATION FOR PHOTOLITHOGRAPHY
WO2004044025A2 (en) * 2002-11-12 2004-05-27 Honeywell International Inc Anti-reflective coatings for photolithography and methods of preparation thereof
EP1627007B1 (en) * 2003-05-23 2007-10-31 Dow Corning Corporation Siloxane resin-based anti-reflective coating composition having high wet etch rate
US7303785B2 (en) * 2003-06-03 2007-12-04 Shin-Etsu Chemical Co., Ltd. Antireflective film material, and antireflective film and pattern formation method using the same
KR100857967B1 (ko) * 2003-06-03 2008-09-10 신에쓰 가가꾸 고교 가부시끼가이샤 반사 방지막 재료, 이것을 이용한 반사 방지막 및 패턴형성 방법
WO2005041255A2 (en) * 2003-08-04 2005-05-06 Honeywell International, Inc. Coating composition optimization for via fill and photolithography applications and methods of preparation thereof
US7223517B2 (en) * 2003-08-05 2007-05-29 International Business Machines Corporation Lithographic antireflective hardmask compositions and uses thereof
US8053159B2 (en) * 2003-11-18 2011-11-08 Honeywell International Inc. Antireflective coatings for via fill and photolithography applications and methods of preparation thereof
US7153783B2 (en) * 2004-07-07 2006-12-26 Honeywell International Inc. Materials with enhanced properties for shallow trench isolation/premetal dielectric applications
US20080157065A1 (en) * 2004-08-03 2008-07-03 Ahila Krishnamoorthy Compositions, layers and films for optoelectronic devices, methods of production and uses thereof
US8901268B2 (en) * 2004-08-03 2014-12-02 Ahila Krishnamoorthy Compositions, layers and films for optoelectronic devices, methods of production and uses thereof
US20060051929A1 (en) * 2004-09-03 2006-03-09 Honeywell International Inc. Electrical properties of shallow trench isolation materials via high temperature annealing in the presence of reactive gases
DE602005024447D1 (de) * 2004-12-17 2010-12-09 Dow Corning Siloxanharzbeschichtung
JP4688882B2 (ja) 2004-12-17 2011-05-25 ダウ・コーニング・コーポレイション 反射防止膜の形成方法、レジスト画像の形成方法、パターンの形成方法及び電子デバイスの製造方法
US20060154485A1 (en) * 2005-01-12 2006-07-13 Bo Li Sacrificial layers comprising water-soluble compounds, uses and methods of production thereof
US7867779B2 (en) 2005-02-03 2011-01-11 Air Products And Chemicals, Inc. System and method comprising same for measurement and/or analysis of particles in gas stream
US20060183055A1 (en) * 2005-02-15 2006-08-17 O'neill Mark L Method for defining a feature on a substrate
EP1762895B1 (en) * 2005-08-29 2016-02-24 Rohm and Haas Electronic Materials, L.L.C. Antireflective Hard Mask Compositions
WO2007094848A2 (en) 2006-02-13 2007-08-23 Dow Corning Corporation Antireflective coating material
US20070212886A1 (en) * 2006-03-13 2007-09-13 Dong Seon Uh Organosilane polymers, hardmask compositions including the same and methods of producing semiconductor devices using organosilane hardmask compositions
WO2007144452A1 (en) * 2006-06-13 2007-12-21 Braggone Oy Hybrid inorganic-organic polymer compositions for anti-reflective coatings
JP2009540085A (ja) * 2006-06-13 2009-11-19 ブラゴーン オサケ ユキチュア 反射防止被膜用のカルボシラン重合体組成物
US7704670B2 (en) * 2006-06-22 2010-04-27 Az Electronic Materials Usa Corp. High silicon-content thin film thermosets
US8026040B2 (en) 2007-02-20 2011-09-27 Az Electronic Materials Usa Corp. Silicone coating composition
US8642246B2 (en) 2007-02-26 2014-02-04 Honeywell International Inc. Compositions, coatings and films for tri-layer patterning applications and methods of preparation thereof
US20100093969A1 (en) * 2007-02-26 2010-04-15 Ruzhi Zhang Process for making siloxane polymers
CN101622296B (zh) 2007-02-27 2013-10-16 Az电子材料美国公司 硅基抗反射涂料组合物
TWI439494B (zh) * 2007-02-27 2014-06-01 Braggone Oy 產生有機矽氧烷聚合物的方法
EP2240534B1 (en) 2008-01-08 2013-01-23 Dow Corning Toray Co., Ltd. Silsesquioxane resins
JP2011510133A (ja) * 2008-01-15 2011-03-31 ダウ・コーニング・コーポレイション シルセスキオキサン樹脂
WO2009111122A2 (en) * 2008-03-04 2009-09-11 Dow Corning Corporation Silsesquioxane resins
WO2009111121A2 (en) * 2008-03-05 2009-09-11 Dow Corning Corporation Silsesquioxane resins
JP5359014B2 (ja) * 2008-04-28 2013-12-04 三菱瓦斯化学株式会社 紫外線吸収能を有するポリカーボネート樹脂
CN102245674B (zh) 2008-12-10 2014-12-10 陶氏康宁公司 倍半硅氧烷树脂
WO2010068337A1 (en) * 2008-12-10 2010-06-17 Dow Corning Corporation Wet-etchable antireflective coatings
US8557877B2 (en) 2009-06-10 2013-10-15 Honeywell International Inc. Anti-reflective coatings for optically transparent substrates
CN101979352B (zh) * 2010-10-28 2012-11-28 常熟耀皮特种玻璃有限公司 可降低玻璃表面光反射的有机涂覆材料及玻璃
CN102485807A (zh) * 2010-12-01 2012-06-06 常熟卓辉光电科技有限公司 一种降低玻璃表面光反射的涂覆材料
US8864898B2 (en) 2011-05-31 2014-10-21 Honeywell International Inc. Coating formulations for optical elements
JP6196194B2 (ja) * 2014-08-19 2017-09-13 信越化学工業株式会社 紫外線吸収剤、レジスト下層膜形成用組成物、及びパターン形成方法
WO2016167892A1 (en) 2015-04-13 2016-10-20 Honeywell International Inc. Polysiloxane formulations and coatings for optoelectronic applications
CN109722033B (zh) * 2018-12-10 2021-08-06 沈阳化工大学 一种二蒽基二苯醚乙烯基硅橡胶制备方法

Family Cites Families (88)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3615272A (en) 1968-11-04 1971-10-26 Dow Corning Condensed soluble hydrogensilsesquioxane resin
US3884702A (en) 1972-12-14 1975-05-20 Unitika Ltd Photosensitive polyamide composition
US3873361A (en) 1973-11-29 1975-03-25 Ibm Method of depositing thin film utilizing a lift-off mask
US4053313A (en) 1975-06-03 1977-10-11 E. I. Du Pont De Nemours And Company Process for image reproduction using multilayer photosensitive solvent processable elements
JPS55165922A (en) * 1979-06-14 1980-12-24 Daicel Chem Ind Ltd Production of thermosetting organopolysiloxane
US4349609A (en) 1979-06-21 1982-09-14 Fujitsu Limited Electronic device having multilayer wiring structure
JPS5850417B2 (ja) 1979-07-31 1983-11-10 富士通株式会社 半導体装置の製造方法
US4257826A (en) 1979-10-11 1981-03-24 Texas Instruments Incorporated Photoresist masking in manufacture of semiconductor device
US4290896A (en) 1980-05-27 1981-09-22 Dow Corning Corporation Dewatering fine coal slurries using organopolysiloxanes
US4483107A (en) 1980-06-17 1984-11-20 Konishiroku Photo Industry Co., Ltd. Polishing method for electrophotographic photoconductive member
DE3173441D1 (en) 1980-08-26 1986-02-20 Japan Synthetic Rubber Co Ltd Ladder-like lower alkylpolysilsesquioxanes and process for their preparation
JPS5760330A (en) 1980-09-27 1982-04-12 Fujitsu Ltd Resin composition
US4515828A (en) 1981-01-02 1985-05-07 International Business Machines Corporation Planarization method
US4423135A (en) 1981-01-28 1983-12-27 E. I. Du Pont De Nemours & Co. Preparation of photosensitive block copolymer elements
US4413052A (en) 1981-02-04 1983-11-01 Ciba-Geigy Corporation Photopolymerization process employing compounds containing acryloyl group and anthryl group
US4419437A (en) 1981-02-11 1983-12-06 Eastman Kodak Company Image-forming compositions and elements containing ionic polyester dispersing agents
US4312970A (en) 1981-02-20 1982-01-26 Dow Corning Corporation Silazane polymers from {R'3 Si}2 NH and organochlorosilanes
EP0076656B1 (en) 1981-10-03 1988-06-01 Japan Synthetic Rubber Co., Ltd. Solvent-soluble organopolysilsesquioxanes, processes for producing the same, and compositions and semiconductor devices using the same
JPS58171416A (ja) 1982-04-02 1983-10-08 Hitachi Ltd 耐熱性重合体
US4910122A (en) 1982-09-30 1990-03-20 Brewer Science, Inc. Anti-reflective coating
US4434127A (en) 1982-12-09 1984-02-28 Dow Corning Corporation Heat curable polydiorganosiloxane compositions having enhanced release upon cure
JPS59109565A (ja) 1982-12-16 1984-06-25 Fujitsu Ltd コ−テイング樹脂溶液およびその製造方法
KR890003903B1 (ko) 1983-06-29 1989-10-10 가부시끼가이샤 히다찌세이사꾸쇼 패턴 형성 방법
US4617252A (en) 1983-07-01 1986-10-14 Philip A. Hunt Chemical Corporation Antireflective coatings for use in the manufacture of semi-conductor devices, methods and solutions for making such coatings, and the method for using such coatings to absorb light in ultraviolet photolithography processes
GB8333901D0 (en) 1983-12-20 1984-02-01 Minnesota Mining & Mfg Radiationsensitive compositions
GB8401016D0 (en) 1984-01-14 1984-02-15 Hagen Perennatorwerk Organopolysiloxane compositions
JPS60177029A (ja) 1984-02-21 1985-09-11 Toray Silicone Co Ltd オルガノポリシロキサン組成物の硬化方法
US4702990A (en) 1984-05-14 1987-10-27 Nippon Telegraph And Telephone Corporation Photosensitive resin composition and process for forming photo-resist pattern using the same
KR900002364B1 (ko) 1984-05-30 1990-04-12 후지쓰가부시끼가이샤 패턴 형성재의 제조방법
US4657965A (en) 1984-10-22 1987-04-14 Toshiba Silicone Co., Ltd. Silicone elastomer composition
US4670299A (en) 1984-11-01 1987-06-02 Fujitsu Limited Preparation of lower alkyl polysilsesquioxane and formation of insulating layer of silylated polymer on electronic circuit board
US4620986A (en) 1984-11-09 1986-11-04 Intel Corporation MOS rear end processing
US4587138A (en) 1984-11-09 1986-05-06 Intel Corporation MOS rear end processing
CA1245394A (en) 1985-02-15 1988-11-22 Dale R. Flackett Organopolysiloxane composition curable to an elastomer and use thereof
FR2579552B1 (fr) 1985-03-27 1990-06-08 Honda Motor Co Ltd Dispositif de direction des roues avant et arriere pour vehicule
US4745169A (en) 1985-05-10 1988-05-17 Hitachi, Ltd. Alkali-soluble siloxane polymer, silmethylene polymer, and polyorganosilsesquioxane polymer
EP0204963B1 (en) 1985-05-10 1993-01-13 Hitachi, Ltd. Use of Alkali-Soluble Polyorganosilsesquioxane Polymers in a resist for preparing electronics parts.
US4663414A (en) 1985-05-14 1987-05-05 Stauffer Chemical Company Phospho-boro-silanol interlayer dielectric films and preparation
US4816049A (en) * 1985-07-12 1989-03-28 Hoya Corporation Process of surface treating laser glass
US4786569A (en) 1985-09-04 1988-11-22 Ciba-Geigy Corporation Adhesively bonded photostructurable polyimide film
US4723978A (en) 1985-10-31 1988-02-09 International Business Machines Corporation Method for a plasma-treated polysiloxane coating
US4676867A (en) 1986-06-06 1987-06-30 Rockwell International Corporation Planarization process for double metal MOS using spin-on glass as a sacrificial layer
DE3760773D1 (en) 1986-07-25 1989-11-16 Oki Electric Ind Co Ltd Negative resist material, method for its manufacture and method for using it
US4806504A (en) 1986-09-11 1989-02-21 Fairchild Semiconductor Corporation Planarization method
US4898907A (en) 1986-12-03 1990-02-06 Dow Corning Corporation Compositions of platinum and rhodium catalyst in combination with hydrogen silsesquioxane resin
US4822697A (en) 1986-12-03 1989-04-18 Dow Corning Corporation Platinum and rhodium catalysis of low temperature formation multilayer ceramics
US4756977A (en) 1986-12-03 1988-07-12 Dow Corning Corporation Multilayer ceramics from hydrogen silsesquioxane
US4753855A (en) 1986-12-04 1988-06-28 Dow Corning Corporation Multilayer ceramic coatings from metal oxides for protection of electronic devices
US5008320A (en) 1986-12-04 1991-04-16 Dow Corning Corporation Platinum or rhodium catalyzed multilayer ceramic coatings from hydrogen silsesquioxane resin and metal oxides
US4911992A (en) 1986-12-04 1990-03-27 Dow Corning Corporation Platinum or rhodium catalyzed multilayer ceramic coatings from hydrogen silsesquioxane resin and metal oxides
US4808653A (en) 1986-12-04 1989-02-28 Dow Corning Corporation Coating composition containing hydrogen silsesquioxane resin and other metal oxide precursors
JPH0819381B2 (ja) 1987-01-06 1996-02-28 日本合成ゴム株式会社 コーティング用組成物
DE3810247A1 (de) 1987-03-26 1988-10-06 Toshiba Kawasaki Kk Lichtempfindliche beschichtungsmasse
US4855199A (en) 1987-04-03 1989-08-08 General Electric Company Photopatterned product of silicone polyamic acid on a transparent substrate
JPS63312643A (ja) 1987-06-16 1988-12-21 Mitsubishi Electric Corp 半導体装置の製造方法
US4849296A (en) 1987-12-28 1989-07-18 Dow Corning Corporation Multilayer ceramic coatings from metal oxides and hydrogen silsesquioxane resin ceramified in ammonia
US4847162A (en) 1987-12-28 1989-07-11 Dow Corning Corporation Multilayer ceramics coatings from the ceramification of hydrogen silsequioxane resin in the presence of ammonia
JPH01185367A (ja) 1988-01-18 1989-07-24 Toshiba Silicone Co Ltd 表面処理されたポリメチルシルセスキオキサン粉末の製造方法
US4921778A (en) 1988-07-29 1990-05-01 Shipley Company Inc. Photoresist pattern fabrication employing chemically amplified metalized material
US4981530A (en) 1988-11-28 1991-01-01 International Business Machines Corporation Planarizing ladder-type silsesquioxane polymer insulation layer
US4885262A (en) 1989-03-08 1989-12-05 Intel Corporation Chemical modification of spin-on glass for improved performance in IC fabrication
US5045592A (en) 1989-07-28 1991-09-03 Dow Corning Corporation Metastable silane hydrolyzates
US4999397A (en) 1989-07-28 1991-03-12 Dow Corning Corporation Metastable silane hydrolyzates and process for their preparation
CA2027031A1 (en) 1989-10-18 1991-04-19 Loren A. Haluska Hermetic substrate coatings in an inert gas atmosphere
US4973526A (en) 1990-02-15 1990-11-27 Dow Corning Corporation Method of forming ceramic coatings and resulting articles
US5043789A (en) 1990-03-15 1991-08-27 International Business Machines Corporation Planarizing silsesquioxane copolymer coating
JPH03272131A (ja) 1990-03-22 1991-12-03 Oki Electric Ind Co Ltd 半導体素子の製造方法
US5059448A (en) 1990-06-18 1991-10-22 Dow Corning Corporation Rapid thermal process for obtaining silica coatings
US5100503A (en) 1990-09-14 1992-03-31 Ncr Corporation Silica-based anti-reflective planarizing layer
US5527872A (en) 1990-09-14 1996-06-18 At&T Global Information Solutions Company Electronic device with a spin-on glass dielectric layer
US5472488A (en) 1990-09-14 1995-12-05 Hyundai Electronics America Coating solution for forming glassy layers
US5063267A (en) 1990-11-28 1991-11-05 Dow Corning Corporation Hydrogen silsesquioxane resin fractions and their use as coating materials
DE4132697A1 (de) * 1991-10-01 1993-04-08 Wacker Chemie Gmbh Verfahren zur herstellung von organopolysiloxanharz
US6165697A (en) 1991-11-15 2000-12-26 Shipley Company, L.L.C. Antihalation compositions
JPH0656560A (ja) 1992-08-10 1994-03-01 Sony Corp Sog組成物及びそれを用いた半導体装置の製造方法
JPH06333803A (ja) 1992-09-18 1994-12-02 Sharp Corp 投影型露光装置用フィルター
US5328975A (en) * 1993-04-02 1994-07-12 Ppg Industries, Inc. Ultraviolet radiation absorbing coating
DE4338360A1 (de) * 1993-11-10 1995-05-11 Inst Neue Mat Gemein Gmbh Verfahren zur Herstellung von funktionellen glasartigen Schichten
JP3272131B2 (ja) 1993-12-27 2002-04-08 マツダ株式会社 歯車変速機の噛合装置
JP3301215B2 (ja) 1994-05-31 2002-07-15 ソニー株式会社 ハーフトーン型位相シフトマスク、ハーフトーン型位相シフトマスクの作製に用いる半透明部形成材料、及びハーフトーン型位相シフトマスクの作製方法
US5729563A (en) 1994-07-07 1998-03-17 Hewlett-Packard Company Method and apparatus for optically and thermally isolating surface emitting laser diodes
TW404974B (en) * 1995-07-19 2000-09-11 Kansai Paint Co Ltd Solidifiable coating composite
US5693691A (en) 1995-08-21 1997-12-02 Brewer Science, Inc. Thermosetting anti-reflective coatings compositions
US5583195A (en) * 1995-09-29 1996-12-10 General Electric Company Photocurable epoxy silicones functionalized with fluorescent or photosensitizing marker dyes
JP3473887B2 (ja) 1997-07-16 2003-12-08 東京応化工業株式会社 反射防止膜形成用組成物及びそれを用いたレジストパターンの形成方法
US5962067A (en) * 1997-09-09 1999-10-05 Lucent Technologies Inc. Method for coating an article with a ladder siloxane polymer and coated article
US6268457B1 (en) * 1999-06-10 2001-07-31 Allied Signal, Inc. Spin-on glass anti-reflective coatings for photolithography
JP4248098B2 (ja) * 1999-09-20 2009-04-02 東京応化工業株式会社 反射防止膜形成用組成物及びレジストパターンの形成方法

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002284997A (ja) * 2000-10-10 2002-10-03 Shipley Co Llc 多孔性有機ポリシリカ誘電体形成用の組成物
KR20110118781A (ko) * 2009-02-10 2011-11-01 에이제트 일렉트로닉 머트리얼즈 유에스에이 코프. 폴리실라잔을 사용하는 리버스 톤 상의 형성을 위한 하드마스크 공정
KR101628423B1 (ko) 2009-02-10 2016-06-08 메르크 파텐트 게엠베하 폴리실라잔을 사용하는 리버스 톤 상의 형성을 위한 하드마스크 공정

Also Published As

Publication number Publication date
KR20030031120A (ko) 2003-04-18
AU2001280558A1 (en) 2002-01-30
US6368400B1 (en) 2002-04-09
WO2002006402A1 (en) 2002-01-24
TWI238174B (en) 2005-08-21
EP1301569A4 (en) 2007-08-29
US6605362B2 (en) 2003-08-12
KR100804870B1 (ko) 2008-02-20
CN1443218A (zh) 2003-09-17
EP1301569A1 (en) 2003-04-16
CA2413726C (en) 2005-11-29
CN1296435C (zh) 2007-01-24
TW200512257A (en) 2005-04-01
CA2413726A1 (en) 2002-01-24
US6914114B2 (en) 2005-07-05
US20030199659A1 (en) 2003-10-23
US20020068181A1 (en) 2002-06-06

Similar Documents

Publication Publication Date Title
US6605362B2 (en) Absorbing compounds for spin-on-glass anti-reflective coatings for photolithography
EP1190277B1 (en) Semiconductor having spin-on-glass anti-reflective coatings for photolithography
US6506497B1 (en) Spin-on-glass anti-reflective coatings for photolithography
US6824879B2 (en) Spin-on-glass anti-reflective coatings for photolithography
US8344088B2 (en) Spin-on anti-reflective coatings for photolithography
KR100917241B1 (ko) 포토리소그래피용 스핀-온 무반사 코팅
JP2009175747A (ja) フォトリソグラフィー用スピンオン反射防止膜

Legal Events

Date Code Title Description
RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20080522

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080623

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20080527

A761 Written withdrawal of application

Free format text: JAPANESE INTERMEDIATE CODE: A761

Effective date: 20100405