JP2004190033A - 低誘電率材料及びcvdによる処理方法 - Google Patents

低誘電率材料及びcvdによる処理方法 Download PDF

Info

Publication number
JP2004190033A
JP2004190033A JP2003415152A JP2003415152A JP2004190033A JP 2004190033 A JP2004190033 A JP 2004190033A JP 2003415152 A JP2003415152 A JP 2003415152A JP 2003415152 A JP2003415152 A JP 2003415152A JP 2004190033 A JP2004190033 A JP 2004190033A
Authority
JP
Japan
Prior art keywords
film
atomic
membrane
dielectric constant
less
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2003415152A
Other languages
English (en)
Other versions
JP2004190033A5 (ja
Inventor
Mark Leonard O'neill
マーク レオナルド オニール
Aaron Scott Lukas
アーロン スコット ルーカス
Mark Daniel Bitner
ダニエル ビトナー マーク
Jean Louise Vincent
ルイス ビンセント ジャン
Raymond Nicholas Vrtis
ニコラス ブルティス レイモンド
Brian Keith Peterson
ブライアン キース ピーターソン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Air Products and Chemicals Inc
Original Assignee
Air Products and Chemicals Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Air Products and Chemicals Inc filed Critical Air Products and Chemicals Inc
Publication of JP2004190033A publication Critical patent/JP2004190033A/ja
Publication of JP2004190033A5 publication Critical patent/JP2004190033A5/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C3/00Glass compositions
    • C03C3/04Glass compositions containing silica
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C14/00Glass compositions containing a non-glass component, e.g. compositions containing fibres, filaments, whiskers, platelets, or the like, dispersed in a glass matrix
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C14/00Glass compositions containing a non-glass component, e.g. compositions containing fibres, filaments, whiskers, platelets, or the like, dispersed in a glass matrix
    • C03C14/008Glass compositions containing a non-glass component, e.g. compositions containing fibres, filaments, whiskers, platelets, or the like, dispersed in a glass matrix the non-glass component being in molecular form
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C3/00Glass compositions
    • C03C3/04Glass compositions containing silica
    • C03C3/045Silicon oxycarbide, oxynitride or oxycarbonitride glasses
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02131Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being halogen doped silicon oxides, e.g. FSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3127Layers comprising fluoro (hydro)carbon compounds, e.g. polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31629Deposition of halogen doped silicon oxide, e.g. fluorine doped silicon oxide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • H01L21/0212Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC the material being fluoro carbon compounds, e.g.(CFx) n, (CHxFy) n or polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31652Of asbestos
    • Y10T428/31663As siloxane, silicone or silane

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Geochemistry & Mineralogy (AREA)
  • Ceramic Engineering (AREA)
  • Dispersion Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Plasma & Fusion (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Other Resins Obtained By Reactions Not Involving Carbon-To-Carbon Unsaturated Bonds (AREA)

Abstract

【課題】 有意な量のフルオロカーボン種を除いて、有機種と無機フッ素の両方を含有する有機フルオロケイ酸塩ガラスの膜を提供すること。
【解決手段】 好ましい膜は化学式Sivwxyzによって表され、式中、v+w+x+y+z=100%、vが10〜35原子%、wが10〜65原子%、yが10〜50原子%、xが1〜30原子%、及びzが0.1〜15原子%であり、実質的にフッ素が炭素に結合されていない。提供されるCVD法は、真空チャンバーの内部に基材を提供すること、フッ素提供ガスと、酸素提供ガスと、オルガノシラン及びオルガノシロキサンから選択された少なくとも1つの前駆体ガスとを含むガス状試薬を真空チャンバーに導入すること、並びに該チャンバー中のガス状試薬にエネルギーを加えて、ガス状試薬の反応を引き起こし、基材上に膜を形成させることを含む。
【選択図】 なし

Description

本出願は、2001年5月23日付け出願の、米国特許出願番号09/863,150号の一部継続出願であり、該特許出願の開示はその参照により全体として本明細書に含まれる。
エレクトロニクス産業は、集積回路及び関連する電子デバイスの回路及び部品間の絶縁層として誘電材料を利用している。線寸法は、マイクロエレクトロニクスデバイス(例えばコンピューターチップ)の速度及び記憶性能を向上させるために低減されている。マイクロチップの寸法は、以前には1ミクロンよりも大きかった線幅が0.18ミクロンにまで減少しているように、過去10年でさえ相当に減少しており、構想段階の将来計画は少なくとも0.07ミクロンくらいの低さである。時間遅れの式、T=12RCL2(式中、Tは遅延時間、Rは導電線の抵抗、Cは絶縁層のキャパシタンス、及びLはワイヤー長さである)は、寸法及び材料の変化が回路の信号伝達に関して有することのできる効果を規定するのにしばしば用いられる。キャパシタンスはC=k0k(S/d)と表すことができ、式中k0は真空誘電率又は(1.0に等しい)誘電率、kは薄膜の誘電率、Sは電極の表面積、及びdは膜厚である。したがって、kが減少するとそれに比例してCが減少し、その結果として遅延時間が減少する。さらには、線の寸法が減少するにつれて、より低い誘電率を有するより良好な絶縁材料はまた、性能に関して負の効果を有する場合のある、チップ部品間の信号のクロスオーバー(通称、クロストーク)を防ぐことも必要とされる。
従来、4.2〜4.5の誘電率(k)を有するシリカが層間絶縁体(ILD)として用いられてきた。しかしながら、0.25ミクロン以下の線寸法では、シリカはもはや許容できない場合があり、kが約3.6のフッ素化シリカガラス(FSG)など、他の材料によって広く代替されてきた。ドープされていないシリカのk値を下げることを特に目的として、シリカにフッ素を付加させることが過去数年の間研究されてきた(例えば、米国特許第5,571,576号明細書、同第5,661,093号明細書、同第5,700,736号明細書、同第5,703,404号明細書、同第5,827,785号明細書及び同第5,872,065号明細書を参照)。フッ素の高い電気陰性度によって非常に非分極性の種になり、誘電率を低下させる。フッ素化シリカは産業において受け入れられており、現代のICに用いられている。
フッ素化シリカ材料は、(500℃までの)非常に高い温度に耐えるために必須の熱的及び機械的安定性を有するが、材料の性質(例えば、低い水収着、機械的性質)は、多量のフッ素が材料中に組み込まれると傷つけられやすい。ポリ(テトラフルオロエチレン)などのフッ素化有機材料は、2.0以下まで低下した非常に低いk値を有するにも関わらず、集積回路の製造に含まれる二次的な処理工程の間に受ける温度に対し、十分な安定性を示さなかった。有機ポリマーは、一般には現在の条件下での処理に十分な機械的強度を有さない。同様に、フルオロカーボンポリマーは、いくつかの場合において付着性に劣る、高温で材料と潜在的に反応する、高温で硬さに劣るなどの他の欠点を有することがある。所望の性質の特性値及び低誘電率値を達成するために、有機ドーパントと無機フッ素種の両方を組み込んだシリカに基づいた絶縁膜が、FSGよりも低いk値、並びにオルガノシリカガラス(OSG)材料よりも良好な熱的及び機械的性質を有する膜を備えることができ、一方で、ICの製造における層間/金属間材料として機能するために必須の性質を維持する。
さらに最近では、OSGがFSGに代わるものとして求められている。OSG材料は、CVD技術によって作製されるえり抜きの将来の層間/金属間絶縁体として、盛んに宣伝されている。数多くの特許が、約2.7〜3.2のk値を有する薄膜の作製のために、さまざまなオルガノシランの使用を含めて発行されている(例えば、米国特許第5,989,998号明細書、同第6,054,379号明細書、同第6,072,227号明細書、同第6,147,009号明細書及び同第6,159,871号明細書、並びにWO99/41423を参照)。OSGの薄膜絶縁材料は、FSGに比べて本質的により低いk(<3.2)のために、将来のIC用にいくつかの主要なOEMによって商品化され、及び/又は広告されている。しかしながら、kの低減は、低下した機械的性質、熱的安定性及び耐薬品性を含む、有機種が典型的に有する不利益な効果とバランスされなければならない。OSGについて好ましい材料の性質は2.8〜3.2の範囲に誘電率を制限し、モジュラス/硬さの値が9〜11/1.2〜1.4GPaの範囲にあるということが研究によって示された(Leeらの第198のMeeting of The Electrochemical Society,Oct.2000,Section H−1,Abstract No.531、及びGoldenらのMICRO,31頁,2001年2月を参照)。
いくつかの最近の文献及び特許は、炭素をドープしたFSG材料の使用を提案した。これらの例のほとんどは、ケイ素前駆体源と組み合せた前駆体としてフルオロカーボン材料を専ら利用し、シリカ又はFSGの骨格にフルオロカーボンの部分を組み入れる。例えば、Shirafujiらはヘキサメチルジシロキサンをオクタフルオロブテン(Plasmas and Polymers,4(1)(57−75)1999年3月)、又はテトラフルオロエチレン(38 Jpn.J.Appl.Phys.4520−26(1999))とプラズマ共重合させて、kを2.0から3.3まで増加させ、フルオロカーボンの含有量を減少させたフルオロカーボン/SiO複合膜を作製した。Yunら(341(1,2)Thin Solid Films 109−11(1999))は、トリエトキシフルオロシランとO2を用いて、ヘリコンプラズマ反応器において作製したSiOF膜にフルオロカーボンを添加した効果について論じている。
シリカにおけるフルオロカーボン部分の特定の包含についての別の例は、Kimらの研究(1998 IEEE International Conference On Conduction and Breakdownin in Solid Dielectrics 229−32(1998))であり、材料のk値をシリカのk値より実質的に低減するためのフルオロカーボンの付加能力について説明している。Kimらの研究は、ケイ素、酸素、炭素、フッ素及びNを含有する膜を作製するために、2%シラン/N2プラズマ中でCF4を用いることによって、フルオロカーボンの部分を組み込むことを特に目的としているようであり、彼らはSi−C、Si−N、Si−O及びC−Fの官能性を同定することができた。彼らはまたそれらの組成物に深さ方向のプロファイルがあり、それにより表面はバルクよりも酸素が多いことを見出した。
Maedaらの米国特許第5,800,877号明細書は、フッ素含有シリコン酸化膜を作製するための熱プロセスにおいて、オゾン又は酸素を用いたSi−F結合を有するオルガノシラン前駆体とSi−F結合を有さないオルガノシラン前駆体との混合物の使用を記載している。この特許の請求項は、酸素及び/又は窒素プラズマの後処理を用いた熱プロセスを通しての、フッ素含有シリコン酸化物の製造を包含している。該特許は、膜中にアルキル基又は炭素を組み込むことについて記載していない。
Hasegawaらによる論文(37 Jpn.J.Appl.Phys.4904−09(1998))においては、フッ素化シリカの向上した耐水性は、プラズマCVD系でのシラン、酸素、CF4及びアンモニアの混合物を用いた堆積の誘因であった。堆積膜は、XPSスペクトルによって明らかにされた通り、相当な量のSi−N及びC−F結合を含有していることが見出された。Si−Nの組み込みによって耐水性を向上させることは、k値に負の方向に影響を与える。
前述と同じグループによる同様の研究において、Lubgubanら(337 Thin Solid Films 67−70(1999),606 Materials Research Society Symposium Proceedings 57(2000),及び87(8) Journal of Applied Physics 3715−22(2000))は、耐水性を向上させるためにPE−CVDによってフルオロケイ酸塩ガラスに炭素を組み込むことを論じている。材料はシラン又はTEOS、酸素、メタン及びパーフルオロメタンから合成され、組成、熱的安定性及び電気的性質について研究された。Lubgubanらは、SiO2の網目組織に炭素とフッ素の両方を組み込むことによって、誘電率が低下することを示した。反応の間に堆積チャンバーに導入されるメタンの量が増加すると、最終材料中の炭素及びフッ素が増加し、C−F官能性による有意な寄与によって生じたと記載されている。彼らの論文に記載されているように、C−F及びC−H種の存在は水収着に対する抵抗を助長し、誘電率を低下させるのに役立つ。
富士通による日本特許(特開平10−150036号公報)においては、スピンコート法により堆積された有機ケイ素材料は、プラズマ反応器においてF2又はNF3を用いて堆積後の処理を行い、膜にフルオロカーボン種を形成させることによって耐熱性を向上させ、水収着を低下させ、膜材料の信頼性を向上させた。他の富士通の特許(特開平8−321499号公報及び特開平11−111712号公報)もまた、フルオロカーボン基を含有するケイ素に基づいた前駆体を用いて、プラズマCVDにより組み込まれたフルオロカーボン種を有するシリカ膜の形成を論じている。
Uchidaらは、改善された耐湿性のためのフッ素化有機シリカ膜を開示している。例えば、98(3) Electrochem.Soc.163−8(1998)、37 Jpn.J.Appl.Phys.6369−73(1998)、38 Jpn.J.Appl.Phys.2368−72(1999)及び特開平H11−111714号公報を参照されたい。これらの論文において、著者は、FSG及びOSGの性質は、両方の官能性を有する材料がそれらの強度を利用できるよう、補足的であることができると示している。しかし、支持するデータはほとんど与えられていない。著者は、H及びOHのないシリカ膜を作製するために、tert−メチルアミン(TMA)、テトライソシアネート−シラン(TICS)、ジメチルジイソシアネート−シラン(DMSIC)及び好ましくはジメチルエチルアミン(DMA)の混合物から熱プロセスにおいて有機ケイ素材料を堆積するプロセスを説明することによって、この主張した利点を示そうと試みている。この堆積膜はイソシアネート種をフッ素と置き換えるために、熱プロセスにおいてHFガスを用いて後処理され、より低い誘電率とより良好な耐湿性を有する膜が作製された。しかしながら、作製された膜はC−Si及びC−F官能性を含んでいた。さらには、化学的な後処理など、拡散に基づいたプロセスにおいて典型的であるように、膜の深さを通して引き起こされる組成勾配が結果として生じた。このように、膜を通して化学的改質の量及び均一性を調節することは本質的に困難である。
Usamiによる米国特許第6,077,574号明細書は、特定量のフッ素及び炭素をドープした、プラズマCVDによるシリコン酸化絶縁膜の形成方法を開示しており、該膜は供給ガス混合物から堆積され、フッ素濃度と炭素濃度を互いに独立して調節することができる。これらの膜における([炭素]/[フッ素])の原子比は、4.0×1021個のフッ素原子/cc〜1.0×1022個のフッ素原子/cc、及び3.0×1019個の炭素原子/cc〜1.0×1021個の炭素原子/ccの開示された濃度範囲に基づいて、0.25以下である。膜内部に形成された官能性に関してのデータは全くない。シリカ密度が6.6×1022個の原子/ccに相当する約2.2g/ccであると仮定すると、フッ素及び炭素の濃度は、約6〜15原子%フッ素及び約0.05〜1.5原子%炭素と概算できる。
Matsukiによる米国特許第6,410,463号明細書は、反応器中の反応ガスの滞留時間を少なくとも100ミリ秒まで増大させた、低誘電率の膜を形成する方法を開示している。Matsukiは、膜の作製において酸素提供ガス(例えばO2)を用いることを開示していない。
上述の開発にも関わらず、集積回路に低kの絶縁材料を集積化させるのに最も優れた所望の機械的、絶縁、熱的及び酸化安定性の性質をうまく組み合せた先行技術の例は全くなかった。
本明細書に引用したすべての参考文献は、その参照により全体として本明細書に含まれる。
本発明は、有意な量のフルオロカーボン種を除いて、有機種と無機フッ素の両方を含有する炭素をドープしたフルオロケイ酸塩ガラス(即ち、CFSG又はOFSG−有機フルオロケイ酸塩ガラス)の膜を提供する。
本発明の1つの実施態様においては、化学式Sivwxyzによって表され、式中、v+w+x+y+z=100%、vが10〜35原子%、wが10〜65原子%、yが10〜50原子%、xが2〜30原子%、及びzが0.1〜15原子%であり、実質的にフッ素が炭素に結合されていない膜が提供される。
本発明の更なる実施態様においては、化学式Sivwxyzによって表され、式中、v+w+x+y+z=100%、vが10〜35原子%、wが10〜65原子%、yが10〜50原子%、xが1〜30原子%、及びzが0.1〜15原子%であり、x/z>0.25という条件で、実質的にフッ素が炭素に結合されていない膜が提供される。
本発明のなお更なる実施態様においては、化学式Sivwxyzによって表され、式中、v+w+x+y+z=100%、vが10〜35原子%、wが10〜65原子%、yが10〜50原子%、xが1〜30原子%、及びzが0.1〜15原子%であり、0.5原子%以下のフッ素が炭素に結合されており、環境条件による膜特性の変化に抵抗できる膜が提供される。
本発明のさらに別の実施態様においては、化学式Sivwxyzによって表され、式中、v+w+x+y+z=100%、vが10〜35原子%、wが10〜65原子%、yが10〜50原子%、xが1〜30原子%、及びzが0.1〜15原子%であり、x/z>0.25という条件で、0.5原子%以下のフッ素が炭素に結合されており、マイクロエレクトロニクス処理工程による膜特性の変化に抵抗できる膜が提供される。
本発明の好ましい実施態様は、OSG材料と比べて低誘電率及び改善された機械的性質、熱的安定性、並びに(酸素、水性環境等に対する)耐薬品性を有する薄膜材料を提供する。これは、(炭化ケイ素であることができるが、好ましくは主として有機体炭素、−CHx(xは1〜3)の形態である)炭素と、無機フッ素(例えばSi−F結合)とを有意な量の有機フッ素(例えばC−F結合)を生成せずに膜中に組み込んだ結果である。したがって、最終的な薄膜材料は、好ましくはSi−O、Si−F、C−H及びSi−O結合構造を含んで成り、実質的に、より好ましくは完全にC−F結合が含まれておらず、好ましくはほとんどの水素が炭素に結合されている。定量的な観点においては、本発明の好ましい膜は0.5原子%以下、好ましくは0.1原子%以下、より好ましくは0.01原子%以下の、炭素に結合された膜中に存在するフッ素を含有している。例えばSi−H、C−O及びO−Hなど、より低い割合の他の官能性もまた本発明の特定の膜に存在できる。
したがって、本発明の好ましい実施態様は(a)約10〜約35原子%、より好ましくは約20〜約30%のケイ素、(b)約10〜約65原子%、より好ましくは約20〜約40原子%の酸素、(c)約10〜約50原子%、より好ましくは約20〜約40原子%の水素、(d)約1〜約30原子%、より好ましくは約5〜約25原子%の炭素、及び(e)約0.1〜約15原子%、より好ましくは約0.5〜約7.0原子%のフッ素を含んで成る。より低い割合の他の元素もまた本発明の特定の膜に存在できる。
FSG及びOSG材料の両方は、それらの誘電率が産業において慣例的に用いられている標準的な材料、即ちシリカガラスの誘電率よりも低いので低k材料であると考えられる。膜への無機フッ素及び有機体炭素のドーピングの両方を組み合せることによって、最終材料のkに結合効果をもたらす。これはさまざまな方法で示すことができる。例えば、該膜はOSG材料と同等の機械的性質であることができるがより低いkを有し、又は同等のkであることができるが優れた機械的性質を有する。
シリカ膜にメチル基を組み込むことで膜にナノ多孔性を与えることができ、膜のkを低下させるのに役立つが、膜の機械的性質も低下させる場合がある。本発明の膜は、好ましくは約1〜約30原子%の炭素又は約2〜約30原子%の炭素を含有し、ほとんどの水素が炭素に結合されている。好ましくは、C−H官能性の一部はメチル基にある。一般に、多孔性の導入は材料の誘電率を低下させるのに効果的な方法である。多孔性の付加は膜の機械的性質及び熱伝達特性(例えば、示量的性質)に影響を及ぼすが、膜固有の化学的又は熱的安定性を変化させない。
膜のある実施態様はシリカに比べてナノ多孔質である。PE−CVD TEOSにより生成されたシリカは、相当球径で約6nmと陽電子消滅寿命分光法(PALS)分析によって測定された固有の自由体積細孔サイズを有する。小角中性子散乱(SANS)又はPALSによって測定される本発明の膜の細孔サイズは、好ましくは相当球径5nm未満、より好ましくは相当球径2.5nm未満である。
本発明の膜は好ましくは2.0g/cc未満、あるいは1.5g/cc未満の密度を有する。このような低密度は、ガス状試薬にポロゲン(porogen)を添加すること及び/又は堆積材料を後処理することによって達成できる。
本発明の膜は、標準OSG材料又は膜に比べて改善された性質を有する。本明細書で用いられる“標準OSG材料”又は“標準OSG膜”という語は、OFSG材料と実質的に同様の誘電率及び/又は化学量論的組成を有するが、標準OSG材料中においてはフッ素がない。所与のOFSG材料は標準OSG材料よりも優れた機械的性質を有する。例えば、本発明のOFSG材料の好ましい実施態様は3.5未満、より好ましくは3.0未満の誘電率を有する。ある実施態様においては、本発明のOFSG膜は2.8〜3.2の範囲の誘電率を有し、10GPaよりも大きな弾性係数及び/又は1.5GPaよりも大きなナノ押込硬さを有する。それに比べて、2.8〜3.2に及ぶ誘電率を有する標準OSG膜は、10GPa未満の弾性係数と1.5GPa未満のナノ押込硬さを有することができる(表2の比較例1、2、4及び5を参照)。
本発明の膜は、環境条件による膜特性の変化に抵抗するよう適合されている。本発明の膜は熱的に安定であり、良好な耐薬品性を有する。特には、該膜はN2下425℃等温で1.0wt%/h未満の平均減量、及び/又は空気下425℃等温で1.0wt%/h未満の平均減量を有する。
マイクロエレクトロニクス処理工程は、膜に問題をもたらす環境条件の典型的な例である。このような処理工程(例えば、熱アニーリング、誘電エッチング及びエッチ後の灰化)は、しばしば化学及び/又は熱酸化的であることができる。本発明の膜は従来のOSG膜よりも難しい環境条件に対してより抵抗性がある。例えば、本発明の膜は、典型的なマイクロエレクトロニクス処理工程の間中その誘電率を実質的に維持する。好ましくは、誘電率は10%及び/又は0.1より小さい分だけ増加する。誘電率は、好ましくは環境条件が標準OSG膜の標準誘電率を増加させる量よりも小さい分だけ増加する。ある実施態様においては、誘電率は環境条件が標準OSG膜の誘電率を増加させる量に関して50%以下、好ましくは20%以下、又はより好ましくは10%以下だけ増加する。
フッ素分布、又は膜内部のフッ素が1つ又は複数の隣接層に移動する傾向は、好ましい実施態様において周囲の攻撃に対し抵抗性にする別の膜特性である。
炭素濃度は、好ましい実施態様において周囲の攻撃に対し抵抗性にするさらに別の膜特性である。炭素濃度は、好ましくは環境条件が標準OSG膜の標準炭素濃度を減少させる量よりも小さい分だけ減少する。例えば、炭素濃度は環境条件が標準炭素濃度を減少させる量の50%以下、好ましくは20%以下、又はより好ましくは10%以下だけ減少することができる。
膜はさまざまな用途に好適である。膜は半導体基材上の堆積に特に好適であり、例えば、集積回路における絶縁層、層間絶縁層、金属間絶縁層、キャッピング層、化学機械平坦化(CMP)若しくはエッチストップ層、(例えば、金属、水又は絶縁層において望ましくない場合がある他の材料の拡散に対する)バリヤー層及び/又は接着層としての用途に特に好適である。膜は相似被膜を形成することができる。これらの膜により示される機械的性質によって、これらの膜はAlサブトラクティブ(subtractive)技術及びCuダマシン(damascene)技術の用途に特に好適となる。
膜は化学機械平坦化及び異方性エッチングに適合しており、シリコン、SiO2、Si34、OSG、FSG、炭化ケイ素、反射防止コーティング、フォトレジスト、有機ポリマー、多孔質有機及び無機材料、銅及びアルミニウムなどの金属、並びに金属バリヤー層のようなさまざまな材料に付着することができる。
本発明は膜の提供に特に好適であり、本発明の生成物は主に膜として本明細書に記載されているが、本発明はそれに限定されない。本発明の生成物は、コーティング、多層アッセンブリ、必ずしも平ら又は薄くない他の種類の対象物、及び集積回路で必ずしも用いられない多数の対象物など、CVDにより堆積可能な任意の形態において提供することができる。
本発明の生成物に加えて、本発明は該生成物を作製する方法、及び該生成物を使用する方法を含む。
ある実施態様において、本発明は化学気相堆積によってOSGを堆積させるための改善された方法を含んで成り、無機フッ素源がOSG材料の少なくとも一部の堆積の間に無機フッ素を共に堆積させ、有機フッ素の実質的にない膜を作製する。したがって、本発明は、米国特許第6,054,379号明細書、同第6,147,009号明細書及び同第6,159,871号明細書、並びにWO99/41423において開示されかつ請求されているものを含めて、従来の、現代の及び将来の方法を改善するのに用いることができる。改善された方法により生成された生成物は、従来通り生成された生成物に比べて改善された特性を享受する。好ましくは、膜の少なくとも1つの機械的性質が少なくとも10%向上し、膜の熱的安定性が向上し、膜の耐薬品性が向上し、及び/又は膜の環境安定性が向上する。
低誘電率を有する膜を得るための方法は、以下の工程、即ち(a)真空チャンバーの内部に基材を提供すること、(b)フッ素提供ガスと、酸素提供ガスと、オルガノシラン及びオルガノシロキサンから成る群より選択された少なくとも1つの前駆体ガスとを含むガス状試薬を真空チャンバーに導入すること、並びに(c)前記チャンバー中のガス状試薬にエネルギーを加えて、ガス状試薬の反応を引き起こし、基材上に膜を形成させることを含んで成る。
好ましくは基材は半導体である。
オルガノシラン及びオルガノシロキサンは好ましい前駆体ガスである。好適なオルガノシラン及びオルガノシロキサンは例えば以下、即ち(a)化学式R1 nSiR2 4-nで表されるアルキルシランであって、式中nが1〜3の整数、R1及びR2が独立して少なくとも1つの分枝鎖若しくは直鎖のC1〜C8アルキル基(例えばメチル、エチル)、C3〜C8の置換若しくは非置換シクロアルキル基(例えばシクロブチル、シクロヘキシル)、C3〜C10の部分不飽和アルキル基(例えばプロペニル、ブタジエニル)、C6〜C12の置換若しくは非置換芳香族(例えばフェニル、トリル)、アルコキシ基(例えばメトキシ、エトキシ、フェノキシ)を含有する対応する線状、分枝、環状、部分不飽和のアルキル若しくは芳香族であり、あるいはまたR2が水素化物(例えばメチルシラン、ジメチルシラン、トリメチルシラン、テトラメチルシラン、フェニルシラン、メチルフェニルシラン、シクロヘキシルシラン、tert−ブチルシラン、エチルシラン、ジエチルシラン、テトラエトキシシラン、ジメチルジエトキシシラン、ジメチルジメトキシシラン、ジメチルエトキシシラン、メチルジエトキシシラン、トリエトキシシラン、トリメチルフェノキシシラン及びフェノキシシラン)であるアルキルシランと、(b)化学式R1(R2 2SiO)nSiR2 3で表される線状オルガノシロキサンであって、式中nが1〜10の整数、又は化学式(R12SiO)nで表される環状オルガノシロキサンであって、式中nが2〜10の整数、並びにR1及びR2が上で規定された通り(例えば1,3,5,7−テトラメチルシクロテトラシロキサン、オクタメチルシクロテトラシロキサン、ヘキサメチルシクロトリシロキサン、ヘキサメチルジシロキサン、1,1,2,2−テトラメチルジシロキサン及びオクタメチルトリシロキサン)である、線状オルガノシロキサン又は環状オルガノシロキサンと、(c)化学式R2(SiR12n2で表される線状オルガノシランオリゴマーであって、式中nが2〜10の整数、又は化学式(SiR12nで表される環状オルガノシランであって、式中nが3〜10の整数、並びにR1及びR2が上で規定された通り(例えば1,2−ジメチルジシラン、1,1,2,2−テトラメチルジシラン、1,2−ジメチル−1,1,2,2−ジメトキシジシラン、ヘキサメチルジシラン、オクタメチルトリシラン、1,2,3,4,5,6−ヘキサフェニルヘキサシラン、1,2−ジメチル−1,2−ジフェニルジシラン及び1,2−ジフェニルジシラン)である、線状オルガノシランオリゴマー又は環状オルガノシランとを含む。
ある実施態様においては、オルガノシラン/オルガノシロキサンは環状アルキルシラン、環状アルコキシシランであるか、又は1,2−ジシラノエタン、1,3−ジシラノプロパン、ジメチルシラシクロブタン、1,2−ビス(トリメチルシロキシ)シクロブテン、1,1−ジメチル−1−シラ−2,6−ジオキサシクロヘキサン、1,1−ジメチル−1−シラ−2−オキサシクロヘキサン、1,2−ビス(トリメチルシロキシ)エタン、1,4−ビス(ジメチルシリル)ベンゼン若しくは1,3−(ジメチルシリル)シクロブタンなど、1対のケイ素原子の間に少なくとも1つのアルコキシ若しくはアルキル架橋を含有する。
ある実施態様においては、オルガノシラン/オルガノシロキサンは、エポキシド、カルボン酸塩、アルキン、ジエン、フェニルエチニル、歪んだ環状基、並びにトリメチルシリルアセチレン、1−(トリメチルシリル)−1,3−ブタジエン、トリメチルシリルシクロペンタジエン、トリメチルシリルアセテート及びジ−tert−ブトキシジアセトキシシランなどのオルガノシラン/オルガノシロキサンを立体的に妨害若しくは歪ませることのできるC4〜C10の基から成る群より選択された反応性側鎖基を含有する。
好ましいフッ素提供ガスは任意のF−C結合(即ち、炭素に結合されたフッ素)が乏しく、それによって最終的に膜になることができる。したがって好ましいフッ素提供ガスは、オルガノフルオロシランがF−C結合を全く含まないという条件で、例えばSiF4、NF3、F2、HF、SF6、ClF3、BF3、BrF3、SF4、NF2Cl、FSiH3、F2SiH2、F3SiH、オルガノフルオロシラン及びそれらの混合物を含む。さらに好ましいフッ素提供ガスは、前述のアルキルシラン、アルコキシシラン、線状及び環状オルガノシロキサン、線状及び環状オルガノシランオリゴマー、環状又は架橋オルガノシラン、並びに反応性側鎖基を有するオルガノシランを含み、少なくとも1つのSi−F結合があるように、ケイ素置換基の少なくとも1つを供給されたフッ素原子と置換する。より具体的には、好適なフッ素提供ガスは、例えばフルオロトリメチルシラン、ジフルオロジメチルシラン、メチルトリフルオロシラン、フルオロトリエトキシシラン、1,2−ジフルオロ−1,1,2,2−テトラメチルジシラン又はジフルオロジメトキシシランを含む。
好適な酸素提供ガスは、例えばO2、N2O、オゾン、過酸化水素、NO、NO2、N24又はそれらの混合物を含む。
単一種の分子が前駆体ガス、酸素提供ガス及びフッ素提供ガスのうち、2つ以上としての役割を果たすことは本発明の範囲内である。即ち、前駆体ガス、酸素提供ガス及びフッ素提供ガスは、必ずしも3つの異なるガスではない。例えば、ケイ素、炭素、酸素及びフッ素を提供するために、ジメトキシメチルフルオロシランを用いることが可能である。さらには、前駆体及び酸素提供ガスとして作用する単一ガス(例えばテトラエトキシシラン、トリメチルシリルアセテート又はジメトキシジメチルシランであり、これらのそれぞれが炭素、酸素及びケイ素を提供する)を用いること、前駆体及びフッ素提供ガスとして作用する単一ガス(例えばトリメチルフルオロシランであり、炭素、フッ素及びケイ素を提供する)を用いることなどが可能である。何れの場合においても、C−F官能性を含有する任意の試薬の使用を避けることが好ましい。
“ガス状試薬”という語は時に試薬を説明するのに本明細書で用いられるが、その語は、反応器にガスとして直接送られ、蒸気化された液体、昇華された固体として送られ、及び/又は不活性キャリヤーガスにより反応器に運ばれる試薬を包含しようとするものである。
ある実施態様においては、異なるオルガノシラン及び/又はオルガノシロキサンの混合物が組み合せて用いられる。異なるオルガノシラン及び/又はオルガノシロキサンの組み合せとともに又はそれとは別に、多数の異なるフッ素提供ガスの組み合せ、及び/又は多数の異なる酸素提供物質の組み合せを用いるもともまた本発明の範囲内である。さらには、(炭素を提供する)フッ素化されていないオルガノシランとともに、(フッ素及び/又は炭素を提供する)フッ素化されたオルガノシランを用いることもまた本発明の範囲内である。
フッ素提供ガス、酸素提供ガス及びオルガノシラン/オルガノシロキサンに加え、付加的な材料を堆積反応の前、その間及び又はその後に真空チャンバーに装填できる。このような材料は、例えば不活性ガス(例えばHe、Ar、N2、Kr、Xe等であり、それらはより揮発性の低い前駆体用のキャリヤーガスとして必要とされる場合があり、及び/又は不対称の堆積材料のアニーリングを促進でき、及びより安定な最終膜を提供できる)並びにガス状若しくは液体の有機物質、NH3、H2、CO2又はCOなどの反応性物質を含む。例えばCH4、C26、C24、C22、C38、ベンゼン、ナフタレン、トルエン及びスチレンなどの有機物質は、本発明の膜中に含有させるための炭素を提供できる。
ガスを反応させ、基材上に膜を形成するためにエネルギーをガス状試薬に加える。このようなエネルギーは、例えば熱、プラズマ、パルス型プラズマ、ヘリコンプラズマ、高密度プラズマ、誘導結合プラズマ及びリモートプラズマ法によって提供できる。第二高周波源は、基材表面でプラズマの特性を修飾するのに用いることができる。好ましくは、膜はプラズマ化学気相堆積によって形成される。13.56MHzの周波数で容量結合プラズマを発生させることが特に好ましい。プラズマ電力は基材の表面積に基づいて、好ましくは0.02〜7W/cm2、より好ましくは0.3〜3W/cm2である。
各ガス状試薬の流量は、単一の200mmウエハー当たり好ましくは10〜5000sccm、より好ましくは200〜2000sccmに及ぶ。個々の流量は、膜においてフッ素、炭素等の所望の量及び比率を提供するよう選択される。必要とされる実流量は、ウエハーのサイズ及びチャンバーの形状に依存する場合があり、決して200mmのウエハー又は単一ウエハーのチャンバーに限定されない。
少なくとも50nm/minの堆積速度で膜を堆積させることが好ましい。
堆積する間の真空チャンバーの圧力は、好ましくは0.01〜600torr、より好ましくは1〜10torrである。
200mmシリコンウエハー基材について、オルガノシラン前駆体としてトリメチルシランを使用することに基づく本発明の好ましい処方が下表1に示される。
Figure 2004190033
好ましくは本発明の方法は、高い処理量を有する単一のプロセス工程において達成される。任意の理論によって拘束されることを望まず、Uchidaらによって説明されたような多段階の後処理フッ素化プロセスとは違って、本発明の方法は膜の断面全体の至る所で無機フッ素のより均一な分布を有する膜を提供すると考えられる。加えて、本発明の膜に存在する僅かの有機フッ素(“僅か”とは、ここでは5%未満の全フッ素含有量、より好ましくは1%未満の全フッ素含有量とこの目的のために規定される)はまた、膜の断面全体の至る所により均一に分布しており、中央に集中していない。
単一のプロセス工程は多くの場合において好ましいが、堆積後に膜を後処理することもまた本発明の範囲内である。このような後処理は、例えば熱処理、プラズマ処理及び化学処理のうちの少なくとも1つを含むことができる。
厚さは必要に応じて変えることができるが、膜は好ましくは0.002〜10ミクロンの厚さに堆積される。模様のない表面上に堆積されたブランケット膜は優れた均一性を有し、適当な周辺除外(例えば基材の最も外周部の10mmは均一性の統計計算には含まない)で以って、基材の至る所で1標準偏差を超える2%未満の厚さ変動を有する。
膜の多孔性は向上させることができ、かさ密度がそれに対応して減少し、材料の誘電率をさらに低下させ、この材料の適用性を将来の世代まで拡大させる(例えば、k<2.5)。
本発明は以下の例を参照してより詳細に説明されるが、本発明がそれらに限定されるとは考えられないと解されるべきである。
すべての実験は、ドープされていないTEOSプロセスキットを用いて、Advance Energy 2000高周波発生器を備えた200mmのDxZチャンバーにおいて、Applied Materials Precision−5000システムで実施した。その処方は以下の基本的な工程、即ち、ガス流の初期設定及び安定化、堆積、並びにウエハーを除去する前のチャンバーのパージ/排気を伴う。続いて、チャンバーの洗浄をその場のC26+O2を用いて各堆積後に実施し、その後チャンバーの乾燥工程を実施した。
誘電率は低抵抗率のp型ウエハー(<0.02Ω・cm)上でHgプローブ技術を用いて測定し、機械的性質はMTS Nano Indenterを用いて測定して、熱的安定性及びオフガス生成物は、MIDAC赤外分光計(TGA−IR)に結合されたThermo TA Instruments 2050 TGAの熱質量分析によって測定した。13C−NMRのデータはBruker ASX−200で得て、組成データはPhysical Electronics 5000LSのX線光電子分光(XPS)によって得た。
比較例1−6は、米国特許第6,159,871号明細書及び同第6,054,379号明細書並びにWO99/41123の説明に従って作製し、下表2に一覧表にする。
Figure 2004190033
本発明に従って作製したいくつかの異なる膜の物理的検討を下表3に一覧表にする(注:表3について水素の原子%はXPSによって測定されていない)。
Figure 2004190033
厚さ及び屈折率は、SCI Filmtek 2000 Reflectometerで5点平均によって測定した。付着性はテープ引張試験によって測定した。ヤング率及びナノ押込硬さはMTS Nanoindenterによって測定した。XPSによる元素分析は30秒のArスパッタの後に測定した。表に報告された原子%の値は水素を含んでいない。
図1の(a)及び(b)はN2下5時間、その後空気下における425℃での等温TGAを示す。これらの図は、本発明の膜(例16)の熱的安定性が不活性(N2雰囲気)下において、OSGタイプの材料よりもわずかに優れていることを示す。しかしながら、周囲雰囲気を空気に替えると、劇的かつ急速な減量がOSG材料について認められるが、本発明の膜材料は優れた安定性を示している。
図2の(a)及び(b)は425℃等温の後、1000℃までの窒素又は空気中のTGA走査を示す。これらの図は、空気中1000℃までの走査に関して、OSG(図2(b)に示される)に対する本発明の膜(例16であり、図2(a)に示される)の熱的安定性を示す。OSG材料では、窒素中において10℃/minで走査されるときに、非常により急速な減量が約400℃で始まるのが示されるが、本発明の膜では、空気中において10℃/minで走査されるときに、はるかに急速でない減量が長い時間に渡って、約470℃/minでのみ始まるのが示される。
図3は、空気中425℃等温での例16及びOSGの膜についてのIRプロファイルを示す。この図は、本発明の膜(破線のプロファイル)がCO2と水のみを失うのに対して、OSG材料(実線のプロファイル)が相当により多量のCO2並びに水及びCH4を失っているのを示している。材料が所有している場合に期待された、任意のフッ素化有機材料が試料から放出された徴候は全くなかった。
図4は例16の膜の13C NMRスペクトルを示しているが、−CH2Fについての約+90ppmから−CF3についての約+150ppmまでにおいて、信号を示すのが期待された有機フッ素の目に見える徴候は全くない。この技術によって確認された唯一の炭素形態はケイ素に結合した炭素であり、0ppm辺りを中心とした応答を示している。
したがって、本発明は膜及びこのような膜を作製するための方法を提供し、該膜は実質的又は完全にC−F結合がなく、OSG材料と比べて低誘電率及び改善された機械的性質、熱的安定性、並びに(酸素、水性環境等に対する)耐薬品性を有する。
[例21]
オルガノシラン前駆体として1,3,5,7−テトラメチルシクロテトラシロキサン(TMCTS)を使用することに基づいた本発明の有望な例が、200mmシリコンウエハー基材について下表4に示される。
Figure 2004190033
予想されるk値は2.8〜3.0の範囲にあり、ヤング率が約15GPa、ナノ押込硬さが約2GPaである。
オルガノシラン前駆体としてジメチルジメトキシシラン(DMDMOS)を使用することに基づいた本発明の有望な例が、200mmシリコンウエハー基材について下表5に示される。
Figure 2004190033
予想されるk値は2.8〜3.0の範囲にあり、ヤング率が約15GPa、ナノ押込硬さが約2GPaである。
オルガノシラン前駆体としてジエトキシメチルシラン(DEMS)を使用することに基づいた本発明の有望な例が、200mmシリコンウエハー基材について下表6に示される。
Figure 2004190033
予想されるk値は2.8〜3.0の範囲にあり、ヤング率が約15GPa、ナノ押込硬さが約2GPaである。
[例22]
本発明のOFSG膜は、圧力1〜16torr、プラズマ電力100〜1000W、電極間隔200〜400ミリインチ(5.08〜10.16mm)及び温度200〜425℃で、トリメチルシラン(流量1〜1000sccm)、SiF4(流量1〜1000sccm)及びO2(流量1〜200sccm)を組み合せることによって堆積させた。
前駆体の構造及び組成は、CVD法によって堆積される薄膜の化学的な構造及び組成に大いに影響を与えることがある。フッ素の独占的な供給源としてSiF4を用いることによって、確実にC−F結合が前駆体混合物中に存在しないようにできる。C−F結合はSi−F結合ほど熱力学的に安定でないために、C−F結合がより形成されそうにないので、Si−F結合が最終膜中に存在すると考えられる。
前駆体ガス混合物中にSiF4としてフッ素が存在することで、堆積プロセスに影響を及ぼす場合もある。これは膜の堆積速度における変化として最も明確に観測される。前駆体混合物中にSiF4が乏しいのを除いて、同一条件で実施されたOSGの堆積プロセスと比べて、前駆体混合物中にSiF4を含有するOFSG膜の堆積速度は30〜60%遅い。これはプラズマ堆積の間に形成されたフッ素ラジカルによる表面アニーリングに起因する場合がある。堆積プロセスにおけるこの変化の起こり得る効果は、膜表面から弱く結合した化学種を除去することである。表7に示すように、これによって同様の組成でOFSG膜がより高密度になる。
Figure 2004190033
[例23]
例22に従って調製した膜の熱的安定性を検討し、その結果を下表8にまとめた。空気雰囲気下425℃で4時間アニーリングした前後のOFSG膜とOSG膜の間の比較は、それらの熱的安定性において相当な相違を示す。OFSG膜の誘電率が2.89〜2.96に増加しているのに対し、OSG膜の誘電率は2.96〜3.50に達している。アニーリング後のOSG膜の硬さの増加と屈折率の減少は、誘電率を増加させる場合があるSi−OHのような不要な化学結合の形成と同時に起こる、多孔度/自由体積を増加させる有機基の損失を示している。
Figure 2004190033
[例24]
例22に従って調製したOSG及びOFSG膜の組成の安定性は、ダイナミック二次イオン質量分析(ダイナミックSIMS)測定を用いて評価した。2つの試料をシリコン基材上に堆積させた。即ち、第1の試料は0.5ミクロンのOSGキャッピング層を有する1.0ミクロンのOFSG膜から成り、第2の試料は0.5ミクロンのOFSGキャッピング層を有する0.5ミクロンのOSG膜である。プロファイルスペクトルの深さ方向のダイナミックSIMSを熱アニーリング(4時間、425℃、空気雰囲気)の前後で実施した。
図5の(a)〜(e)は、0.5ミクロンのOSG膜で覆われた1.0ミクロンのOFSG膜について、それぞれケイ素、酸素、炭素、水素及びフッ素に関するプロファイルの深さ方向のダイナミックSIMS測定を示す。プロファイルの深さ方向のダイナミックSIMS測定は、酸化雰囲気において425℃で熱アニーリングする間の元素の安定性を調べるために、熱アニーリング前(実線)と後(破線)の試料に関して実施した。OSGからOFSGへの移行は、酸素以外のすべての元素について容易に観測される。図5(c)について言うと、炭素はOSGキャッピング層の内部で不安定な場合があるが、OFSG膜の内部では非常に安定なようである。図5(e)はまた、アニーリングの間にOFSG層からOSG層へのフッ素の移動が全くない場合があることを示している。したがって、OFSG組成物の内部にフッ素が存在することによって、膜内部での炭素の安定性を改善することができると考えられる。
[例25]
例22に従って調製したOSG及びOFSG膜の熱的安定性は、窒素及び空気雰囲気下425℃で時間の関数として減量を測定することによって評価した。OFSG及びOSG膜についての結果を図6の(a)及び(b)に示す。OFSGについての結果は、窒素中で0.05%/h及び空気中で0.10%/hの減量を示している。OSGの分析は、窒素中で0.05%の減量であるが、空気に425℃でさらすと瞬時に2%の減量を受けるということを示している。
[例26]
例22に従って調製したOSG及びOFSG膜の組成の安定性は、赤外(IR)分光を用いて評価した。OFSG膜の堆積条件は以下の通り、即ち、プラズマ電力400W、間隔200ミル、圧力6torr、温度350℃、トリメチルシラン540sccm、酸素90sccm、四フッ化ケイ素250sccm及び時間200秒であった。OSG膜の堆積条件は以下の通り、即ち、プラズマ電力600W、間隔260ミル、圧力4torr、温度350℃、トリメチルシラン540sccm、酸素90sccm及び時間72秒であった。熱アニーリング(4時間、425℃、空気雰囲気)前後のOFSG及びOSG膜のIRスペクトルを表9に与える。
Figure 2004190033
表9の結果が示すように、OFSG膜は熱アニーリング後でキャパシタンスと誘電率が減少した。それと対照的に、OSG膜のキャパシタンスと誘電率は熱アニーリング後で増加した。
本発明は詳細にかつ本発明の具体例を参照して説明されたが、さまざまな変更及び改良が本発明の趣旨及び範囲から逸脱しないで、本発明において行うことができることは当業者にとって明らかである。
(a)は本発明の膜の実施態様についての等温熱質量分析(TGA)を示し、(b)は先行技術の膜の等温TGAを示す。 (a)は本発明の膜の実施態様についてのTGA走査を示し、(b)は先行技術の膜のTGA走査を示す。 本発明の膜の実施態様と先行技術の膜についてのIRプロファイルを示す。 本発明の膜の実施態様についての13C NMRスペクトルを示す。 (a)、(b)、(c)、(d)及び(e)はプロファイルスペクトルの深さ方向のダイナミック二次イオン質量分析を示す。 (a)と(b)は、それぞれ本発明のOFSG膜と比較OSG膜のTGA走査を示す。

Claims (31)

  1. 化学式Sivwxyzによって表され、式中、v+w+x+y+z=100%、vが10〜35原子%、wが10〜65原子%、yが10〜50原子%、xが2〜30原子%、及びzが0.1〜15原子%であり、実質的にフッ素が炭素に結合されていない膜。
  2. ほとんどの水素が炭素に結合されている、請求項1に記載の膜。
  3. 3.5未満の誘電率を有する、請求項1に記載の膜。
  4. 3.0未満の誘電率を有する、請求項1に記載の膜。
  5. 標準OSG膜に比べて優れた機械的性質を有する、請求項1に記載の膜。
  6. 2.0g/cc未満のかさ密度を有する、請求項1に記載の膜。
  7. 1.5g/cc未満のかさ密度を有する、請求項1に記載の膜。
  8. 小角中性子散乱又は陽電子消滅寿命分光法によって測定された、相当球径5nm未満の細孔サイズを有する、請求項1に記載の膜。
  9. 小角中性子散乱又は陽電子消滅寿命分光法によって測定された、相当球径2.5nm未満の細孔サイズを有する、請求項1に記載の膜。
  10. 半導体基材上に堆積された、請求項1に記載の膜。
  11. 集積回路における絶縁層、層間絶縁層、金属間絶縁層、キャッピング層、化学機械平坦化層若しくはエッチストップ層、バリヤー層又は接着層から成る群のうちの少なくとも1つである、請求項1に記載の膜。
  12. 2下425℃等温で1.0wt%/h未満の平均減量を有する、請求項1に記載の膜。
  13. 空気下425℃等温で1.0wt%/h未満の平均減量を有する、請求項1に記載の膜。
  14. 1.5g/cc未満のかさ密度、小角中性子散乱又は陽電子消滅寿命分光法によって測定された相当球径2.5nm未満の細孔サイズを有し、ほとんどの水素が炭素に結合され、集積回路における絶縁層、層間絶縁層、金属間絶縁層、キャッピング層、化学機械平坦化若しくはエッチストップ層、バリヤー層又は接着層から成る群のうちの少なくとも1つとして基材上に堆積された、請求項1に記載の膜。
  15. x/z>0.25である、請求項1に記載の膜。
  16. 化学式Sivwxyzによって表され、式中、v+w+x+y+z=100%、vが10〜35原子%、wが10〜65原子%、yが10〜50原子%、xが1〜30原子%、及びzが0.1〜15原子%であり、x/z>0.25という条件で、実質的にフッ素が炭素に結合されていない膜。
  17. 1.5g/cc未満のかさ密度、小角中性子散乱又は陽電子消滅寿命分光法によって測定された相当球径2.5nm未満の細孔サイズを有し、ほとんどの水素が炭素に結合され、集積回路における絶縁層、層間絶縁層、金属間絶縁層、キャッピング層、化学機械平坦化若しくはエッチストップ層、バリヤー層又は接着層から成る群のうちの少なくとも1つとして基材上に堆積された、請求項16に記載の膜。
  18. 化学式Sivwxyzによって表され、式中、v+w+x+y+z=100%、vが10〜35原子%、wが10〜65原子%、yが10〜50原子%、xが2〜30原子%、及びzが0.1〜15原子%であり、0.5原子%以下のフッ素が炭素に結合されており、環境条件による膜特性の変化に抵抗するよう適合された膜。
  19. 前記環境条件がマイクロエレクトロニクス処理工程である、請求項18に記載の膜。
  20. 前記マイクロエレクトロニクス処理工程が化学酸化的及び/又は熱酸化的である、請求項19に記載の膜。
  21. 前記膜特性が誘電率であり、前記環境条件が熱アニーリング工程、誘電エッチング工程及びエッチ後の灰化工程から成る群より選択された、少なくとも1つのマイクロエレクトロニクス処理工程である、請求項18に記載の膜。
  22. 前記環境条件が前記誘電率を10%以下だけ増加させる、請求項21に記載の膜。
  23. 前記環境条件が前記誘電率を0.1以下だけ増加させる、請求項21に記載の膜。
  24. 前記環境条件が前記誘電率を該環境条件が標準OSG膜の標準誘電率を増加させる量よりも小さい分だけ増加させる、請求項21に記載の膜。
  25. 前記環境条件が前記誘電率を該環境条件が標準OSG膜の標準誘電率を増加させる量の50%以下だけ増加させる、請求項24に記載の膜。
  26. 前記環境条件が前記誘電率を該環境条件が標準OSG膜の標準誘電率を増加させる量の20%以下だけ増加させる、請求項25に記載の膜。
  27. 前記膜特性が前記膜全体のフッ素分布である、請求項18に記載の膜。
  28. 前記膜特性が前記膜中の炭素濃度である、請求項18に記載の膜。
  29. 前記環境条件が前記膜中の炭素濃度を該環境条件が標準OSG膜の標準誘電率を減少させる量の50%以下だけ減少させる、請求項28に記載の膜。
  30. 前記環境条件が前記膜中の炭素濃度を減少させ、該環境条件が該膜中の炭素濃度を該環境条件が標準OSG膜の標準誘電率を減少させる量の20%以下だけ減少させる、請求項29に記載の膜。
  31. 化学式Sivwxyzによって表され、式中、v+w+x+y+z=100%、vが10〜35原子%、wが10〜65原子%、yが10〜50原子%、xが1〜30原子%、及びzが0.1〜15原子%であり、x/z>0.25という条件で、0.5原子%以下のフッ素が炭素に結合されており、マイクロエレクトロニクス処理工程による膜特性の変化に抵抗するよう適合された膜。
JP2003415152A 2002-12-12 2003-12-12 低誘電率材料及びcvdによる処理方法 Pending JP2004190033A (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/317,807 US7074489B2 (en) 2001-05-23 2002-12-12 Low dielectric constant material and method of processing by CVD

Publications (2)

Publication Number Publication Date
JP2004190033A true JP2004190033A (ja) 2004-07-08
JP2004190033A5 JP2004190033A5 (ja) 2007-02-01

Family

ID=32325957

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003415152A Pending JP2004190033A (ja) 2002-12-12 2003-12-12 低誘電率材料及びcvdによる処理方法

Country Status (7)

Country Link
US (1) US7074489B2 (ja)
EP (2) EP1918415A1 (ja)
JP (1) JP2004190033A (ja)
KR (1) KR100577059B1 (ja)
CN (1) CN1507015A (ja)
SG (1) SG108943A1 (ja)
TW (1) TWI222961B (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008063629A (ja) * 2006-09-08 2008-03-21 Asahi Glass Co Ltd 低屈折率膜の製膜方法および低屈折率膜を有する物品
JP2008530821A (ja) * 2005-02-16 2008-08-07 インターナショナル・ビジネス・マシーンズ・コーポレーション 高度な低誘電率の有機シリコン・プラズマ化学気相堆積膜
JP2021025124A (ja) * 2019-08-06 2021-02-22 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー ケイ素化合物及びケイ素化合物を使用してフィルムを堆積する方法

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6649219B2 (en) * 2001-02-23 2003-11-18 Lsi Logic Corporation Process for forming a low dielectric constant fluorine and carbon-containing silicon oxide dielectric material characterized by improved resistance to oxidation
US7252875B2 (en) * 2002-12-16 2007-08-07 International Business Machines Corporation Diffusion barrier with low dielectric constant and semiconductor device containing same
TWI240959B (en) 2003-03-04 2005-10-01 Air Prod & Chem Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US7098149B2 (en) * 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US20050260420A1 (en) * 2003-04-01 2005-11-24 Collins Martha J Low dielectric materials and methods for making same
JP2006024670A (ja) * 2004-07-07 2006-01-26 Sony Corp 半導体装置の製造方法
US7736728B2 (en) * 2004-08-18 2010-06-15 Dow Corning Corporation Coated substrates and methods for their preparation
CN100403495C (zh) * 2004-08-30 2008-07-16 联华电子股份有限公司 半导体制造方法及其结构
US7332445B2 (en) * 2004-09-28 2008-02-19 Air Products And Chemicals, Inc. Porous low dielectric constant compositions and methods for making and using same
CN101291876A (zh) * 2005-10-19 2008-10-22 松下电器产业株式会社 金属氧化膜的形成方法、金属氧化膜及光学电子设备
CN100539071C (zh) * 2006-02-16 2009-09-09 中芯国际集成电路制造(上海)有限公司 用于形成低介电常数氟掺杂层的方法
EP2373830B1 (en) * 2008-10-20 2014-04-30 Dow Corning Corporation Cvd precursors
US9058982B2 (en) * 2010-12-08 2015-06-16 Nissin Electric Co., Ltd. Silicon oxynitride film and method for forming same, and semiconductor device
CN103956373A (zh) * 2013-12-18 2014-07-30 上海天马有机发光显示技术有限公司 一种疏水有机薄膜封装的有机发光显示装置及其制造方法
WO2017162578A1 (en) * 2016-03-23 2017-09-28 Abb Schweiz Ag Use of a linear octafluorobutene as a dielectric compound in an environmentally safe dielectric-insulation or arc-extinction fluid
US10697082B1 (en) * 2019-08-12 2020-06-30 Chang Chun Petrochemical Co., Ltd. Surface-treated copper foil

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5244698A (en) * 1985-02-21 1993-09-14 Canon Kabushiki Kaisha Process for forming deposited film
JPH0660125B2 (ja) * 1989-08-03 1994-08-10 信越化学工業株式会社 含フッ素カルボン酸誘導体及びその製造方法
JP3688726B2 (ja) 1992-07-17 2005-08-31 株式会社東芝 半導体装置の製造方法
EP0651320B1 (en) * 1993-10-29 2001-05-23 Advanced Micro Devices, Inc. Superscalar instruction decoder
JPH08167601A (ja) 1994-12-13 1996-06-25 Sony Corp 半導体装置の製造方法
US5571576A (en) 1995-02-10 1996-11-05 Watkins-Johnson Method of forming a fluorinated silicon oxide layer using plasma chemical vapor deposition
TW302525B (ja) 1995-02-28 1997-04-11 Hitachi Ltd
JPH08321499A (ja) 1995-03-20 1996-12-03 Fujitsu Ltd 硅素化合物膜およびその形成方法
JP3061255B2 (ja) 1995-08-18 2000-07-10 キヤノン販売株式会社 成膜方法
JPH09116011A (ja) 1995-10-23 1997-05-02 Mitsubishi Electric Corp 半導体装置およびその製造方法
JP3355949B2 (ja) 1996-08-16 2002-12-09 日本電気株式会社 プラズマcvd絶縁膜の形成方法
US5700735A (en) * 1996-08-22 1997-12-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming bond pad structure for the via plug process
US5989998A (en) 1996-08-29 1999-11-23 Matsushita Electric Industrial Co., Ltd. Method of forming interlayer insulating film
US5661093A (en) 1996-09-12 1997-08-26 Applied Materials, Inc. Method for the stabilization of halogen-doped films through the use of multiple sealing layers
US5827785A (en) 1996-10-24 1998-10-27 Applied Materials, Inc. Method for improving film stability of fluorosilicate glass films
JP3485425B2 (ja) 1996-11-18 2004-01-13 富士通株式会社 低誘電率絶縁膜の形成方法及びこの膜を用いた半導体装置
JPH10154712A (ja) 1996-11-25 1998-06-09 Fujitsu Ltd 半導体装置の製造方法
US5872065A (en) 1997-04-02 1999-02-16 Applied Materials Inc. Method for depositing low K SI-O-F films using SIF4 /oxygen chemistry
JPH11111712A (ja) 1997-10-01 1999-04-23 Fujitsu Ltd 低誘電率絶縁膜とその形成方法及びこの膜を用いた半導体装置
JPH11111714A (ja) 1997-10-03 1999-04-23 Japan Science & Technology Corp シリコン系絶縁膜の製造方法
US6383955B1 (en) 1998-02-05 2002-05-07 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
DE19805841A1 (de) 1998-02-13 1999-08-19 Itt Mfg Enterprises Inc Bremskraftverstärker für Kraftfahrzeuge
ITFI980126A1 (it) * 1998-05-27 1999-11-29 Matec Spa Metodo e dispositivo per la manipolazione di manufatti tessili, specialmente per il caricamento di macchine per calzifici
US6159871A (en) 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
US6147009A (en) 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
US6316063B1 (en) 1999-12-15 2001-11-13 Intel Corporation Method for preparing carbon doped oxide insulating layers
US6197706B1 (en) 2000-06-30 2001-03-06 Taiwan Semiconductor Manufacturing Company Low temperature method to form low k dielectric
EP1373595A1 (en) 2001-03-23 2004-01-02 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films
US6716770B2 (en) * 2001-05-23 2004-04-06 Air Products And Chemicals, Inc. Low dielectric constant material and method of processing by CVD

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008530821A (ja) * 2005-02-16 2008-08-07 インターナショナル・ビジネス・マシーンズ・コーポレーション 高度な低誘電率の有機シリコン・プラズマ化学気相堆積膜
JP2008063629A (ja) * 2006-09-08 2008-03-21 Asahi Glass Co Ltd 低屈折率膜の製膜方法および低屈折率膜を有する物品
JP2021025124A (ja) * 2019-08-06 2021-02-22 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー ケイ素化合物及びケイ素化合物を使用してフィルムを堆積する方法
JP6993394B2 (ja) 2019-08-06 2022-02-21 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー ケイ素化合物及びケイ素化合物を使用してフィルムを堆積する方法

Also Published As

Publication number Publication date
US20030162034A1 (en) 2003-08-28
TW200409738A (en) 2004-06-16
SG108943A1 (en) 2005-02-28
EP1428906A1 (en) 2004-06-16
EP1918415A1 (en) 2008-05-07
KR100577059B1 (ko) 2006-05-10
KR20040051537A (ko) 2004-06-18
TWI222961B (en) 2004-11-01
CN1507015A (zh) 2004-06-23
US7074489B2 (en) 2006-07-11

Similar Documents

Publication Publication Date Title
JP3881282B2 (ja) 低誘電率材料およびcvdによる処理方法
JP4216768B2 (ja) 有機ケイ酸塩ガラス膜及びその作製方法並びに有機ケイ酸塩ガラス膜作製のための混合物
JP3930840B2 (ja) 低κ誘電性無機/有機ハイブリッドフィルム
KR100642618B1 (ko) 다공성의 저 유전율 조성물 및 이를 제조하고 사용하는방법
EP1225194B2 (en) Method of forming a dielectric interlayer film with organosilicon precursors
KR100577059B1 (ko) 저 유전 상수 재료 및 cvd에 의한 처리 방법
JP2004006822A (ja) ポロゲン、ポロゲン化された前駆体および低誘電率をもつ多孔質有機シリカガラス膜を得るためにそれらを使用する方法
US8951342B2 (en) Methods for using porogens for low k porous organosilica glass films
KR100494194B1 (ko) 포로겐, 포로겐화 전구체, 및 이들을 사용하여 낮은 유전상수를 갖는 다공성 유기실리카 유리 필름을 제공하는 방법
KR20190047646A (ko) 실라시클릭 화합물 및 이를 이용한 실리콘 함유 필름의 증착 방법
TWI821645B (zh) 用於沉積具有高彈性模數的膜的新穎前驅物及方法
TWI822044B (zh) 用於氣相沉積一介電膜的組合物及用於沉積一有機矽膜的方法
TWI798884B (zh) 烷氧基二矽氧烷及由其製造的密有機二氧化矽膜
KR102373339B1 (ko) 규소 화합물 및 이를 사용하여 막을 증착시키는 방법
TW202217050A (zh) 用於提昇介電膜的性質的添加物
TW202009321A (zh) 矽化合物及使用其沉積膜的方法
TW201934562A (zh) 用於密osg膜的有機取代的硬化添料化合物與矽構造形成劑

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20061211

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20061211

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20090513

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090526

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20091201