JP2008530821A - 高度な低誘電率の有機シリコン・プラズマ化学気相堆積膜 - Google Patents
高度な低誘電率の有機シリコン・プラズマ化学気相堆積膜 Download PDFInfo
- Publication number
- JP2008530821A JP2008530821A JP2007556243A JP2007556243A JP2008530821A JP 2008530821 A JP2008530821 A JP 2008530821A JP 2007556243 A JP2007556243 A JP 2007556243A JP 2007556243 A JP2007556243 A JP 2007556243A JP 2008530821 A JP2008530821 A JP 2008530821A
- Authority
- JP
- Japan
- Prior art keywords
- peak area
- dielectric
- sicoh
- dielectric material
- layer
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
- 238000005229 chemical vapour deposition Methods 0.000 title 1
- 239000003989 dielectric material Substances 0.000 claims abstract description 61
- 229910052710 silicon Inorganic materials 0.000 claims abstract description 27
- 229910052799 carbon Inorganic materials 0.000 claims abstract description 23
- 229910052760 oxygen Inorganic materials 0.000 claims abstract description 19
- 229910002808 Si–O–Si Inorganic materials 0.000 claims abstract description 14
- 238000001157 Fourier transform infrared spectrum Methods 0.000 claims abstract description 10
- 125000004432 carbon atom Chemical group C* 0.000 claims abstract description 9
- 125000004435 hydrogen atom Chemical group [H]* 0.000 claims abstract description 9
- 125000004430 oxygen atom Chemical group O* 0.000 claims abstract description 9
- -1 methyl end groups Chemical compound 0.000 claims abstract description 6
- 239000010410 layer Substances 0.000 claims description 166
- 239000000758 substrate Substances 0.000 claims description 38
- 238000000034 method Methods 0.000 claims description 33
- 239000000463 material Substances 0.000 claims description 29
- 239000002243 precursor Substances 0.000 claims description 20
- 229910052739 hydrogen Inorganic materials 0.000 claims description 17
- 230000008021 deposition Effects 0.000 claims description 16
- 125000004429 atom Chemical group 0.000 claims description 15
- 239000007800 oxidant agent Substances 0.000 claims description 15
- 239000011229 interlayer Substances 0.000 claims description 13
- 239000000203 mixture Substances 0.000 claims description 11
- HMMGMWAXVFQUOA-UHFFFAOYSA-N octamethylcyclotetrasiloxane Chemical compound C[Si]1(C)O[Si](C)(C)O[Si](C)(C)O[Si](C)(C)O1 HMMGMWAXVFQUOA-UHFFFAOYSA-N 0.000 claims description 11
- 230000001590 oxidative effect Effects 0.000 claims description 10
- 229910052786 argon Inorganic materials 0.000 claims description 7
- 230000015556 catabolic process Effects 0.000 claims description 7
- 229910052734 helium Inorganic materials 0.000 claims description 7
- 238000010894 electron beam technology Methods 0.000 claims description 6
- 230000005855 radiation Effects 0.000 claims description 6
- 238000005033 Fourier transform infrared spectroscopy Methods 0.000 claims description 5
- WZJUBBHODHNQPW-UHFFFAOYSA-N 2,4,6,8-tetramethyl-1,3,5,7,2$l^{3},4$l^{3},6$l^{3},8$l^{3}-tetraoxatetrasilocane Chemical group C[Si]1O[Si](C)O[Si](C)O[Si](C)O1 WZJUBBHODHNQPW-UHFFFAOYSA-N 0.000 claims description 4
- JJQZDUKDJDQPMQ-UHFFFAOYSA-N dimethoxy(dimethyl)silane Chemical compound CO[Si](C)(C)OC JJQZDUKDJDQPMQ-UHFFFAOYSA-N 0.000 claims description 4
- 239000000969 carrier Substances 0.000 claims description 3
- 239000012159 carrier gas Substances 0.000 claims description 3
- 125000004122 cyclic group Chemical group 0.000 claims description 3
- 229910052754 neon Inorganic materials 0.000 claims description 3
- 238000012805 post-processing Methods 0.000 claims description 3
- 238000001228 spectrum Methods 0.000 claims description 3
- 229910052724 xenon Inorganic materials 0.000 claims description 3
- DGXPASZXUJQWLQ-UHFFFAOYSA-N diethyl(methoxy)silane Chemical compound CC[SiH](CC)OC DGXPASZXUJQWLQ-UHFFFAOYSA-N 0.000 claims description 2
- 229910018557 Si O Inorganic materials 0.000 claims 2
- LIVNPJMFVYWSIS-UHFFFAOYSA-N silicon monoxide Inorganic materials [Si-]#[O+] LIVNPJMFVYWSIS-UHFFFAOYSA-N 0.000 claims 2
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 claims 1
- 229910000077 silane Inorganic materials 0.000 claims 1
- 230000003993 interaction Effects 0.000 abstract description 6
- 239000013078 crystal Substances 0.000 abstract description 5
- 238000004132 cross linking Methods 0.000 abstract description 4
- 125000000325 methylidene group Chemical group [H]C([H])=* 0.000 abstract description 3
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 abstract description 2
- 230000001747 exhibiting effect Effects 0.000 abstract description 2
- 125000002496 methyl group Chemical group [H]C([H])([H])* 0.000 abstract description 2
- 239000011810 insulating material Substances 0.000 description 70
- 239000004020 conductor Substances 0.000 description 46
- 238000005498 polishing Methods 0.000 description 21
- 238000001020 plasma etching Methods 0.000 description 20
- 229910052751 metal Inorganic materials 0.000 description 18
- 239000002184 metal Substances 0.000 description 18
- 238000000151 deposition Methods 0.000 description 16
- 239000004065 semiconductor Substances 0.000 description 16
- 230000004888 barrier function Effects 0.000 description 14
- 238000009792 diffusion process Methods 0.000 description 14
- 230000008569 process Effects 0.000 description 14
- 239000007789 gas Substances 0.000 description 13
- 238000004891 communication Methods 0.000 description 12
- 238000004519 manufacturing process Methods 0.000 description 10
- 238000012545 processing Methods 0.000 description 10
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 7
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 7
- 239000010703 silicon Substances 0.000 description 7
- 238000010438 heat treatment Methods 0.000 description 6
- 239000012212 insulator Substances 0.000 description 6
- 239000012528 membrane Substances 0.000 description 6
- 238000011282 treatment Methods 0.000 description 6
- 229910052581 Si3N4 Inorganic materials 0.000 description 5
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 5
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 5
- 229910052814 silicon oxide Inorganic materials 0.000 description 5
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 4
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 4
- 239000001257 hydrogen Substances 0.000 description 4
- 239000011261 inert gas Substances 0.000 description 4
- 239000007769 metal material Substances 0.000 description 4
- 238000009832 plasma treatment Methods 0.000 description 4
- 239000003870 refractory metal Substances 0.000 description 4
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 4
- 229910010271 silicon carbide Inorganic materials 0.000 description 4
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 3
- 238000000137 annealing Methods 0.000 description 3
- 230000000875 corresponding effect Effects 0.000 description 3
- 239000000539 dimer Substances 0.000 description 3
- 230000010354 integration Effects 0.000 description 3
- 239000013638 trimer Substances 0.000 description 3
- 239000004215 Carbon black (E152) Substances 0.000 description 2
- YZCKVEUIGOORGS-UHFFFAOYSA-N Hydrogen atom Chemical compound [H] YZCKVEUIGOORGS-UHFFFAOYSA-N 0.000 description 2
- 238000002679 ablation Methods 0.000 description 2
- 238000000862 absorption spectrum Methods 0.000 description 2
- 150000001875 compounds Chemical class 0.000 description 2
- 230000007423 decrease Effects 0.000 description 2
- 238000006731 degradation reaction Methods 0.000 description 2
- 238000005137 deposition process Methods 0.000 description 2
- NBBQQQJUOYRZCA-UHFFFAOYSA-N diethoxymethylsilane Chemical compound CCOC([SiH3])OCC NBBQQQJUOYRZCA-UHFFFAOYSA-N 0.000 description 2
- 238000009826 distribution Methods 0.000 description 2
- 229910052735 hafnium Inorganic materials 0.000 description 2
- 239000001307 helium Substances 0.000 description 2
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 2
- 229930195733 hydrocarbon Natural products 0.000 description 2
- 238000010849 ion bombardment Methods 0.000 description 2
- 238000005259 measurement Methods 0.000 description 2
- VNWKTOKETHGBQD-UHFFFAOYSA-N methane Chemical compound C VNWKTOKETHGBQD-UHFFFAOYSA-N 0.000 description 2
- 150000004767 nitrides Chemical class 0.000 description 2
- 238000000206 photolithography Methods 0.000 description 2
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 2
- 239000003361 porogen Substances 0.000 description 2
- 239000000126 substance Substances 0.000 description 2
- 229910052715 tantalum Inorganic materials 0.000 description 2
- 229910052726 zirconium Inorganic materials 0.000 description 2
- JBRZTFJDHDCESZ-UHFFFAOYSA-N AsGa Chemical compound [As]#[Ga] JBRZTFJDHDCESZ-UHFFFAOYSA-N 0.000 description 1
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 1
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 1
- 229910000673 Indium arsenide Inorganic materials 0.000 description 1
- GPXJNWSHGFTCBW-UHFFFAOYSA-N Indium phosphide Chemical compound [In]#P GPXJNWSHGFTCBW-UHFFFAOYSA-N 0.000 description 1
- 229910003811 SiGeC Inorganic materials 0.000 description 1
- 238000003302 UV-light treatment Methods 0.000 description 1
- 229910045601 alloy Inorganic materials 0.000 description 1
- 239000000956 alloy Substances 0.000 description 1
- 229910052782 aluminium Inorganic materials 0.000 description 1
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 1
- 230000008901 benefit Effects 0.000 description 1
- 230000015572 biosynthetic process Effects 0.000 description 1
- 230000000295 complement effect Effects 0.000 description 1
- 229910052802 copper Inorganic materials 0.000 description 1
- 239000010949 copper Substances 0.000 description 1
- 230000002596 correlated effect Effects 0.000 description 1
- 230000000694 effects Effects 0.000 description 1
- 230000005684 electric field Effects 0.000 description 1
- 230000004907 flux Effects 0.000 description 1
- 239000012634 fragment Substances 0.000 description 1
- 239000002920 hazardous waste Substances 0.000 description 1
- 150000002430 hydrocarbons Chemical class 0.000 description 1
- 238000005286 illumination Methods 0.000 description 1
- RPQDHPTXJYYUPQ-UHFFFAOYSA-N indium arsenide Chemical compound [In]#[As] RPQDHPTXJYYUPQ-UHFFFAOYSA-N 0.000 description 1
- 238000009413 insulation Methods 0.000 description 1
- 238000005224 laser annealing Methods 0.000 description 1
- 238000013532 laser treatment Methods 0.000 description 1
- 239000007788 liquid Substances 0.000 description 1
- 239000011159 matrix material Substances 0.000 description 1
- 229910044991 metal oxide Inorganic materials 0.000 description 1
- 150000004706 metal oxides Chemical class 0.000 description 1
- 238000001465 metallisation Methods 0.000 description 1
- UIUXUFNYAYAMOE-UHFFFAOYSA-N methylsilane Chemical compound [SiH3]C UIUXUFNYAYAMOE-UHFFFAOYSA-N 0.000 description 1
- 229910003465 moissanite Inorganic materials 0.000 description 1
- 229910052757 nitrogen Inorganic materials 0.000 description 1
- 229910052755 nonmetal Inorganic materials 0.000 description 1
- 238000005240 physical vapour deposition Methods 0.000 description 1
- 238000005268 plasma chemical vapour deposition Methods 0.000 description 1
- 230000010287 polarization Effects 0.000 description 1
- 229920005591 polysilicon Polymers 0.000 description 1
- 238000004151 rapid thermal annealing Methods 0.000 description 1
- 239000000376 reactant Substances 0.000 description 1
- 239000005368 silicate glass Substances 0.000 description 1
- 229910021332 silicide Inorganic materials 0.000 description 1
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 description 1
- 230000003595 spectral effect Effects 0.000 description 1
- 238000004611 spectroscopical analysis Methods 0.000 description 1
- 238000000992 sputter etching Methods 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/7682—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/40—Oxides
- C23C16/401—Oxides containing silicon
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02126—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02203—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02205—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
- H01L21/02208—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
- H01L21/02214—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
- H01L21/02216—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/02274—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02296—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
- H01L21/02318—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
- H01L21/02337—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
- H01L21/0234—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02296—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
- H01L21/02318—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
- H01L21/02345—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
- H01L21/02348—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02296—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
- H01L21/02318—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
- H01L21/02345—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
- H01L21/02351—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to corpuscular radiation, e.g. exposure to electrons, alpha-particles, protons or ions
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02296—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
- H01L21/02318—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
- H01L21/02345—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
- H01L21/02354—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light using a coherent radiation, e.g. a laser
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/312—Organic layers, e.g. photoresist
- H01L21/3121—Layers comprising organo-silicon compounds
- H01L21/3122—Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/314—Inorganic layers
- H01L21/316—Inorganic layers composed of oxides or glassy oxides or oxide based glass
- H01L21/31604—Deposition from a gas or vapour
- H01L21/31633—Deposition of carbon doped silicon oxide, e.g. SiOC
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2221/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
- H01L2221/10—Applying interconnections to be used for carrying current between separate components within a device
- H01L2221/1005—Formation and after-treatment of dielectrics
- H01L2221/1042—Formation and after-treatment of dielectrics the dielectric comprising air gaps
- H01L2221/1047—Formation and after-treatment of dielectrics the dielectric comprising air gaps the air gaps being formed by pores in the dielectric
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Power Engineering (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Chemical & Material Sciences (AREA)
- Plasma & Fusion (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Inorganic Chemistry (AREA)
- General Chemical & Material Sciences (AREA)
- Optics & Photonics (AREA)
- Materials Engineering (AREA)
- Health & Medical Sciences (AREA)
- Toxicology (AREA)
- Organic Chemistry (AREA)
- Spectroscopy & Molecular Physics (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
- Formation Of Insulating Films (AREA)
- Chemical Vapour Deposition (AREA)
- Carbon And Carbon Compounds (AREA)
Abstract
【解決手段】 約3.0未満の誘電率と、より度合いが大きい結晶結合相互作用と、従来技術のSiCOH誘電体と比べて、より多くのメチル末端基などの炭素と、より少ないメチレン、−CH2−架橋基とを有する、共有結合三次元ネットワーク構造において、Si、C、O及びHの原子(以下、「SiCOH」)を含む多孔質低k又は超低k誘電体膜が提供される。SiCOH誘電体は、約1.40未満のCH3+CH2伸縮についてのピーク面積と、約0.20未満のSiH伸縮についてのピーク面積と、約2.0より大きいSiCH3結合についてのピーク面積と、約60より大きいSi−O−Si結合についてのピーク面積とを含むFTIRスペクトルと、約20%より大きい多孔度とを有するものとして特徴付けられる。
【選択図】 図8
Description
Claims (30)
- Si、C、O及びHの原子を含み、三次元ネットワーク構造を有する誘電体材料であって、前記材料が、1.40未満のCH3+CH2伸縮についてのピーク面積、0.20未満のSiH伸縮についてのピーク面積、2.0より大きいSiCH3結合についてのピーク面積、及び60より大きいSi−O−Si結合についてのピーク面積を含むFTIRスペクトルと、20%より大きい多孔度とを有する、誘電体材料。
- 前記材料は、3.0未満の誘電率を有する、請求項1に記載の誘電体材料。
- 前記誘電率は、2.2から2.8までの範囲内にある、請求項2に記載の誘電体材料。
- 前記CH3+CH2伸縮についてのピーク面積は、2830cm−1から3050cm−1に位置し、前記SiH伸縮についてのピーク面積は、2170cm−1から2240cm−1に位置し、前記SiCH3結合についてのピーク面積は、1250cm−1から1290cm−1及び750cm−1から860cm−1に位置し、前記Si−O−Si結合についてのピーク面積は、960cm−1から1220cm−1に位置する、請求項1に記載の誘電体材料。
- 前記CH3+CH2伸縮についてのピーク面積は1.35であり、前記SiH伸縮についてのピーク面積は0.13であり、前記SiCH3結合についてのピーク面積は2.18であり、前記Si−O−Si結合についてのピーク面積は62.9である、請求項1に記載の誘電体材料。
- 前記多孔度は、22%から25%までである、請求項1に記載の誘電体材料。
- 前記誘電体材料は、6GPaから12GPaまでの範囲のモジュラス値と、0.8GPaから1.6GPaまでの範囲の硬度と、35MPaから70MPaまでの範囲の応力と、2.5J/m2から6J/m2までの結合力と、1×10−12m/秒から4×10−10m/秒までの1.2μmにおけるクラック速度と、4×1022原子/cm3から9×1022原子/cm3までの、RBSによって測定される密度とを有する、請求項1に記載の誘電体材料。
- 前記材料は、7MV/cmより大きい破壊電圧と、2MV/cm以上の印加電圧における1×10−9Amp/cm2の漏れ密度とを有する、請求項1に記載の誘電体材料。
- 前記材料を処理して、9GPaから11GPaまでのモジュラスを有する材料を提供する、請求項1に記載の誘電体材料。
- Si、C、O及びHの原子を含み、三次元ネットワーク構造を有する少なくとも1つの誘電体材料を含む基板上に配置された相互接続構造体であって、前記材料は、1.40未満のCH3+CH2伸縮についてのピーク面積、0.20未満のSiH伸縮についてのピーク面積、2.0より大きいSiCH3結合についてのピーク面積及び60より大きいSi−O−Si結合についてのピーク面積を含むFTIRスペクトルと、20%より大きい多孔度とを有する、相互接続構造体。
- 前記材料は、3.0未満の誘電率を有する、請求項10に記載の相互接続構造体。
- 前記誘電率は、2.2から2.8までの範囲内にある、請求項11に記載の相互接続構造体。
- 前記CH3+CH2伸縮についてのピーク面積は、2830cm−1から3050cm−1に位置し、前記SiH伸縮についてのピーク面積は、2170cm−1から2240cm−1に位置し、前記SiCH3結合についてのピーク面積は、1250cm−1から1290cm−1及び750cm−1から860cm−1に位置し、前記Si−O−Si結合についてのピーク面積は、960cm−1から1220cm−1に位置する、請求項10に記載の相互接続構造体。
- 前記CH3+CH2伸縮についてのピーク面積は1.35であり、前記SiH伸縮についてのピーク面積は0.13であり、前記SiCH3結合についてのピーク面積は2.18であり、前記Si−O−Si結合についてのピーク面積は62.9である、請求項10に記載の相互接続構造体。
- 前記多孔度は、22%から25%までである、請求項10に記載の相互接続構造体。
- 前記誘電体材料は、6GPaから12GPaまでの範囲のモジュラス値と、0.8GPaから1.6GPaまでの範囲の硬度と、35MPaから70MPaまでの範囲の応力と、2.5J/m2から6J/m2までの結合力と、1×10−12m/秒から4×10−10m/秒までの1.2μmにおけるクラック速度と、4×1022原子/cm3から9×1022原子/cm3までの、RBSによって測定される密度とを有する、請求項10に記載の相互接続構造体。
- 前記材料は、7MV/cmより大きい破壊電圧と、2MV/cm以上の印加電圧における1×10−9Amp/cm2の漏れ密度とを有する、請求項10に記載の相互接続構造体。
- 前記誘電体材料は、その中に埋め込まれた少なくとも1つの導電性領域を有する、請求項10に記載の相互接続構造体。
- 前記誘電体材料は、層間誘電体、層内誘電体、キャッピング層、又はハード・マスクのうちの1つである、請求項10に記載の相互接続構造体。
- 基板上にSiCOH誘電体を形成する方法であって、
リアクタ内に基板を配置するステップと、
Si、C、O及びHの原子を含む第1の前駆体と、酸化剤と、不活性キャリアとを前記リアクタ内に導入するステップと、
を含む方法。 - 前記第1の前駆体は、少なくともSi、C、O及びHの原子を含む環状構造を有する有機分子を含む、請求項20に記載の方法。
- 前記第1の前駆体は、1,3,5,7−テトラメチルシクロテトラシロキサン(「TMCTS」又は「C4H16O4Si4」)、オクタメチルシクロテトラシロキサン(OMCTS)、ジエトキシメチルシラン(DEMS)、ジメチルジメトキシシラン(DMDMOS)、ジエチルメトキシシラン(DEDMOS)、或いは、他の環状及び非環状シラン又はシロキサンのうちの1つを含む、請求項21に記載の方法。
- 前記酸化剤は、O2、CO2、又はN2Oのうちの少なくとも1つを含む、請求項20に記載の方法。
- 前記不活性キャリアは、Ar、He、Ne、Xe、又はこれらの混合物を含む、請求項20に記載の方法。
- 前記第1の前駆体はOMCTSであり、前記酸化剤はO2であり、前記不活性キャリアはHeである、請求項20に記載の方法。
- 前記堆積ステップは、150ワット以下のオーダーの低い高周波(HF)/低周波(LF)電力を用いて実行される、請求項20に記載の方法。
- 前記堆積ステップは、1:2又はそれより大きい酸化剤/第1の前駆体モル比を用いて実行される、請求項20に記載の方法。
- 前記堆積ステップは、基板温度を250℃から450℃までの間に設定するステップと、高周波RF電力密度を0.20W/cm2から0.85W/cm2までの間に設定するステップと、前記第1の前駆体の流量を1800mg/分から3600mg/分までの間に設定するステップと、前記酸化剤の流量を120sccmから320sccmまでの間に設定するステップと、前記不活性キャリア・ガスの流量を500sccmから2000sccmまでの間に設定するステップと、リアクタ圧力を4Torrから8Torrまでの間の圧力に設定するステップと、高周波RF電力を150Wから800Wまでの間に設定するステップと、低周波電力を0Wから200Wまでの間に設定するステップとを含む、請求項20に記載の方法。
- 前記堆積された誘電体材料に後処理ステップを施すステップをさらに含む、請求項20に記載の方法。
- 前記後処理ステップは、熱、電子ビーム、プラズマ、マイクロ波、及びUV光放射から選択されるエネルギー源を用いるステップを含む、請求項29に記載の方法。
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US10/906,370 | 2005-02-16 | ||
US10/906,370 US7202564B2 (en) | 2005-02-16 | 2005-02-16 | Advanced low dielectric constant organosilicon plasma chemical vapor deposition films |
PCT/US2006/005204 WO2006088881A2 (en) | 2005-02-16 | 2006-02-14 | Advanced low dielectric constant organosilicon plasma chemical vapor deposition films |
Publications (3)
Publication Number | Publication Date |
---|---|
JP2008530821A true JP2008530821A (ja) | 2008-08-07 |
JP2008530821A5 JP2008530821A5 (ja) | 2009-02-12 |
JP5466365B2 JP5466365B2 (ja) | 2014-04-09 |
Family
ID=36816220
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2007556243A Active JP5466365B2 (ja) | 2005-02-16 | 2006-02-14 | 基板上にSiCOH誘電体を形成する方法 |
Country Status (7)
Country | Link |
---|---|
US (2) | US7202564B2 (ja) |
EP (1) | EP1849183A4 (ja) |
JP (1) | JP5466365B2 (ja) |
KR (1) | KR100998809B1 (ja) |
CN (1) | CN100533681C (ja) |
TW (1) | TWI346982B (ja) |
WO (1) | WO2006088881A2 (ja) |
Cited By (7)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2008251774A (ja) * | 2007-03-30 | 2008-10-16 | Mitsui Chemicals Inc | 多孔質シリカフィルムの製造方法 |
WO2011043337A1 (ja) * | 2009-10-05 | 2011-04-14 | 国立大学法人東北大学 | 低誘電率絶縁膜およびその形成方法 |
JP2011082274A (ja) * | 2009-10-05 | 2011-04-21 | Tohoku Univ | 低誘電率絶縁膜 |
JP2011091161A (ja) * | 2009-10-21 | 2011-05-06 | Tohoku Univ | 低誘電率絶縁膜の形成方法 |
JP2013520792A (ja) * | 2010-02-23 | 2013-06-06 | アプライド マテリアルズ インコーポレイテッド | 低誘電率誘電体を含む超小型電子構造およびその構造内の炭素分配を制御する方法 |
US8736051B2 (en) | 2012-03-23 | 2014-05-27 | Renesas Electronics Corporation | Semiconductor device and manufacturing method thereof |
JP2015111712A (ja) * | 2009-11-11 | 2015-06-18 | 日本電気株式会社 | 抵抗変化素子、半導体装置、および抵抗変化素子の形成方法 |
Families Citing this family (50)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7288292B2 (en) * | 2003-03-18 | 2007-10-30 | International Business Machines Corporation | Ultra low k (ULK) SiCOH film and method |
US7202564B2 (en) * | 2005-02-16 | 2007-04-10 | International Business Machines Corporation | Advanced low dielectric constant organosilicon plasma chemical vapor deposition films |
US7622378B2 (en) | 2005-11-09 | 2009-11-24 | Tokyo Electron Limited | Multi-step system and method for curing a dielectric film |
US8956457B2 (en) * | 2006-09-08 | 2015-02-17 | Tokyo Electron Limited | Thermal processing system for curing dielectric films |
KR20090108721A (ko) * | 2007-01-29 | 2009-10-16 | 어플라이드 머티어리얼스, 인코포레이티드 | 신규한 공기 갭 통합 방법 |
US7615482B2 (en) * | 2007-03-23 | 2009-11-10 | International Business Machines Corporation | Structure and method for porous SiCOH dielectric layers and adhesion promoting or etch stop layers having increased interfacial and mechanical strength |
US20090075491A1 (en) * | 2007-09-13 | 2009-03-19 | Tokyo Electron Limited | Method for curing a dielectric film |
US7858533B2 (en) * | 2008-03-06 | 2010-12-28 | Tokyo Electron Limited | Method for curing a porous low dielectric constant dielectric film |
US7977256B2 (en) | 2008-03-06 | 2011-07-12 | Tokyo Electron Limited | Method for removing a pore-generating material from an uncured low-k dielectric film |
US20090226695A1 (en) * | 2008-03-06 | 2009-09-10 | Tokyo Electron Limited | Method for treating a dielectric film with infrared radiation |
US20090226694A1 (en) * | 2008-03-06 | 2009-09-10 | Tokyo Electron Limited | POROUS SiCOH-CONTAINING DIELECTRIC FILM AND A METHOD OF PREPARING |
US8298965B2 (en) * | 2008-09-03 | 2012-10-30 | American Air Liquide, Inc. | Volatile precursors for deposition of C-linked SiCOH dielectrics |
US20100065758A1 (en) * | 2008-09-16 | 2010-03-18 | Tokyo Electron Limited | Dielectric material treatment system and method of operating |
US8895942B2 (en) * | 2008-09-16 | 2014-11-25 | Tokyo Electron Limited | Dielectric treatment module using scanning IR radiation source |
US8557712B1 (en) * | 2008-12-15 | 2013-10-15 | Novellus Systems, Inc. | PECVD flowable dielectric gap fill |
EP3222749A1 (en) | 2009-05-13 | 2017-09-27 | SiO2 Medical Products, Inc. | Outgassing method for inspecting a coated surface |
US9458536B2 (en) | 2009-07-02 | 2016-10-04 | Sio2 Medical Products, Inc. | PECVD coating methods for capped syringes, cartridges and other articles |
US8247332B2 (en) | 2009-12-04 | 2012-08-21 | Novellus Systems, Inc. | Hardmask materials |
SG183291A1 (en) | 2010-02-17 | 2012-09-27 | Air Liquide | VAPOR DEPOSITION METHODS OF SiCOH LOW-K FILMS |
US9017933B2 (en) * | 2010-03-29 | 2015-04-28 | Tokyo Electron Limited | Method for integrating low-k dielectrics |
US11624115B2 (en) | 2010-05-12 | 2023-04-11 | Sio2 Medical Products, Inc. | Syringe with PECVD lubrication |
US9878101B2 (en) | 2010-11-12 | 2018-01-30 | Sio2 Medical Products, Inc. | Cyclic olefin polymer vessels and vessel coating methods |
US9719169B2 (en) | 2010-12-20 | 2017-08-01 | Novellus Systems, Inc. | System and apparatus for flowable deposition in semiconductor fabrication |
US9272095B2 (en) | 2011-04-01 | 2016-03-01 | Sio2 Medical Products, Inc. | Vessels, contact surfaces, and coating and inspection apparatus and methods |
US8637412B2 (en) * | 2011-08-19 | 2014-01-28 | International Business Machines Corporation | Process to form an adhesion layer and multiphase ultra-low k dielectric material using PECVD |
JP6095678B2 (ja) | 2011-11-11 | 2017-03-15 | エスアイオーツー・メディカル・プロダクツ・インコーポレイテッド | 薬剤パッケージ用の不動態化、pH保護又は滑性皮膜、被覆プロセス及び装置 |
US11116695B2 (en) | 2011-11-11 | 2021-09-14 | Sio2 Medical Products, Inc. | Blood sample collection tube |
EP2846755A1 (en) | 2012-05-09 | 2015-03-18 | SiO2 Medical Products, Inc. | Saccharide protective coating for pharmaceutical package |
EP2914762B1 (en) | 2012-11-01 | 2020-05-13 | SiO2 Medical Products, Inc. | Coating inspection method |
EP2920567B1 (en) | 2012-11-16 | 2020-08-19 | SiO2 Medical Products, Inc. | Method and apparatus for detecting rapid barrier coating integrity characteristics |
US10201660B2 (en) | 2012-11-30 | 2019-02-12 | Sio2 Medical Products, Inc. | Controlling the uniformity of PECVD deposition on medical syringes, cartridges, and the like |
US9764093B2 (en) | 2012-11-30 | 2017-09-19 | Sio2 Medical Products, Inc. | Controlling the uniformity of PECVD deposition |
US9337068B2 (en) | 2012-12-18 | 2016-05-10 | Lam Research Corporation | Oxygen-containing ceramic hard masks and associated wet-cleans |
US20160015898A1 (en) | 2013-03-01 | 2016-01-21 | Sio2 Medical Products, Inc. | Plasma or cvd pre-treatment for lubricated pharmaceutical package, coating process and apparatus |
KR102167557B1 (ko) | 2013-03-11 | 2020-10-20 | 에스아이오2 메디컬 프로덕츠, 인크. | 코팅된 패키징 |
US9937099B2 (en) | 2013-03-11 | 2018-04-10 | Sio2 Medical Products, Inc. | Trilayer coated pharmaceutical packaging with low oxygen transmission rate |
WO2014144926A1 (en) | 2013-03-15 | 2014-09-18 | Sio2 Medical Products, Inc. | Coating method |
US9847222B2 (en) | 2013-10-25 | 2017-12-19 | Lam Research Corporation | Treatment for flowable dielectric deposition on substrate surfaces |
US20150228788A1 (en) * | 2014-02-13 | 2015-08-13 | United Microelectronics Corp. | Stress memorization process and semiconductor structure including contact etch stop layer |
US9209017B2 (en) | 2014-03-26 | 2015-12-08 | International Business Machines Corporation | Advanced ultra low k SiCOH dielectrics prepared by built-in engineered pore size and bonding structured with cyclic organosilicon precursors |
EP3693493A1 (en) | 2014-03-28 | 2020-08-12 | SiO2 Medical Products, Inc. | Antistatic coatings for plastic vessels |
US10049921B2 (en) | 2014-08-20 | 2018-08-14 | Lam Research Corporation | Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor |
WO2016174970A1 (ja) * | 2015-04-28 | 2016-11-03 | 三井金属鉱業株式会社 | 表面処理銅箔及びその製造方法、プリント配線板用銅張積層板、並びにプリント配線板 |
JP2018523538A (ja) | 2015-08-18 | 2018-08-23 | エスアイオーツー・メディカル・プロダクツ・インコーポレイテッド | 低酸素透過速度を有する薬剤包装及び他の包装 |
US10388546B2 (en) | 2015-11-16 | 2019-08-20 | Lam Research Corporation | Apparatus for UV flowable dielectric |
US9916977B2 (en) | 2015-11-16 | 2018-03-13 | Lam Research Corporation | Low k dielectric deposition via UV driven photopolymerization |
US9847221B1 (en) | 2016-09-29 | 2017-12-19 | Lam Research Corporation | Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing |
KR102392815B1 (ko) | 2017-08-02 | 2022-05-02 | 삼성전자주식회사 | 초저유전막의 제조 방법 및 이에 의해 제조된 초저유전막 |
CN109119339B (zh) * | 2018-08-26 | 2022-02-08 | 合肥安德科铭半导体科技有限公司 | 一种低介电常数的SiCO间隔层材料及其制备方法和应用 |
CN111484618A (zh) * | 2019-01-28 | 2020-08-04 | 海加控股有限公司 | 低温等离子电场辅助合成有机硅化合物的方法和装置 |
Citations (7)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH09260369A (ja) * | 1996-03-25 | 1997-10-03 | Toshiba Corp | 絶縁膜の形成方法 |
JP2001203200A (ja) * | 2000-01-18 | 2001-07-27 | Hitachi Ltd | 半導体装置及びその製造方法 |
WO2003095702A2 (en) * | 2002-05-08 | 2003-11-20 | Applied Materials, Inc. | Method for curing low dielectric constant film by electron beam |
WO2004001815A1 (en) * | 2002-06-19 | 2003-12-31 | International Business Machines Corporation | An ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device |
JP2004134738A (ja) * | 2002-05-30 | 2004-04-30 | Symyx Technologies Inc | 低誘電率材料及びその製造方法 |
JP2004515057A (ja) * | 2000-10-25 | 2004-05-20 | インターナショナル・ビジネス・マシーンズ・コーポレーション | 半導体素子のレベル内またはレベル間誘電体としての超低誘電率材料、その製造方法、およびそれを含む電子デバイス |
JP2004190033A (ja) * | 2002-12-12 | 2004-07-08 | Air Products & Chemicals Inc | 低誘電率材料及びcvdによる処理方法 |
Family Cites Families (17)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6147009A (en) * | 1998-06-29 | 2000-11-14 | International Business Machines Corporation | Hydrogenated oxidized silicon carbon material |
DE10083897T1 (de) * | 1999-02-26 | 2002-06-27 | Trikon Holdings Ltd | Verfahren zum Bearbeiten einer Polymerschicht |
US6312793B1 (en) * | 1999-05-26 | 2001-11-06 | International Business Machines Corporation | Multiphase low dielectric constant material |
US6841256B2 (en) * | 1999-06-07 | 2005-01-11 | Honeywell International Inc. | Low dielectric constant polyorganosilicon materials generated from polycarbosilanes |
US6441491B1 (en) * | 2000-10-25 | 2002-08-27 | International Business Machines Corporation | Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device containing the same |
US6790789B2 (en) * | 2000-10-25 | 2004-09-14 | International Business Machines Corporation | Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device made |
US6756323B2 (en) * | 2001-01-25 | 2004-06-29 | International Business Machines Corporation | Method for fabricating an ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device |
US20030087043A1 (en) | 2001-11-08 | 2003-05-08 | International Business Machines Corporation | Low k dielectric film deposition process |
US6770570B2 (en) * | 2002-11-15 | 2004-08-03 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of forming a semiconductor device with a substantially uniform density low-k dielectric layer |
US7250370B2 (en) * | 2003-09-19 | 2007-07-31 | Taiwan Semiconductor Manufacturing Company, Ltd. | Two step post-deposition treatment of ILD layer for a lower dielectric constant and improved mechanical properties |
US20050140029A1 (en) * | 2003-12-31 | 2005-06-30 | Lih-Ping Li | Heterogeneous low k dielectric |
US7030468B2 (en) * | 2004-01-16 | 2006-04-18 | International Business Machines Corporation | Low k and ultra low k SiCOH dielectric films and methods to form the same |
US7009280B2 (en) | 2004-04-28 | 2006-03-07 | International Business Machines Corporation | Low-k interlevel dielectric layer (ILD) |
US7223691B2 (en) * | 2004-10-14 | 2007-05-29 | International Business Machines Corporation | Method of forming low resistance and reliable via in inter-level dielectric interconnect |
US7357977B2 (en) * | 2005-01-13 | 2008-04-15 | International Business Machines Corporation | Ultralow dielectric constant layer with controlled biaxial stress |
US7202564B2 (en) * | 2005-02-16 | 2007-04-10 | International Business Machines Corporation | Advanced low dielectric constant organosilicon plasma chemical vapor deposition films |
US7253105B2 (en) * | 2005-02-22 | 2007-08-07 | International Business Machines Corporation | Reliable BEOL integration process with direct CMP of porous SiCOH dielectric |
-
2005
- 2005-02-16 US US10/906,370 patent/US7202564B2/en active Active
-
2006
- 2006-02-13 TW TW095104778A patent/TWI346982B/zh active
- 2006-02-14 KR KR1020077018293A patent/KR100998809B1/ko not_active IP Right Cessation
- 2006-02-14 EP EP06735050A patent/EP1849183A4/en not_active Withdrawn
- 2006-02-14 WO PCT/US2006/005204 patent/WO2006088881A2/en active Application Filing
- 2006-02-14 JP JP2007556243A patent/JP5466365B2/ja active Active
- 2006-02-14 CN CNB2006800045684A patent/CN100533681C/zh active Active
-
2007
- 2007-02-05 US US11/671,022 patent/US7494938B2/en active Active
Patent Citations (7)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH09260369A (ja) * | 1996-03-25 | 1997-10-03 | Toshiba Corp | 絶縁膜の形成方法 |
JP2001203200A (ja) * | 2000-01-18 | 2001-07-27 | Hitachi Ltd | 半導体装置及びその製造方法 |
JP2004515057A (ja) * | 2000-10-25 | 2004-05-20 | インターナショナル・ビジネス・マシーンズ・コーポレーション | 半導体素子のレベル内またはレベル間誘電体としての超低誘電率材料、その製造方法、およびそれを含む電子デバイス |
WO2003095702A2 (en) * | 2002-05-08 | 2003-11-20 | Applied Materials, Inc. | Method for curing low dielectric constant film by electron beam |
JP2004134738A (ja) * | 2002-05-30 | 2004-04-30 | Symyx Technologies Inc | 低誘電率材料及びその製造方法 |
WO2004001815A1 (en) * | 2002-06-19 | 2003-12-31 | International Business Machines Corporation | An ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device |
JP2004190033A (ja) * | 2002-12-12 | 2004-07-08 | Air Products & Chemicals Inc | 低誘電率材料及びcvdによる処理方法 |
Cited By (9)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2008251774A (ja) * | 2007-03-30 | 2008-10-16 | Mitsui Chemicals Inc | 多孔質シリカフィルムの製造方法 |
WO2011043337A1 (ja) * | 2009-10-05 | 2011-04-14 | 国立大学法人東北大学 | 低誘電率絶縁膜およびその形成方法 |
JP2011082274A (ja) * | 2009-10-05 | 2011-04-21 | Tohoku Univ | 低誘電率絶縁膜 |
US8828886B2 (en) | 2009-10-05 | 2014-09-09 | Tohoku University | Low dielectric constant insulating film and method for forming the same |
JP2011091161A (ja) * | 2009-10-21 | 2011-05-06 | Tohoku Univ | 低誘電率絶縁膜の形成方法 |
JP2015111712A (ja) * | 2009-11-11 | 2015-06-18 | 日本電気株式会社 | 抵抗変化素子、半導体装置、および抵抗変化素子の形成方法 |
US9231207B2 (en) | 2009-11-11 | 2016-01-05 | Nec Corporation | Method for forming resistance changing element capable of operating at low voltage |
JP2013520792A (ja) * | 2010-02-23 | 2013-06-06 | アプライド マテリアルズ インコーポレイテッド | 低誘電率誘電体を含む超小型電子構造およびその構造内の炭素分配を制御する方法 |
US8736051B2 (en) | 2012-03-23 | 2014-05-27 | Renesas Electronics Corporation | Semiconductor device and manufacturing method thereof |
Also Published As
Publication number | Publication date |
---|---|
WO2006088881A2 (en) | 2006-08-24 |
US20070128882A1 (en) | 2007-06-07 |
US20060183345A1 (en) | 2006-08-17 |
TWI346982B (en) | 2011-08-11 |
KR100998809B1 (ko) | 2010-12-06 |
JP5466365B2 (ja) | 2014-04-09 |
US7202564B2 (en) | 2007-04-10 |
EP1849183A2 (en) | 2007-10-31 |
CN100533681C (zh) | 2009-08-26 |
KR20070104591A (ko) | 2007-10-26 |
TW200633060A (en) | 2006-09-16 |
WO2006088881A3 (en) | 2007-01-18 |
EP1849183A4 (en) | 2010-09-01 |
US7494938B2 (en) | 2009-02-24 |
CN101124664A (zh) | 2008-02-13 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP5466365B2 (ja) | 基板上にSiCOH誘電体を形成する方法 | |
US7030468B2 (en) | Low k and ultra low k SiCOH dielectric films and methods to form the same | |
JP5398258B2 (ja) | 誘電体スタック及びそれを備える相互接続構造体 | |
US7491658B2 (en) | Ultra low k plasma enhanced chemical vapor deposition processes using a single bifunctional precursor containing both a SiCOH matrix functionality and organic porogen functionality | |
JP5102618B2 (ja) | SiCOH誘電体膜を形成する方法 | |
JP5065054B2 (ja) | 制御された二軸応力を有する超低誘電率膜および該作製方法 | |
JP2008527757A5 (ja) |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20081212 |
|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20081212 |
|
A977 | Report on retrieval |
Free format text: JAPANESE INTERMEDIATE CODE: A971007 Effective date: 20100611 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20110215 |
|
RD12 | Notification of acceptance of power of sub attorney |
Free format text: JAPANESE INTERMEDIATE CODE: A7432 Effective date: 20110301 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A821 Effective date: 20110301 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20110425 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20120207 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20120427 |
|
RD01 | Notification of change of attorney |
Free format text: JAPANESE INTERMEDIATE CODE: A7426 Effective date: 20120928 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20121023 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20130226 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20130520 |
|
TRDD | Decision of grant or rejection written | ||
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20140106 |
|
RD14 | Notification of resignation of power of sub attorney |
Free format text: JAPANESE INTERMEDIATE CODE: A7434 Effective date: 20140106 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20140124 |
|
R150 | Certificate of patent or registration of utility model |
Ref document number: 5466365 Country of ref document: JP Free format text: JAPANESE INTERMEDIATE CODE: R150 Free format text: JAPANESE INTERMEDIATE CODE: R150 |