JP2008530821A - 高度な低誘電率の有機シリコン・プラズマ化学気相堆積膜 - Google Patents

高度な低誘電率の有機シリコン・プラズマ化学気相堆積膜 Download PDF

Info

Publication number
JP2008530821A
JP2008530821A JP2007556243A JP2007556243A JP2008530821A JP 2008530821 A JP2008530821 A JP 2008530821A JP 2007556243 A JP2007556243 A JP 2007556243A JP 2007556243 A JP2007556243 A JP 2007556243A JP 2008530821 A JP2008530821 A JP 2008530821A
Authority
JP
Japan
Prior art keywords
peak area
dielectric
sicoh
dielectric material
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2007556243A
Other languages
English (en)
Other versions
JP2008530821A5 (ja
JP5466365B2 (ja
Inventor
グエン、ソン、ヴイ
レーン、サラ、エル
リー、チャ
イダ、ケンサク
レスタイノ、ダリル、ディー
ノガミ、タケシ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2008530821A publication Critical patent/JP2008530821A/ja
Publication of JP2008530821A5 publication Critical patent/JP2008530821A5/ja
Application granted granted Critical
Publication of JP5466365B2 publication Critical patent/JP5466365B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02351Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to corpuscular radiation, e.g. exposure to electrons, alpha-particles, protons or ions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02354Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light using a coherent radiation, e.g. a laser
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1042Formation and after-treatment of dielectrics the dielectric comprising air gaps
    • H01L2221/1047Formation and after-treatment of dielectrics the dielectric comprising air gaps the air gaps being formed by pores in the dielectric

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Optics & Photonics (AREA)
  • Materials Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Organic Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Carbon And Carbon Compounds (AREA)

Abstract

【課題】 良好な電子特性及び機械的特性を示す、多孔質の低k又は超低k誘電体膜を提供すること。
【解決手段】 約3.0未満の誘電率と、より度合いが大きい結晶結合相互作用と、従来技術のSiCOH誘電体と比べて、より多くのメチル末端基などの炭素と、より少ないメチレン、−CH−架橋基とを有する、共有結合三次元ネットワーク構造において、Si、C、O及びHの原子(以下、「SiCOH」)を含む多孔質低k又は超低k誘電体膜が提供される。SiCOH誘電体は、約1.40未満のCH+CH伸縮についてのピーク面積と、約0.20未満のSiH伸縮についてのピーク面積と、約2.0より大きいSiCH結合についてのピーク面積と、約60より大きいSi−O−Si結合についてのピーク面積とを含むFTIRスペクトルと、約20%より大きい多孔度とを有するものとして特徴付けられる。
【選択図】 図8

Description

本発明は、多孔質であり、かつ、約3.0未満のオーダーの誘電率(k)を有する、Si、C、O及びHの原子を含む誘電体材料(以下、「SiCOH」誘電体材料)、並びに、これらの材料から作製された膜、及びこうした膜を含む電子デバイスを製造する方法に関する。本発明のSiCOH誘電体材料は、従来技術のSiCOH誘電体材料と比べて高い多孔度と、改善された機械的特性とをもたらす度合いの大きい結晶結合相互作用とを有する。本発明はまた、本発明の材料を製造するための非平衡法、並びに、超大規模集積(ULSI)回路及び関連する電子構造体の後工程(back-end-of line、BEOL)相互接続構造体において、この誘電体材料を、層内(intralevel)又は層間(interlevel)誘電体膜、誘電体キャップ、或いはハード・マスク/研磨停止として使用することに関する。
近年、ULSI(ultra large scale integration:超々大規模集積)回路において用いられる電子デバイスの寸法が縮小し続けていることの結果として、BEOLメタライゼーションの抵抗、並びに、層内及び層間誘電体の静電容量が増大した。この影響が組み合わされることで、ULSI電子デバイスにおける信号の遅延が増大する。今後のULSI回路のスイッチング性能を改善するため、低誘電率(k)の絶縁体、及び、特に酸化シリコンのものより著しく低いkを有する絶縁体が、静電容量を減少させるために必要とされている。
超大規模集積回路(VLSI)チップ及びULSIチップの製造ステップの大部分は、プラズマ強化化学気相堆積技法又は物理気相堆積技法によって行われる。したがって、既に設置されており利用可能な処理装置を用いて、プラズマ強化化学気相堆積(PECVD)技法によって低k材料を製造することができると、製造プロセスにおけるその集積が簡略化され、製造コストが削減し、有害な廃棄物の生成が抑えられる。これらの特許の全体が引用により本明細書に組み込まれる、特許文献1及び特許文献2は、誘電率が3.6以下であるSi、C、O及びH原子の元素からなり、非常に小さいクラック伝播速度を示す低誘電率材料について記載している。
これらの特許の全体が引用により本明細書に組み込まれる、特許文献3、特許文献4及び特許文献5は、Si、C、O及びH原子の元素から構成されたマトリクス(matrix)からなり、1つの相(phase)は、主にCとHから構成され、3.2以下の誘電率を有する、多相低k誘電体材料について記載する。
当技術分野において、2.7未満(好ましくは、2.3未満)の誘電率を有する超低k誘電体材料も周知である。低k及び超低kSiCOH膜は、非多孔質とすることも、又は多孔質とすることもできる。多孔質膜は、典型的には、膜の堆積の際にポロゲンを導入することによって形成され、ポロゲンは、その後、堆積後に通常の硬化プロセスを用いて除去される。一般に、多孔質SiCOH膜は、対応する非多孔質SiCOH膜と比べると、低い誘電率を有する。
従来技術の低k及び超低kSiCOH膜に関連した重要な問題は、これらの機械的特性(例えば、高いクラック速度及び応力、並びに低い弾性率(モジュラス)及び硬度といった)が不十分なものであることである。一般に、従来技術のSiCOH誘電体の機械的特性は、材料の誘電率が減少するにつれて低下する。したがって、多孔質SiCOH誘電体は、対応する非多孔質SiCOH誘電体と比べると、低い機械的特性を有する傾向がある。
当技術分野において、堆積後にSiCOH膜を処理することによって、低k又は超低kSiCOH誘電体の改善された機械的特性を達成することができる。例えば、低k又は超低k誘電体材料を安定化させ、その機械的特性を改善させるために、熱、UV光、電子ビーム照射、化学エネルギー、又はこれらのエネルギー源の組み合わせを用いる硬化又は処理が使用された。このような堆積後の処理は可能であるが、それらは、低k又は超低k誘電体膜の製造に、余分な処理ステップ、よって、コストを付加することになる。
米国特許第6,147,009号明細書 米国特許第6,497,963号明細書 米国特許第6,312,793号明細書 米国特許第6,441,491号明細書 米国特許第6,479,110 B2号明細書
上記を鑑みて、後の膜処理を必要とすることなく、又は堆積プロセスの際に多孔化剤(ポロゲン)を導入する必要なく、良好な電子特性及び機械的特性を示す、多孔質の低k又は超低k誘電体膜を提供する必要性がある。
本発明は、共有結合三次元ネットワーク構造(tri-dimensionalnetwork structure)においてSi、C、及びH(以下、「SiCOH」)の原子を含み、約3.0未満の誘電率、より度合いの大きい結晶結合相互作用、並びに、従来技術のSiCOH誘電体と比べて、メチル終端基のようなより多くの炭素及びより少ないメチレン、−CH−架橋基を有する、多孔質の低k又は超低k誘電体膜を提供するものである。「三次元ネットワーク構造」という用語は、本発明の全体を通して、x、y及びz方向に相互接続され、相互に関係付けられる、Si、C、O及びHの原子を含むSiCOH誘電体材料を示すように用いられる。「より度合いが大きい結晶相互作用」という語句は、Si、C、O及びHの間により多くの局所的結合(local bonding)を有するSiCOH膜を示すように用いられる。このことは、結合結晶性の度合いがより小さい標準的な膜と比べて、対応する結合ピークが、より狭い結合ピーク幅で鋭くなるFTIR及びNMR結合スペクトルにおいて見ることができた。
より度合いが大きい結晶結合相互作用により、従来技術のSiCOH誘電体と比べて、改善された機械的強度を有する本発明のSiCOH誘電体材料が提供されると考えられる。本発明の膜内に存在する減少した量のメチレン架橋基は、従来技術のSiCOH対応物と比べて多孔度が高く、分極基が増加した膜を提供する。
大まかに言うと、本発明は、Si、C、O及びHの原子を含み、三次元ネットワーク構造を有する誘電体材料を提供するものであり、該材料は、約1.40未満のCH+CH伸縮についてのピーク面積、約2.0未満のSiH伸縮についてのピーク面積、約2.0より大きいSiCH結合についてのピーク面積、及び約60より大きいSi−O−Siの結合についてのピーク面積を含むFTIRスペクトルと、約20%より大きい、部分メソ細孔体積の分布で表した、多孔度とを有する。述べられた各ピーク面積は、誘電体材料の所定の厚さに対して正規化される。
上述の値は、何らかの後処理ステップなしで予め形成された、堆積されたままのSiCOH誘電体についてのものである。UV処理のような後処理は、Si−H及びSi−CH−Si架橋結合を減少させ、Si−O−Si結合を増加させることが見出された。後処理プロセスは、本発明のSiCOH誘電体膜の機械的特性及び電気特性をさらに改善することが可能である。
本発明はまた、電子構造体にも関し、電子構造体において、本発明のSiCOH誘電体材料を、層間又は層内誘電体、キャッピング層、及び/又はハード・マスク/研磨停止層として用いることができる。
具体的には、本発明の電子構造体は、第1の絶縁材料層に埋め込まれた第1の金属領域と、第2の絶縁材料層に埋め込まれた第1の導体領域であって、第2の絶縁材料層が第1の絶縁材料層と緊密に接触し、第1の導体領域は第1の金属領域と電気的に連絡する第1の導体領域と、第1の導体領域と電気的に連絡し、第3の絶縁材料層内に埋め込まれた第2の導体領域であって、第3の絶縁材料層は第2の絶縁材料層と密接に接触している第2の導体領域とを有する、前処理された半導体基板を含む。
上記の構造体においては、絶縁層の各々は、本発明の低k又は超低kSiCOH誘電体材料を含むことができる。
電子構造体は、第1の絶縁材料層と第2の絶縁材料層との間に配置された誘電体キャップ層をさらに含むことができ、第2の絶縁材料層と第3の絶縁材料層との間に配置された誘電体キャップ層をさらに含むことができる。電子構造体は、第2の絶縁材料層と第3の絶縁材料層との間の第1の誘電体キャップ層と、第3の絶縁材料層の上の第2の誘電体キャップ層とをさらに含むことができる。
幾つかの実施形態において、誘電体キャップ自体が、本発明の低k又は超低kSiCOH誘電体材料を含むことができる。
電子構造体は、第2の絶縁材料層及び第3の絶縁材料層のうちの少なくとも一方の上に堆積された誘電体材料の拡散障壁層をさらに含むことができる。電子構造体は、RIEハード・マスク/研磨停止層として用いるための、第2の絶縁材料層の上の誘電体層と、誘電体RIEハード・マスク/研磨停止層の上の誘電体拡散障壁層とをさらに含むことができる。電子構造体は、第2の絶縁材料層の上の第1の誘電体RIEハード・マスク/研磨停止層と、第1の誘電体研磨停止層の上の第1の誘電体RIE拡散障壁層と、第3の絶縁材料層の上の第2の誘電体RIEハード・マスク/研磨停止層と、第2の誘電体研磨停止層の上の第2の誘電体拡散障壁層とをさらに含むことができる。誘電体RIEハード・マスク/研磨停止層もまた、本発明のSiCOH誘電体材料から構成されてもよい。
本発明は、本発明のSiCOH材料を製造する方法にも関する。具体的には、大まかに言うと、本発明の方法は、リアクタ内に基板を配置するステップと、Si、C、O及びHの原子を含む第1の前駆体と、酸化剤と、不活性キャリアとをリアクタ内に導入するステップと、Si、C、O及びHの原子を含み、三次元ネットワーク構造を有するSiCOH誘電体膜を基板上に堆積させるステップであって、該材料は、約1.40未満のCH+CH伸縮についてのピーク面積、約0.20未満のSiH伸縮についてのピーク面積、約2.0より大きいSiCH結合についてのピーク面積、及び約60より大きいSi−O−Si結合についてのピーク面積を含むFTIRスペクトルと、約20%より大きい多孔度とを有する、ステップとを含む。
堆積ステップの際に、低い高周波(HF)/低周波(LF)電力(約150ワット以下のオーダー)を用いて、イオン衝撃を減少させる。低いHF/LF電力を用いることによって、より多くの第1の前駆体の二量体種、三量体種、及び/又は四量体種が、プラズマ内に存在する。さらに、本発明の堆積ステップは、より大きい酸化剤/第1の前駆体の比を用いて実行される。一般的に、この比率は、約1:2又はそれより大きい。より大きい酸化剤/第1の前駆体の比により、より大量の大きい反応種がもたらされるが、架橋結合は減少される。具体的には、本発明の堆積ステップは、非平衡プラズマCVD領域において実行され、放出において、より大きい多重結合分子量の反応種(例えば、元の有機シリコン前駆体の二量体、三量体、四量体断片)が形成され、多孔質膜の一部として堆積される。
良好な電子特性及び機械的特性を有する低k又は超低kSiCOH誘電体膜を提供する本発明が、ここでより詳細に説明される。本発明のSiCOH膜、及びこれを含むことができる幾つかの電子構造体を形成するプロセスを示すために用いられる図面は、縮尺に合わせて描かれていない。
最初に、基板10の表面上に本発明のSiCOH誘電体膜12を形成した後に提供される構造体を示す図1を参照する。基板10に関連して用いられたときの「基板」という用語は、半導体材料、絶縁材料、導電性材料、又は多層構造を含むこれらのいずれかの組み合わせを含む。したがって、例えば、基板10は、Si、SiGe、SiGeC、SiC、GaAs、InAs、InP及び他のIII/V族又はII/VI族化合物半導体のような半導体材料とすることができる。半導体基板10はまた、例えば、Si/SiGe、Si/SiC、シリコン・オン・インシュレータ(silicon-on-insulator、SOI)、又はシリコン・ゲルマニウム・オン・インシュレータ(silicon germanium-on-insulator、SGOI)のような層状基板を含むこともできる。
基板10が絶縁材料である場合、絶縁材料は、有機絶縁体、無機絶縁体、又は多層構造を含むそれらの組み合わせとすることができる。基板10が導電性材料である場合、基板10は、例えば、ポリSi、元素状金属、元素状金属の合金、金属シリサイド、金属窒化物及び多層構造を含むこれらの組み合わせを含むことができる。
幾つかの実施形態において、基板10は、半導体材料と絶縁材料の組み合わせ、半導体材料と導電性材料の組み合わせ、又は半導体材料と絶縁材料と導電性材料の組み合わせを含む。
基板10が半導体材料を含む場合、相補的金属酸化物半導体(CMOS)デバイスのような1つ又は複数の半導体デバイスを上に製造することができる。明確にするために、本出願の図面において、1つ又は複数の半導体デバイスは示されていない。
本発明の誘電体膜12は、Cドープ酸化物(CDO)又は有機シリケート・ガラス(OSG)とも呼ばれる、Si、C、O、及びH(SiCOH)の原子を含む多孔度誘電体材料を含む。堆積されたSiCOH誘電体膜12の厚さは、異なる場合があり、堆積されたSiCOH誘電体膜12についての典型的な範囲は、約50nmから約1μmまでであり、100nmから500nmまでの厚さがより典型的である。本発明の誘電体膜の誘電率は、約3.0未満であり、約2.2から約2.8までの誘電率がより典型的である。約2.7未満の誘電率を有する誘電体が、本明細書において超低k誘電体とみなされる。
本発明のSiCOH誘電体12は、Si、C、O、及びHが、x、y及びz方向に相互接続されて相互に関係付けられた、三次元ネットワーク構造を有する。本発明のSiCOH誘電体は、約1.40未満のCH+CH伸縮についてのピーク面積、約0.20未満のSiH伸縮についてのピーク面積、約2.0より大きいSiCH結合についてのピーク面積、及び約60より大きいSi−O−Si結合についてのピーク面積を含むFTIRスペクトルと、部分メソ細孔体積の分布で表した、約20%より大きい多孔度とを有するものとして特徴付けられる。述べられた各ピーク面積は、誘電体材料の所定の厚さに対して正規化される。
典型的には、本発明のSiCOH誘電体12は、約2830cm−1から約3050cm−1のCH+CH伸縮についてのピーク面積と、約2170cm−1から約2240cm−1のSiH伸縮についてのピーク面積と、約1250cm−1から約1290cm−1及び約750cm−1から約860cm−1のSiCH結合についてのピーク面積と、約960cm−1から約1220cm−1のSi−O−Si結合についてのピーク面積とを有するFTIRスペクトルを有する。幾つかの実施形態において、本発明のSiCOH誘電体12は、約1.35のCH+CH伸縮についてのピーク面積と、約0.13のSiH伸縮についてのピーク面積と、約2.18のSiCH結合についてのピーク面積と、約62.9のSi−O−Si結合についてのピーク面積とを備えたFTIRスペクトルを有するものとして特徴付けられる。
SiCOH誘電体膜12は、典型的には、約5原子百分率から約40原子百分率までの間のSiと、約5原子百分率から約45原子百分率までの間のCと、0原子百分率から約50原子百分率までの間のOと、約10原子百分率から約55原子百分率までの間のHとを有する。
本発明のSiCOH誘電体膜12は、低いHF/LF電力比を用いて、堆積されたままの膜上のイオン衝撃を減少させるプロセスを用いて作製される。これにより、プラズマ中に、より多くの第1の前駆体の二量体反応種、三量体反応種、及び/又は四量体反応種が生成される。このことは、度合いが大きい結晶性及び改善された機械的特性を有する本発明のSiCOH誘電体膜を提供する。さらに、膜内の架橋を減少させるのに役立つより大きい反応種を提供するように、酸化剤と第1の前駆体の比が選択される。
本発明のSiCOH誘電体膜12は、従来技術のSiCOH誘電体膜と比べて、多孔度が高い。具体的には、本発明のSiCOH誘電体膜12は、約20%以上のオーダーの測定された多孔度を有し、約22%から約25%までの測定された多孔度がより典型的である。本発明の膜12の多孔度は、多孔度が典型的には約15%未満のオーダーである従来技術のSiCOH誘電体の多孔度より高い。
堆積されたままのSiCOH誘電体膜12の機械的特性は、約6GPaから約12GPaまでの範囲のモジュラス値と、約0.8GPaから約1.6GPaまでの範囲の硬度と、約35MPaから約70MPaまでの範囲の応力と、約2.5J/mから約6J/mまでの結合力(cohesive strength)と、約1×10−12m/秒から約4×10−10m/秒までの、1.2μmにおけるクラック速度と、約4×1022原子/cmから約9×1022原子/cmまでの、RBSによって測定される密度とを含む。より典型的には、堆積されたままのSiCOH誘電体膜12の機械的特性は、約7GPaから約10GPaまでの範囲のモジュラス値と、約0.9GPaから約1.2GPaまでの範囲の硬度と、約40MPaから約60MPaまでの範囲の応力と、約3.5J/mから約4.5J/mまでの結合力と、約5×10−11m/秒から約1.5×−10m/秒までの、1.2μmにおけるクラック速度と、約5×1022原子/cmから約7×1022原子/cmまでの、RBSによって測定される密度とを含む。
本発明のSiCOH膜12の電子特性は、約7MV/cmより大きい破壊電圧と、2MV/cm以上の印加電圧における約1×10−9Amp/cmの漏れ密度とを含む。より典型的には、本発明のSiCOH膜12は、約8MV/cmより大きい破壊電圧と、2MV/cm以上の印加電圧における約1×10−9Amp/cmの漏れ密度を有する。
SiCOH誘電体膜12は、プラズマ強化化学気相堆積(PECVD)を用いて、基板10をリアクタチャンバ内に配置することによって堆積される。具体的には、SiCOH誘電体膜12は、少なくともSi、C、O及びH原子を含む第1の前駆体(precursor)(液体、気体、又は蒸気)と、酸化剤と、He又はArのような不活性キャリアとを準備し、それらを、好ましくはPECVDリアクタであるリアクタ内に導入し、次に、本発明のSiCOH誘電体材料を形成するのに有効な条件を用いて、前述の第1の前駆体から得られた膜を適切な基板上に堆積させることによって形成される。
第1の前駆体は、1,3,5,7−テトラメチルシクロテトラシロキサン(「TMCTS」又は「C16Si」)、オクタメチルシクロテトラシロキサン(OMCTS)、ジエトキシメチルシラン(DEMS)、ジメチルジメトキシシラン(DMDMOS)、ジエチルメトキシシラン(DEDMOS)、並びに関連する環状及び非環状シラン、シロキサンなどのようなSiCOH成分を含む環構造をもつ有機分子から選択される。
本発明に用いることができる酸化剤の例は、O、CO、NO、又はこれらの組み合わせを含む。リアクタ内の反応物を安定化させるために、酸化剤が用いられ、このことは、基板10上に堆積されたSiCOH誘電体膜12の均一性の改善に役立つ。不活性キャリアは、Ar、He、Ne、Xe、又はこれらの混合物を含み、Heが最も典型的である。
本発明の好ましい実施形態において、SiCOH誘電体膜12は、約85cmから約750cmまでの間の基板チャックの導電性領域と、基板と上部電極との間の約1cmから約12cmまでの間のギャップ、すなわち間隔とを有する、平行板リアクタを準備するステップを含む方法を用いて堆積させることができる。リアクタはまた、別の電極を含むこともできる。高周波RF電力が、電極の1つに印加され、典型的には、付加的な低周波電力が、リアクタ内の別の電極に印加される。
堆積ステップに用いられる条件は、SiCOH誘電体膜の所望の最終誘電率に応じて変わり得る。大まかに言うと、Si、C、O及びH元素を含み、約3.0未満の誘電率を有する安定した誘電体材料を提供するために用いられる条件は、基板温度を約250℃から約450℃までの間に設定すること、高周波RF電力密度を約0.20W/cmから約0.85W/cmまでの間に設定すること、第1の前駆体の流量を約1800mg/分から約3600mg/分までの間に設定すること、酸化剤の流量を約120sccmから約320sccmまでの間に設定すること、ヘリウム(及び/又は、アルゴン)のような不活性キャリア・ガスの流量を約500sccmから約2000sccmまでの間に設定すること、リアクタの圧力を約4Torrから約8Torrまでの間の圧力に設定すること、高周波RF電力を約150Wから約800Wまでの間に設定すること、及び低周波電力を約0Wから約200Wまでの間に設定することを含む。
より好ましくは、Si、C、O及びH元素を含み、約3.0未満の誘電率を有する安定した誘電体材料を提供するために用いられる条件は、基板温度を約300℃から約400℃までの間に設定すること、高周波RF電力密度を約0.4W/cmから約0.6W/cmまでの間に設定すること、第1の前駆体の流量を約2500mg/分から約3000mg/分までの間に設定すること、酸化剤の流量を約200sccmから約250sccmまでの間に設定すること、ヘリウム(及び/又は、アルゴン)のような不活性キャリア・ガスの流量を約800sccmから約1200sccmまでの間に設定すること、リアクタの圧力を約5Torrから約6Torrまでの間の圧力に設定すること、高周波RF電力を約300Wから約500Wまでの間に設定すること、及び低周波電力を約40Wから約80Wまでの間に設定することを含む。基板チャックの導電性領域がX倍に変化するとき、基板チャックに加えられるRF電力もX倍で変化する。
堆積後、SiCOH誘電体材料12は、随意的に、熱、電子ビーム、プラズマ、マイクロ波、或いはUV又はレーザなどの光放射のようなエネルギー源を用いて処理することができる。前述のエネルギー源の組み合わせを本発明に用いることもできる。
熱エネルギー源としては、例えば、堆積されたSiCOH誘電体膜12を450℃までの温度に加熱することができる、発熱体又はランプのようないずれかの源を含む。熱エネルギー源は、SiCOH誘電体12を約200℃から約450℃までの温度に加熱できることがより好ましく、約350℃から約425℃までの温度がさらにより好ましい。この熱処理プロセスは、様々な時間で実行することができるが、約0.5分から約300分までの時間が典型的である。熱処理ステップは、典型的には、He、Ar、Ne、Xe、N、又はこれらの混合物のような不活性ガスの存在下で実行される。熱処理ステップは、アニール・ステップと呼ぶことができ、このステップでは、急速熱アニール、炉アニール、レーザ・アニール、又はスパイク・アニール条件が用いられる。
幾つかの実施形態において、熱処理ステップは、例えば、H又は炭化水素のような水素源ガスを含むガス混合物の存在下で実行することができる。さらに他の実施形態においては、熱処理ステップは、100万当たり1000部より少ない範囲の、非常に低い分圧のO及びHOを含むガス混合物の存在下で実行することができる。
UV光処理ステップは、基板を照射するために約500nmから約150nmまでの波長を有する光を生成できる源を用いて実行され、このとき、ウェハ温度は450℃までに維持され、200℃から450℃までの温度が好ましく、350℃から425℃までの温度がさらにより好ましい。重要な結合を解離させ又は活性化させるには>370nmの放射エネルギーは不十分であり、そのため、好ましい波長範囲は、150nm〜370nmである。文献データ及び堆積されたままの膜で測定された吸光度スペクトルを使用して、SiCOH膜の劣化のために、<170nmの放射は好都合でない可能性があることが見出された。さらに、エネルギー範囲310nm〜370nmは、その光子当たりのエネルギーが相対的に低いため、範囲150nm〜310nmと比べるとあまり有効ではない。150nm〜310nm範囲では、堆積されたままの膜の吸光度スペクトルとの(疎水性などの)膜特性の最小劣化の最適なオーバーラップを随意的に使用して、SiCOH特性を変えるのに最も有効なUVスペクトル領域を選択することができる。
UV光処理ステップは、上述の分圧範囲を用いて、不活性ガス、水素源ガス、又はO及びHOのガス混合物において実行することができる。
電子ビーム処理ステップは、ウェハ面にわたって均一な電子束(electron flux)を生み出すことができる、0.5keVから25keVまでのエネルギー、及び0.1マイクロアンペア/cmから100マイクロアンペア/cmまで(好ましくは、1マイクロアンペア/cmから5マイクロアンペア/cmまで)の電流密度を有する源を用いて実行され、このときウェハ温度は、450℃までの温度に維持され、200℃から450℃までの温度が好ましく、350℃から425℃までの温度がさらにより好ましい。電子ビーム処理ステップに用いられる好ましい電子の線量は、50マイクロクーロン/cmから500マイクロクーロン/cmまでであり、100マイクロクーロン/cmから300マイクロクーロン/cmまでの範囲が好ましい。
電子ビーム処理ステップは、上述の分圧範囲を用いて、不活性ガス、水素源ガス、又はO及びHOのガス混合物において実行することができる。
プラズマ処理ステップは、原子状水素(H)及び随意的にCH3、又は他の炭化水素ラジカルを生成することができる源を用いて実行される。直接プラズマ照射よりもダウンストリーム・プラズマ源(downstream plasma source)の方が好ましい。プラズマ処理の間、ウェハ温度は、450℃までの温度に維持され、200℃から450℃までの温度が好ましく、350℃から425℃までの温度がさらに非常に好ましい。
プラズマ処理ステップは、プラズマを発生させることができるガスをリアクタ内に導入することによって実行され、その後にこのガスをプラズマに変換させる。プラズマ処理に使用できるガスは、Ar、N、He、Xe、又はKrのような不活性ガス(Heが好ましい)、水素又は関連した原子状水素源、メタン、メチルシラン、関連したCH基源、及びこれらの混合物を含む。プラズマ処理のガスの流量は、使用されているリアクタ・システムに応じて変わり得る。チャンバ圧は、0.05Torrから20Torrまでの範囲にすることができるが、圧力操作の好ましい範囲は、1Torrから10Torrまでである。プラズマ処理ステップは、典型的には、約1/2分から約10分行われるが、本発明ではより長い時間を用いることもできる。
上記のプラズマの発生には一般にRF又はマイクロ波電源が用いられる。RF電源は、高周波範囲(約100W以上のオーダー)、低周波範囲(250W未満)のいずれかで動作することができ、或いは、これらを組み合わせて用いることもできる。高周波電力密度は、0.1W/cmから2.0W/cmまでの範囲にすることができるが、好ましい動作範囲は、0.2W/cmから1.0W/cmまでである。低周波電力密度は、0.1W/cmから1.0W/cmまでの範囲にすることができるが、好ましい動作範囲は、0.2W/cmから0.5W/cmまでである。露出した誘電体表面の著しいスパッタ・エッチングを防ぐ(除去を<5ナノメートルにする)ため、選択される電力レベルは十分に低くなければならない。
上記に加えて、深紫外線(DUV)レーザ源を用いることもできる。堆積されたSiCOH誘電体12を処理するのに用いられるレーザ源は、典型的には、レーザガス混合物によって幾つかのDUV波長の1つで動作するエキシマレーザである。例えば、308nmの放射を発生させるXeFレーザを用いることができる。また、本発明においては、248nmの放射を発生させるKrFレーザ又は193nmの放射を発生させるArFレーザを用いることができる。エキシマレーザは、結果として数百ワット(W)の出力をもたらすジュール(J)に至るパルス・エネルギーにより毎秒数百パルスで動作することができる。
堆積されたままのSiCOH誘電体12を処理するのに用いられるレーザは、パルスモード下で動作することが好ましい。レーザビームを拡げて、サンプル全体を照射することができる。代替的に、より大きなサンプルについては、レーザ照射領域をサンプル全体にわたってラスタ走査し、一様な線量(ドーズ量)を与えることができる。エキシマレーザを用いる際、フルエンスがパルス当たり5mJ/cm未満に制限され、アブレーションが生じないことを保証する。エキシマレーザについては、約10nsの短いパルス幅により、20mJ/cmより高いフルエンス・レベルにおいて材料のアブレーションが生じる場合がある。典型的には、パルス毎0.1J/cm−5mJ/cmのレーザ・フルエンス・レベルが用いられる。総線量は、1ジュール/cmから10000ジュール/cmまで、好ましくは500J/cmから2000J/cmまで変わり得る。このことは、多数回のレーザ・パルス照射によって達成される。例えば、10パルス幅について1mJ/cmのフルエンスを用いて、1000J/cmの線量を得ることができる。エキシマレーザは、通常、毎秒数百パルスで動作する。要求される総線量に応じて、DUVレーザ処理のための総照射時間は、数秒から数時間に及ぶ。パルス毎3mJ/cmのフルエンス・レベルで動作する200Hzレーザを用いて、典型的な500J/cmの線量が、15分以内に達成される。
上述の処理ステップは随意的なものであり、良好な電子特性及び機械的特性を有するSiCOH誘電体膜を達成するために実行する必要はない。しかしながら、上記の処理は、誘電体膜の電気的特性及び機械的特性に著しい影響を与えることなく、本発明のSiCOH誘電体膜と共に用いることができる。
上記の処理は、約2830cm−1から約3050cm−1までのCH+CH伸縮についてのピーク面積、約2170cm−1から約2240cm−1までのSiH伸縮についてのピーク面積、約1250cm−1から約1290cm−1まで及び約750cm−1から約860cm−1までのSiCH結合についてのピーク面積、及び約960cm−1から約1220cm−1までのSi−O−Si結合についてのピーク面積を有するFTIRスペクトルを有するものとして特徴付けられるSiCOH誘電体を提供する。さらに、本発明の処理後のSiCOH誘電体は、約1.06のCH+CH伸縮についてのピーク面積、約0のSiH伸縮についてのピーク面積、約2.23のSiCH結合についてのピーク面積、及び約66.3のSi−O−Si結合についてのピーク面積を有するFTIRスペクトルを有する。本発明の処理後のSiCOH膜は、典型的には、約9GPaから約11GPaまでのモジュラスを有する。
本発明のSiCOH誘電体を含むことができる電子デバイスが、図2〜図5に示されている。図2〜図5に示されるデバイスは、本発明の例にすぎず、本発明によって無数の他のデバイスも形成できることに留意すべきである。
図2においては、シリコン基板32上に構築された電子デバイス30が示されている。シリコン基板32の上には、最初に絶縁材料層34が形成されており、その中には第1の金属領域36が埋め込まれている。第1の金属領域36にCMPプロセスを実施した後、第1の絶縁材料層34及び第1の金属領域36の上に、本発明のSiCOH誘電体膜38が堆積される。第1の絶縁材料層34は、酸化シリコン、窒化シリコン、これらの材料のドープされたもの、又はいずれかの他の適切な絶縁材料から適切に形成することができる。次に、SiCOH誘電体膜38をフォトリソグラフィ・プロセスでパターン形成し、続いてこれをエッチングし、その上に導体層40を堆積させる。第1の導体層40にCMPプロセスを実施した後、第1のSiCOH誘電体膜38及び第1の導体層40の上に、プラズマ強化化学気相堆積プロセスによって、第2の本発明のSiCOH膜層44が堆積される。導体層40は、金属材料又は非金属の導電性材料の堆積物とすることができる。例えば、アルミニウム又は銅の金属材料、或いは窒化物又はポリシリコンの非金属材料を堆積させることができる。第1の導体40は、第1の金属領域36と電気的に連絡している。
次に、本発明のSiCOH誘電体膜44にフォトリソグラフィ・プロセスを実施した後に、この膜をエッチングし、次いで第2の導体性材料の堆積プロセスを実施することによって、第2の導体領域50が形成される。第2の導体領域50はまた、第1の導体層40の堆積に使用されるものと同様の金属材料又は非金属材料の堆積物とすることができる。第2の導体領域50は、第1の導体領域40と電気的に連絡しており、第2の本発明のSiCOH誘電体膜層44に埋め込まれている。第2の本発明のSiCOH誘電体膜層は、本発明の第1のSiCOH誘電体材料層38と緊密に接触している。この例においては、第1の本発明のSiCOH誘電体膜層38は層内誘電体材料であり、第2の本発明のSiCOH誘電体膜層44は、層内及び層間誘電体の両方である。
図3は、本発明の電子デバイス60を示し、このデバイスは、図2に示される電子デバイス30のものと類似しているが、第1の絶縁材料層38と第2の絶縁材料層44との間に付加的な誘電体キャップ層62が堆積されている。誘電体キャップ層62は、酸化シリコン、窒化シリコン、酸窒化シリコン、高融点金属窒化シリコン(高融点金属は、Ta、Zr、Hf、又はWである)、炭化シリコン、炭窒化シリコン(SiCN)、酸化炭化シリコン(SiCO)及びこれらの水素化化合物などの材料から適切に形成することができる。付加的な誘電体キャップ層62は、第2の絶縁材料層44又はその下位層、特に層34及び32に第1の導体層40が拡散することを防ぐための拡散障壁層の働きをする。
本発明の電子デバイス70の別の代替的な実施形態が、図4に示される。電子デバイス70においては、RIEマスク及びCMP(化学機械研磨)研磨停止層の働きをする2つの付加的な誘電体キャップ層72及び74が用いられる。第1の誘電体キャップ層72は、第1の超低k絶縁材料層38の上に堆積されており、RIEマスク及びCMP停止として使用されるので、CMP後の第1の導体層40と層72は、ほぼ同一平面上にある。第2の誘電体層74の機能は、層72と類似しているが、層74は、第2の導体層50の平坦化に用いられる。研磨停止層74は、酸化シリコン、窒化シリコン、酸窒化シリコン、高融点金属窒化シリコン(高融点金属は、Ta、Zr、Hf、又はWである)、炭化シリコン、酸化炭化シリコン(SiCO)及びこれらの水素化化合物などの適切な誘電体材料の堆積物とすることができる。層72又は74についての研磨停止層の好ましい組成は、SiCH又はSiCOHである。第2の誘電体層74は、第2のSiCOH誘電体膜44の上に同じ目的のために追加することができる。
本発明の電子デバイス80のさらに別の代替的な実施形態が、図5に示される。この代替的な実施形態においては、付加的な誘電体材料層82が堆積されており、そのため、第2の絶縁材料層44が別個の2つの層84と86に分割されている。したがって、本発明の低k材料から形成された層内及び層間誘電体層44は、ビア92と相互接続部94との間の境界で、層間誘電体層84と層内誘電体層86に分割されている。上部誘電体層74の上に、さらに付加的な拡散障壁層96が堆積されている。この代替的な実施形態の電子構造体80によって与えられる付加的な利点は、誘電体層82がRIEエッチング停止として働き、優れた相互接続部の深さ制御が得られることである。したがって、層82の組成は、層86が選択的にエッチングされるように選択される。
さらに別の代替的な実施形態は、前処理された半導体基板を含む、配線構造体内の層内又は層間誘電体として絶縁材料層を有する電子構造体であって、第1の絶縁材料層に埋め込まれた第1の金属領域と、第2の絶縁材料層に埋め込まれた第1の導体領域であって、第2の絶縁材料層が第1の絶縁材料層と緊密に接触し、第1の導体領域が第1の金属領域と電気的に連絡する第1の導体領域と、第1の導体領域と電気的に連絡し、第3の絶縁材料層に埋め込まれた第2の導体領域であって、第3の絶縁材料層が第2の絶縁材料層と緊密に接触する第2の導体領域と、第2の絶縁材料層と第3の絶縁材料層との間にある第1の誘電体キャップ層と、第3の絶縁材料層の上の第2の誘電体キャップ層とを有し、第1及び第2の誘電体キャップ層が、Si、C、O及びHの原子を含む材料、又は好ましくは本発明のSiCOH誘電体膜から形成された、電子構造体を含むことができる。
本発明のさらに別の代替的な実施形態は、前処理された半導体基板を含む、配線構造体内の層内又は層間誘電体としての絶縁材料層を有する電子構造体であって、第1の絶縁材料層に埋め込まれた第1の金属領域と、第1の絶縁材料層と緊密に接触する第2の絶縁材料層に埋め込まれた第1の導体領域であって、第1の導体領域が第1の金属領域と電気的に連絡する第1の導体領域と、第1の導体領域と電気的に連絡し、第3の絶縁材料に埋め込まれた第2の導体領域であって、第3の絶縁材料層が第2の絶縁材料層と密接に接触する第2の導体領域と、第2の絶縁材料層と第3の絶縁材料層のうちの少なくとも一方の上に堆積された本発明の低k膜から形成された拡散障壁層とを含む、電子構造体を含む。
さらに別の代替的実施形態は、前処理された半導体基板を含む、配線構造体内の層内又は層間誘電体として絶縁材料層を有する電子構造体であって、第1の絶縁材料層に埋め込まれた第1の金属領域と、第1の絶縁材料層と緊密に接触する第2の絶縁材料層に埋め込まれた第1の導体領域であって、第1の導体領域は第1の金属領域と電気的に連絡する第1の導体領域と、第1の導体領域と電気的に連絡し、第3の絶縁材料層に埋め込まれた第2の導体領域であって、第3の絶縁材料層は第2の絶縁材料層と緊密に接触する第2の導体領域と、第2の絶縁材料層の上の反応性イオン・エッチング(RIE)ハード・マスク/研磨停止層と、RIEハード・マスク/研磨停止層の上の拡散障壁層とを有し、RIEハード・マスク/研磨停止層及び拡散障壁層は、本発明のSiCOH誘電体膜から形成される、電子構造体を含む。
さらに別の代替的実施形態は、前処理された半導体基板を含む、配線構造体内の層内又は層間誘電体として絶縁材料層を有する電子構造体であって、第1の絶縁材料層に埋め込まれた第1の金属領域と、第1の絶縁材料層と緊密に接触する第2の絶縁材料層に埋め込まれた第1の導体領域であって、第1の導体領域は第1の金属領域と電気的に連絡する第1の導体領域と、第1の導体領域と電気的に連絡し、第3の絶縁材料層に埋め込まれた第2の導体領域であって、第3の絶縁材料層は第2の絶縁材料層と緊密に接触する第2の導体領域と、第2の絶縁材料層の上の第1のRIEハード・マスク/研磨停止層と、第1のRIEハード・マスク/研磨停止層の上の第1の拡散障壁層と、第3の絶縁材料層の上の第2のRIEハード・マスク/研磨停止層と、第2のRIEハード・マスク/研磨停止層の上の第2の拡散障壁層とを有し、RIEハード・マスク/研磨停止層及び拡散障壁層が本発明のSiCOH誘電体膜から形成される、電子構造体を含む。
本発明のさらに別の代替的実施形態は、配線構造体内の層内又は層間誘電体として絶縁材料層を有する、上述したものと同様の電子構造体であって、本発明のSiCOH誘電体材料から形成された、層間誘電体層と層内誘電体層との間に位置する誘電体キャップ層をさらに含む、電子構造体を含む。
以下の実施例は、本発明のSiCOH誘電体材料を示し、従来技術のSiCOH誘電体とこれとの違いを示すために与えられる。
この例においては、本発明のSiCOH誘電体材料が準備され、従来技術のSiCOH誘電体と比較された。上述の方法を用いて、本発明のSiCOH誘電体、すなわちサンプルAが準備された。第1の前駆体はOMCTSであり、酸化剤はOであった。堆積条件は、2800mgmのOMCTS流量、220sccmのO流量、1000sccmのHeキャリア流量、350℃の基板堆積温度、5Torrの圧力、450milの間隔、400WのHF電力、及び60WのLF電力を含むものであった。
堆積後にUV処理が施されたことを除いて、上記の手順を用いて、別のサンプル、すなわちサンプルBが作製された。
前駆体としてOMCTSを用いる従来技術のSiCOH誘電体、すなわちサンプルCが準備され、次の条件、すなわち2500mgmのOMCTS流量、160sccmのO流量、1000sccmのHeキャリア流量、350℃の基板堆積温度、5Torrの圧力、450milの間隔、500WのHF電力、及び150WのLF電力が用いられた。
従来技術のSiCOH誘電体であるサンプルDが、次のように、すなわち2500mgmのOMCTS流量、160sccmのO流量、1000sccmのHeキャリア流量、350℃の基板堆積温度、5Torrの圧力、450milの間隔、500WのHF電力、及び140WのLF電力のように準備された。
サンプルA−DについてのFTIRスペクトルが、それぞれ、図6及び図7に示される。FTIRの結果は、OMCTSのサンプルA及びBが、Si−CH結合(およそ1270cm−1及びおよそ800cm−1)及びSi−O−Siネットワーク結合(およそ1030cm−1)において、より鋭いピーク半値幅で示される度合いの大きい短距離の結合相互作用を有することを示した。より鋭い結合ピークは、Si−CH及びSi−O−Siネットワークにおいてより短距離の結合規則性が高いことを示した。
FTIRデータに加えて、ポジトロニウム消滅寿命分光法(positroniumannihilation lifetime spectroscopy、PALS)を用いて、サンプルA及び従来技術のサンプルCの多孔度が測定された。多孔度の測定結果が、図8に示される。具体的には、図8は、本発明のSiCOH誘電体材料が、従来技術のSiCOH誘電体(約10%〜12%の多孔度)と比べてより高い多孔度(22%から25%までの間)を有していたことを示す。
電気測定は、100nm〜500nmの厚さの本発明の膜が、8.5MV/cmより大きい優れた破壊電圧と、2MV/cmの印加電界における5×10−8Amp/cm未満の低い漏れを有していたことを示した。
本発明を例示的に説明してきたが、使用した用語は、説明のためのものであり、限定を意図したものではないことを理解すべきである。さらに、本発明は、好ましい実施形態及び幾つかの代替的な実施形態に関して説明されたが、当業者であれば、これらの教示を本発明の他の可能な変形形態に容易に適用できることを認識すべきである。
本発明は、集積回路の製造に有用であり、特に、低誘電率の膜を有する超大規模集積回路及び関連する電子構造体、並びに、それらの製造に有用である。
基板上での本発明のSiCOH誘電体材料の形成を示す(断面図による)図形的表示である。 層内誘電体層と層間誘電体層の両方として本発明のSiCOH誘電体膜を含む本発明の電子デバイスの拡大断面図である。 本発明のSiCOH誘電体膜の上に堆積された付加的な拡散障壁誘電体キャップ層を有する、図2の電子構造体の拡大断面図である。 付加的なRIEハード・マスク/研磨停止誘電体キャップ層と、研磨停止層の上に堆積された誘電体キャップ拡散障壁層とを有する、図3の電子構造体の拡大断面図である。 本発明のSiCOH誘電体膜の上に堆積された付加的なRIEハード・マスク/研磨停止誘電体層を有する、図4の電子構造体の拡大断面図である。 本発明の堆積されたままのSiCOH誘電体A、本発明のUV処理されたSiCOH誘電体B、従来技術のSiCOH誘電体C、及び従来技術のSiCOH誘電体Dを含む、異なるSiCOH誘電体のFTIRスペクトルである。 1300cm−1から700cm−1までの間の波長範域が強調されている、図6に示されるFTIRスペクトルである。 従来技術のSiCOH誘電体Cと比較した、本発明のSiCOH誘電体Aの多孔度を示すグラフである。

Claims (30)

  1. Si、C、O及びHの原子を含み、三次元ネットワーク構造を有する誘電体材料であって、前記材料が、1.40未満のCH+CH伸縮についてのピーク面積、0.20未満のSiH伸縮についてのピーク面積、2.0より大きいSiCH結合についてのピーク面積、及び60より大きいSi−O−Si結合についてのピーク面積を含むFTIRスペクトルと、20%より大きい多孔度とを有する、誘電体材料。
  2. 前記材料は、3.0未満の誘電率を有する、請求項1に記載の誘電体材料。
  3. 前記誘電率は、2.2から2.8までの範囲内にある、請求項2に記載の誘電体材料。
  4. 前記CH+CH伸縮についてのピーク面積は、2830cm−1から3050cm−1に位置し、前記SiH伸縮についてのピーク面積は、2170cm−1から2240cm−1に位置し、前記SiCH結合についてのピーク面積は、1250cm−1から1290cm−1及び750cm−1から860cm−1に位置し、前記Si−O−Si結合についてのピーク面積は、960cm−1から1220cm−1に位置する、請求項1に記載の誘電体材料。
  5. 前記CH+CH伸縮についてのピーク面積は1.35であり、前記SiH伸縮についてのピーク面積は0.13であり、前記SiCH結合についてのピーク面積は2.18であり、前記Si−O−Si結合についてのピーク面積は62.9である、請求項1に記載の誘電体材料。
  6. 前記多孔度は、22%から25%までである、請求項1に記載の誘電体材料。
  7. 前記誘電体材料は、6GPaから12GPaまでの範囲のモジュラス値と、0.8GPaから1.6GPaまでの範囲の硬度と、35MPaから70MPaまでの範囲の応力と、2.5J/mから6J/mまでの結合力と、1×10−12m/秒から4×10−10m/秒までの1.2μmにおけるクラック速度と、4×1022原子/cmから9×1022原子/cmまでの、RBSによって測定される密度とを有する、請求項1に記載の誘電体材料。
  8. 前記材料は、7MV/cmより大きい破壊電圧と、2MV/cm以上の印加電圧における1×10−9Amp/cmの漏れ密度とを有する、請求項1に記載の誘電体材料。
  9. 前記材料を処理して、9GPaから11GPaまでのモジュラスを有する材料を提供する、請求項1に記載の誘電体材料。
  10. Si、C、O及びHの原子を含み、三次元ネットワーク構造を有する少なくとも1つの誘電体材料を含む基板上に配置された相互接続構造体であって、前記材料は、1.40未満のCH+CH伸縮についてのピーク面積、0.20未満のSiH伸縮についてのピーク面積、2.0より大きいSiCH結合についてのピーク面積及び60より大きいSi−O−Si結合についてのピーク面積を含むFTIRスペクトルと、20%より大きい多孔度とを有する、相互接続構造体。
  11. 前記材料は、3.0未満の誘電率を有する、請求項10に記載の相互接続構造体。
  12. 前記誘電率は、2.2から2.8までの範囲内にある、請求項11に記載の相互接続構造体。
  13. 前記CH+CH伸縮についてのピーク面積は、2830cm−1から3050cm−1に位置し、前記SiH伸縮についてのピーク面積は、2170cm−1から2240cm−1に位置し、前記SiCH結合についてのピーク面積は、1250cm−1から1290cm−1及び750cm−1から860cm−1に位置し、前記Si−O−Si結合についてのピーク面積は、960cm−1から1220cm−1に位置する、請求項10に記載の相互接続構造体。
  14. 前記CH+CH伸縮についてのピーク面積は1.35であり、前記SiH伸縮についてのピーク面積は0.13であり、前記SiCH結合についてのピーク面積は2.18であり、前記Si−O−Si結合についてのピーク面積は62.9である、請求項10に記載の相互接続構造体。
  15. 前記多孔度は、22%から25%までである、請求項10に記載の相互接続構造体。
  16. 前記誘電体材料は、6GPaから12GPaまでの範囲のモジュラス値と、0.8GPaから1.6GPaまでの範囲の硬度と、35MPaから70MPaまでの範囲の応力と、2.5J/mから6J/mまでの結合力と、1×10−12m/秒から4×10−10m/秒までの1.2μmにおけるクラック速度と、4×1022原子/cmから9×1022原子/cmまでの、RBSによって測定される密度とを有する、請求項10に記載の相互接続構造体。
  17. 前記材料は、7MV/cmより大きい破壊電圧と、2MV/cm以上の印加電圧における1×10−9Amp/cmの漏れ密度とを有する、請求項10に記載の相互接続構造体。
  18. 前記誘電体材料は、その中に埋め込まれた少なくとも1つの導電性領域を有する、請求項10に記載の相互接続構造体。
  19. 前記誘電体材料は、層間誘電体、層内誘電体、キャッピング層、又はハード・マスクのうちの1つである、請求項10に記載の相互接続構造体。
  20. 基板上にSiCOH誘電体を形成する方法であって、
    リアクタ内に基板を配置するステップと、
    Si、C、O及びHの原子を含む第1の前駆体と、酸化剤と、不活性キャリアとを前記リアクタ内に導入するステップと、
    を含む方法。
  21. 前記第1の前駆体は、少なくともSi、C、O及びHの原子を含む環状構造を有する有機分子を含む、請求項20に記載の方法。
  22. 前記第1の前駆体は、1,3,5,7−テトラメチルシクロテトラシロキサン(「TMCTS」又は「C16Si」)、オクタメチルシクロテトラシロキサン(OMCTS)、ジエトキシメチルシラン(DEMS)、ジメチルジメトキシシラン(DMDMOS)、ジエチルメトキシシラン(DEDMOS)、或いは、他の環状及び非環状シラン又はシロキサンのうちの1つを含む、請求項21に記載の方法。
  23. 前記酸化剤は、O、CO、又はNOのうちの少なくとも1つを含む、請求項20に記載の方法。
  24. 前記不活性キャリアは、Ar、He、Ne、Xe、又はこれらの混合物を含む、請求項20に記載の方法。
  25. 前記第1の前駆体はOMCTSであり、前記酸化剤はOであり、前記不活性キャリアはHeである、請求項20に記載の方法。
  26. 前記堆積ステップは、150ワット以下のオーダーの低い高周波(HF)/低周波(LF)電力を用いて実行される、請求項20に記載の方法。
  27. 前記堆積ステップは、1:2又はそれより大きい酸化剤/第1の前駆体モル比を用いて実行される、請求項20に記載の方法。
  28. 前記堆積ステップは、基板温度を250℃から450℃までの間に設定するステップと、高周波RF電力密度を0.20W/cmから0.85W/cmまでの間に設定するステップと、前記第1の前駆体の流量を1800mg/分から3600mg/分までの間に設定するステップと、前記酸化剤の流量を120sccmから320sccmまでの間に設定するステップと、前記不活性キャリア・ガスの流量を500sccmから2000sccmまでの間に設定するステップと、リアクタ圧力を4Torrから8Torrまでの間の圧力に設定するステップと、高周波RF電力を150Wから800Wまでの間に設定するステップと、低周波電力を0Wから200Wまでの間に設定するステップとを含む、請求項20に記載の方法。
  29. 前記堆積された誘電体材料に後処理ステップを施すステップをさらに含む、請求項20に記載の方法。
  30. 前記後処理ステップは、熱、電子ビーム、プラズマ、マイクロ波、及びUV光放射から選択されるエネルギー源を用いるステップを含む、請求項29に記載の方法。
JP2007556243A 2005-02-16 2006-02-14 基板上にSiCOH誘電体を形成する方法 Active JP5466365B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/906,370 2005-02-16
US10/906,370 US7202564B2 (en) 2005-02-16 2005-02-16 Advanced low dielectric constant organosilicon plasma chemical vapor deposition films
PCT/US2006/005204 WO2006088881A2 (en) 2005-02-16 2006-02-14 Advanced low dielectric constant organosilicon plasma chemical vapor deposition films

Publications (3)

Publication Number Publication Date
JP2008530821A true JP2008530821A (ja) 2008-08-07
JP2008530821A5 JP2008530821A5 (ja) 2009-02-12
JP5466365B2 JP5466365B2 (ja) 2014-04-09

Family

ID=36816220

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007556243A Active JP5466365B2 (ja) 2005-02-16 2006-02-14 基板上にSiCOH誘電体を形成する方法

Country Status (7)

Country Link
US (2) US7202564B2 (ja)
EP (1) EP1849183A4 (ja)
JP (1) JP5466365B2 (ja)
KR (1) KR100998809B1 (ja)
CN (1) CN100533681C (ja)
TW (1) TWI346982B (ja)
WO (1) WO2006088881A2 (ja)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008251774A (ja) * 2007-03-30 2008-10-16 Mitsui Chemicals Inc 多孔質シリカフィルムの製造方法
WO2011043337A1 (ja) * 2009-10-05 2011-04-14 国立大学法人東北大学 低誘電率絶縁膜およびその形成方法
JP2011082274A (ja) * 2009-10-05 2011-04-21 Tohoku Univ 低誘電率絶縁膜
JP2011091161A (ja) * 2009-10-21 2011-05-06 Tohoku Univ 低誘電率絶縁膜の形成方法
JP2013520792A (ja) * 2010-02-23 2013-06-06 アプライド マテリアルズ インコーポレイテッド 低誘電率誘電体を含む超小型電子構造およびその構造内の炭素分配を制御する方法
US8736051B2 (en) 2012-03-23 2014-05-27 Renesas Electronics Corporation Semiconductor device and manufacturing method thereof
JP2015111712A (ja) * 2009-11-11 2015-06-18 日本電気株式会社 抵抗変化素子、半導体装置、および抵抗変化素子の形成方法

Families Citing this family (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7288292B2 (en) * 2003-03-18 2007-10-30 International Business Machines Corporation Ultra low k (ULK) SiCOH film and method
US7202564B2 (en) * 2005-02-16 2007-04-10 International Business Machines Corporation Advanced low dielectric constant organosilicon plasma chemical vapor deposition films
US7622378B2 (en) 2005-11-09 2009-11-24 Tokyo Electron Limited Multi-step system and method for curing a dielectric film
US8956457B2 (en) * 2006-09-08 2015-02-17 Tokyo Electron Limited Thermal processing system for curing dielectric films
KR20090108721A (ko) * 2007-01-29 2009-10-16 어플라이드 머티어리얼스, 인코포레이티드 신규한 공기 갭 통합 방법
US7615482B2 (en) * 2007-03-23 2009-11-10 International Business Machines Corporation Structure and method for porous SiCOH dielectric layers and adhesion promoting or etch stop layers having increased interfacial and mechanical strength
US20090075491A1 (en) * 2007-09-13 2009-03-19 Tokyo Electron Limited Method for curing a dielectric film
US7858533B2 (en) * 2008-03-06 2010-12-28 Tokyo Electron Limited Method for curing a porous low dielectric constant dielectric film
US7977256B2 (en) 2008-03-06 2011-07-12 Tokyo Electron Limited Method for removing a pore-generating material from an uncured low-k dielectric film
US20090226695A1 (en) * 2008-03-06 2009-09-10 Tokyo Electron Limited Method for treating a dielectric film with infrared radiation
US20090226694A1 (en) * 2008-03-06 2009-09-10 Tokyo Electron Limited POROUS SiCOH-CONTAINING DIELECTRIC FILM AND A METHOD OF PREPARING
US8298965B2 (en) * 2008-09-03 2012-10-30 American Air Liquide, Inc. Volatile precursors for deposition of C-linked SiCOH dielectrics
US20100065758A1 (en) * 2008-09-16 2010-03-18 Tokyo Electron Limited Dielectric material treatment system and method of operating
US8895942B2 (en) * 2008-09-16 2014-11-25 Tokyo Electron Limited Dielectric treatment module using scanning IR radiation source
US8557712B1 (en) * 2008-12-15 2013-10-15 Novellus Systems, Inc. PECVD flowable dielectric gap fill
EP3222749A1 (en) 2009-05-13 2017-09-27 SiO2 Medical Products, Inc. Outgassing method for inspecting a coated surface
US9458536B2 (en) 2009-07-02 2016-10-04 Sio2 Medical Products, Inc. PECVD coating methods for capped syringes, cartridges and other articles
US8247332B2 (en) 2009-12-04 2012-08-21 Novellus Systems, Inc. Hardmask materials
SG183291A1 (en) 2010-02-17 2012-09-27 Air Liquide VAPOR DEPOSITION METHODS OF SiCOH LOW-K FILMS
US9017933B2 (en) * 2010-03-29 2015-04-28 Tokyo Electron Limited Method for integrating low-k dielectrics
US11624115B2 (en) 2010-05-12 2023-04-11 Sio2 Medical Products, Inc. Syringe with PECVD lubrication
US9878101B2 (en) 2010-11-12 2018-01-30 Sio2 Medical Products, Inc. Cyclic olefin polymer vessels and vessel coating methods
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
US9272095B2 (en) 2011-04-01 2016-03-01 Sio2 Medical Products, Inc. Vessels, contact surfaces, and coating and inspection apparatus and methods
US8637412B2 (en) * 2011-08-19 2014-01-28 International Business Machines Corporation Process to form an adhesion layer and multiphase ultra-low k dielectric material using PECVD
JP6095678B2 (ja) 2011-11-11 2017-03-15 エスアイオーツー・メディカル・プロダクツ・インコーポレイテッド 薬剤パッケージ用の不動態化、pH保護又は滑性皮膜、被覆プロセス及び装置
US11116695B2 (en) 2011-11-11 2021-09-14 Sio2 Medical Products, Inc. Blood sample collection tube
EP2846755A1 (en) 2012-05-09 2015-03-18 SiO2 Medical Products, Inc. Saccharide protective coating for pharmaceutical package
EP2914762B1 (en) 2012-11-01 2020-05-13 SiO2 Medical Products, Inc. Coating inspection method
EP2920567B1 (en) 2012-11-16 2020-08-19 SiO2 Medical Products, Inc. Method and apparatus for detecting rapid barrier coating integrity characteristics
US10201660B2 (en) 2012-11-30 2019-02-12 Sio2 Medical Products, Inc. Controlling the uniformity of PECVD deposition on medical syringes, cartridges, and the like
US9764093B2 (en) 2012-11-30 2017-09-19 Sio2 Medical Products, Inc. Controlling the uniformity of PECVD deposition
US9337068B2 (en) 2012-12-18 2016-05-10 Lam Research Corporation Oxygen-containing ceramic hard masks and associated wet-cleans
US20160015898A1 (en) 2013-03-01 2016-01-21 Sio2 Medical Products, Inc. Plasma or cvd pre-treatment for lubricated pharmaceutical package, coating process and apparatus
KR102167557B1 (ko) 2013-03-11 2020-10-20 에스아이오2 메디컬 프로덕츠, 인크. 코팅된 패키징
US9937099B2 (en) 2013-03-11 2018-04-10 Sio2 Medical Products, Inc. Trilayer coated pharmaceutical packaging with low oxygen transmission rate
WO2014144926A1 (en) 2013-03-15 2014-09-18 Sio2 Medical Products, Inc. Coating method
US9847222B2 (en) 2013-10-25 2017-12-19 Lam Research Corporation Treatment for flowable dielectric deposition on substrate surfaces
US20150228788A1 (en) * 2014-02-13 2015-08-13 United Microelectronics Corp. Stress memorization process and semiconductor structure including contact etch stop layer
US9209017B2 (en) 2014-03-26 2015-12-08 International Business Machines Corporation Advanced ultra low k SiCOH dielectrics prepared by built-in engineered pore size and bonding structured with cyclic organosilicon precursors
EP3693493A1 (en) 2014-03-28 2020-08-12 SiO2 Medical Products, Inc. Antistatic coatings for plastic vessels
US10049921B2 (en) 2014-08-20 2018-08-14 Lam Research Corporation Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
WO2016174970A1 (ja) * 2015-04-28 2016-11-03 三井金属鉱業株式会社 表面処理銅箔及びその製造方法、プリント配線板用銅張積層板、並びにプリント配線板
JP2018523538A (ja) 2015-08-18 2018-08-23 エスアイオーツー・メディカル・プロダクツ・インコーポレイテッド 低酸素透過速度を有する薬剤包装及び他の包装
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
US9916977B2 (en) 2015-11-16 2018-03-13 Lam Research Corporation Low k dielectric deposition via UV driven photopolymerization
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
KR102392815B1 (ko) 2017-08-02 2022-05-02 삼성전자주식회사 초저유전막의 제조 방법 및 이에 의해 제조된 초저유전막
CN109119339B (zh) * 2018-08-26 2022-02-08 合肥安德科铭半导体科技有限公司 一种低介电常数的SiCO间隔层材料及其制备方法和应用
CN111484618A (zh) * 2019-01-28 2020-08-04 海加控股有限公司 低温等离子电场辅助合成有机硅化合物的方法和装置

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09260369A (ja) * 1996-03-25 1997-10-03 Toshiba Corp 絶縁膜の形成方法
JP2001203200A (ja) * 2000-01-18 2001-07-27 Hitachi Ltd 半導体装置及びその製造方法
WO2003095702A2 (en) * 2002-05-08 2003-11-20 Applied Materials, Inc. Method for curing low dielectric constant film by electron beam
WO2004001815A1 (en) * 2002-06-19 2003-12-31 International Business Machines Corporation An ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device
JP2004134738A (ja) * 2002-05-30 2004-04-30 Symyx Technologies Inc 低誘電率材料及びその製造方法
JP2004515057A (ja) * 2000-10-25 2004-05-20 インターナショナル・ビジネス・マシーンズ・コーポレーション 半導体素子のレベル内またはレベル間誘電体としての超低誘電率材料、その製造方法、およびそれを含む電子デバイス
JP2004190033A (ja) * 2002-12-12 2004-07-08 Air Products & Chemicals Inc 低誘電率材料及びcvdによる処理方法

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6147009A (en) * 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
DE10083897T1 (de) * 1999-02-26 2002-06-27 Trikon Holdings Ltd Verfahren zum Bearbeiten einer Polymerschicht
US6312793B1 (en) * 1999-05-26 2001-11-06 International Business Machines Corporation Multiphase low dielectric constant material
US6841256B2 (en) * 1999-06-07 2005-01-11 Honeywell International Inc. Low dielectric constant polyorganosilicon materials generated from polycarbosilanes
US6441491B1 (en) * 2000-10-25 2002-08-27 International Business Machines Corporation Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device containing the same
US6790789B2 (en) * 2000-10-25 2004-09-14 International Business Machines Corporation Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device made
US6756323B2 (en) * 2001-01-25 2004-06-29 International Business Machines Corporation Method for fabricating an ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device
US20030087043A1 (en) 2001-11-08 2003-05-08 International Business Machines Corporation Low k dielectric film deposition process
US6770570B2 (en) * 2002-11-15 2004-08-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a semiconductor device with a substantially uniform density low-k dielectric layer
US7250370B2 (en) * 2003-09-19 2007-07-31 Taiwan Semiconductor Manufacturing Company, Ltd. Two step post-deposition treatment of ILD layer for a lower dielectric constant and improved mechanical properties
US20050140029A1 (en) * 2003-12-31 2005-06-30 Lih-Ping Li Heterogeneous low k dielectric
US7030468B2 (en) * 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
US7009280B2 (en) 2004-04-28 2006-03-07 International Business Machines Corporation Low-k interlevel dielectric layer (ILD)
US7223691B2 (en) * 2004-10-14 2007-05-29 International Business Machines Corporation Method of forming low resistance and reliable via in inter-level dielectric interconnect
US7357977B2 (en) * 2005-01-13 2008-04-15 International Business Machines Corporation Ultralow dielectric constant layer with controlled biaxial stress
US7202564B2 (en) * 2005-02-16 2007-04-10 International Business Machines Corporation Advanced low dielectric constant organosilicon plasma chemical vapor deposition films
US7253105B2 (en) * 2005-02-22 2007-08-07 International Business Machines Corporation Reliable BEOL integration process with direct CMP of porous SiCOH dielectric

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09260369A (ja) * 1996-03-25 1997-10-03 Toshiba Corp 絶縁膜の形成方法
JP2001203200A (ja) * 2000-01-18 2001-07-27 Hitachi Ltd 半導体装置及びその製造方法
JP2004515057A (ja) * 2000-10-25 2004-05-20 インターナショナル・ビジネス・マシーンズ・コーポレーション 半導体素子のレベル内またはレベル間誘電体としての超低誘電率材料、その製造方法、およびそれを含む電子デバイス
WO2003095702A2 (en) * 2002-05-08 2003-11-20 Applied Materials, Inc. Method for curing low dielectric constant film by electron beam
JP2004134738A (ja) * 2002-05-30 2004-04-30 Symyx Technologies Inc 低誘電率材料及びその製造方法
WO2004001815A1 (en) * 2002-06-19 2003-12-31 International Business Machines Corporation An ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device
JP2004190033A (ja) * 2002-12-12 2004-07-08 Air Products & Chemicals Inc 低誘電率材料及びcvdによる処理方法

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008251774A (ja) * 2007-03-30 2008-10-16 Mitsui Chemicals Inc 多孔質シリカフィルムの製造方法
WO2011043337A1 (ja) * 2009-10-05 2011-04-14 国立大学法人東北大学 低誘電率絶縁膜およびその形成方法
JP2011082274A (ja) * 2009-10-05 2011-04-21 Tohoku Univ 低誘電率絶縁膜
US8828886B2 (en) 2009-10-05 2014-09-09 Tohoku University Low dielectric constant insulating film and method for forming the same
JP2011091161A (ja) * 2009-10-21 2011-05-06 Tohoku Univ 低誘電率絶縁膜の形成方法
JP2015111712A (ja) * 2009-11-11 2015-06-18 日本電気株式会社 抵抗変化素子、半導体装置、および抵抗変化素子の形成方法
US9231207B2 (en) 2009-11-11 2016-01-05 Nec Corporation Method for forming resistance changing element capable of operating at low voltage
JP2013520792A (ja) * 2010-02-23 2013-06-06 アプライド マテリアルズ インコーポレイテッド 低誘電率誘電体を含む超小型電子構造およびその構造内の炭素分配を制御する方法
US8736051B2 (en) 2012-03-23 2014-05-27 Renesas Electronics Corporation Semiconductor device and manufacturing method thereof

Also Published As

Publication number Publication date
WO2006088881A2 (en) 2006-08-24
US20070128882A1 (en) 2007-06-07
US20060183345A1 (en) 2006-08-17
TWI346982B (en) 2011-08-11
KR100998809B1 (ko) 2010-12-06
JP5466365B2 (ja) 2014-04-09
US7202564B2 (en) 2007-04-10
EP1849183A2 (en) 2007-10-31
CN100533681C (zh) 2009-08-26
KR20070104591A (ko) 2007-10-26
TW200633060A (en) 2006-09-16
WO2006088881A3 (en) 2007-01-18
EP1849183A4 (en) 2010-09-01
US7494938B2 (en) 2009-02-24
CN101124664A (zh) 2008-02-13

Similar Documents

Publication Publication Date Title
JP5466365B2 (ja) 基板上にSiCOH誘電体を形成する方法
US7030468B2 (en) Low k and ultra low k SiCOH dielectric films and methods to form the same
JP5398258B2 (ja) 誘電体スタック及びそれを備える相互接続構造体
US7491658B2 (en) Ultra low k plasma enhanced chemical vapor deposition processes using a single bifunctional precursor containing both a SiCOH matrix functionality and organic porogen functionality
JP5102618B2 (ja) SiCOH誘電体膜を形成する方法
JP5065054B2 (ja) 制御された二軸応力を有する超低誘電率膜および該作製方法
JP2008527757A5 (ja)

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20081212

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20081212

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100611

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110215

RD12 Notification of acceptance of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7432

Effective date: 20110301

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20110301

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110425

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120207

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120427

RD01 Notification of change of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7426

Effective date: 20120928

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121023

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130226

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130520

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140106

RD14 Notification of resignation of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7434

Effective date: 20140106

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140124

R150 Certificate of patent or registration of utility model

Ref document number: 5466365

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150