KR100998809B1 - 개선된 낮은 유전 상수의 유기 실리콘 플라즈마 화학 기상증착 박막 - Google Patents

개선된 낮은 유전 상수의 유기 실리콘 플라즈마 화학 기상증착 박막 Download PDF

Info

Publication number
KR100998809B1
KR100998809B1 KR1020077018293A KR20077018293A KR100998809B1 KR 100998809 B1 KR100998809 B1 KR 100998809B1 KR 1020077018293 A KR1020077018293 A KR 1020077018293A KR 20077018293 A KR20077018293 A KR 20077018293A KR 100998809 B1 KR100998809 B1 KR 100998809B1
Authority
KR
South Korea
Prior art keywords
dielectric
sicoh
peak region
approximately
peak
Prior art date
Application number
KR1020077018293A
Other languages
English (en)
Other versions
KR20070104591A (ko
Inventor
손 브이 응우엔
사라 엘 레인
지아 리
켄사쿠 이다
대릴 디 레스타이노
다케시 노가미
Original Assignee
소니 주식회사
인터내셔널 비지네스 머신즈 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 소니 주식회사, 인터내셔널 비지네스 머신즈 코포레이션 filed Critical 소니 주식회사
Publication of KR20070104591A publication Critical patent/KR20070104591A/ko
Application granted granted Critical
Publication of KR100998809B1 publication Critical patent/KR100998809B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02351Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to corpuscular radiation, e.g. exposure to electrons, alpha-particles, protons or ions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02354Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light using a coherent radiation, e.g. a laser
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1042Formation and after-treatment of dielectrics the dielectric comprising air gaps
    • H01L2221/1047Formation and after-treatment of dielectrics the dielectric comprising air gaps the air gaps being formed by pores in the dielectric

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Optics & Photonics (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Organic Chemistry (AREA)
  • Toxicology (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Carbon And Carbon Compounds (AREA)

Abstract

본 발명은 대략 3.0 보다 낮은 유전 상수와, 종래의 SiCOH 유전체보다 메틸 말단기로서 탄소가 더 많고, 메틸렌, -CH2- 가교기가 더 적은, 고차의 결정 결합(crystalline bonding) 상호 작용을 갖는, 공유 결합된 3차원 네트워크 구조의 Si, C, O 및 H의 원자를 포함하는(이하, "SiCOH") 다공성의 낮은 k 유전체 박막 또는 극도로 낮은 k 유전체 박막을 제공한다. 상기 SiCOH 유전체는, 대략 1.40 보다 작은 CH3+CH2 스트레칭에 대한 피크 영역, 대략 0.20 보다 작은 SiH 스트레칭에 대한 피크 영역, 대략 2.0 보다 큰 SiCH3 결합에 대한 피크 영역, 및 대략 60% 보다 큰 Si-O-Si 결합에 대한 피크 영역 및 대략 20% 보다 큰 다공성을 포함하는, FTIR 스펙트럼을 갖는 것을 특징으로 한다.

Description

개선된 낮은 유전 상수의 유기 실리콘 플라즈마 화학 기상 증착 박막 {ADVANCED LOW DIELECTRIC CONSTANT ORGANOSILICON PLASMA CHEMICAL VAPOR DEPOSITION FILMS}
본 발명은 다공성이며 대략 3.0 보다 작은 유전 상수(k)를 갖는 Si, C, O 및 H의 원자를 포함하는 유전체 재료(이하, "SiCOH" 유전체 재료)와, 이러한 재료의 박막을 제조하기 위한 방법과, 이와 같은 박막을 포함하는 전자 장치에 관한 것이다. 본 발명의 SiCOH 유전체 재료는 종래의 SiCOH 유전체 재료와 비교해서 보다 큰 다공성을 가지며, 개선된 기계적 성질을 이끄는 고차의 결정 결합(crystalline bonding) 상호 작용을 갖는다. 또한, 본 발명은, 본 발명의 재료를 제조하기 위한 비평형 방법(non equilibrium method)은 물론, 층내 유전체 박막 또는 층간 유전체 박막으로서 상기 유전체 재료, 초대규모 집적회로(ULSI) 상의 BEOL(back end of the line) 상호 연결 구조, 또는 관련 전자공학적 구조에서의 유전체 덮개 또는 하드 마스크/연마 저지의 이용에 관한 것이다.
최근 몇 년 사이에 ULSI 회로에서 이용되는 전자 장치 크기의 지속적인 감소는 BEOL 금속배선의 저항을 증가시키는 것은 물론, 층내 유전체와 층간 유전체의 용량을 증가시킨다. 이 조합된 효과는 ULSI 전자 장치에서 신호의 지연을 증가시킨 다. 장래의 ULSI 회로의 스위칭 성능을 개선하기 위해서, 낮은 유전 상수(k)의 절연체와 산화 규소(silicon oxide)보다 크게 낮은 k를 갖는 특정 절연체들이 용량을 줄이는데 필요하다.
초고밀도 집적 회로(VLSI)와 ULSI 칩의 대부분의 제조 단계는 플라즈마 화학 기상 증착 기술 또는 물리 증착 기술에 의해 수행된다. 그리하여, 미리 설치되어 이용 가능한 처리 기기를 이용하는 플라즈마 화학 기상 증착(PECVD) 기술에 의한 낮은 k 재료를 제조하는 능력은, 제조 과정에서의 그 통합을 간단하게 하고, 제조 비용을 줄이며, 유해 폐기물을 적게 생성할 것이다. 그 전체가 참조로 여기에 통합되어 있는 미국 특허 번호 제6,147,009호와 제6,497,963호는 3.6 보다 크지 않은 유전 상수를 갖는 Si, C, O 및 H 원자의 원소를 포함하는 낮은 유전 상수 재료를 설명하며, 이것은 매우 낮은 균열 전파 속도를 나타낸다.
그 전체가 참고용으로 본 명세서에 인용되어 있는 미국 특허 번호 제6,312,793호, 제6,441,491호 및 제6,479,110 B2호는, Si, C, O 및 H 원자의 원소로 구성된 매트릭스를 포함하는 다상의 낮은 k 유전체 재료로서, 주로 C와 H로 상 구성(phase composed)되며, 3.2 보다 크지 않은 유전 상수를 갖는 유전체 재료를 기술하고 있다.
또한, 2.7 보다 작은 유전 상수(및 바람직하게는 2.3 보다 작은 유전 상수)를 갖는 극도로 낮은 k 유전체 재료도 당업계에 공지되어 있다. 낮은 k SiCOH 박막과 극도로 낮은 k SiCOH 박막은 비다공성이거나 다공성일 수 있다. 통상, 다공성 박막들은 박막을 증착하는 동안, 포로젠(porogen)을 도입함으로써 형성되고, 이 박 막은 종래의 경화 과정을 이용한 증착 이후에 순차적으로 제거된다. 일반적으로, 다공성 SiCOH 박막은 대응하는 비다공성 SiCOH 박막보다 낮은 유전 상수를 갖는다.
종래의 낮은 k SiCOH 박막과 극도로 낮은 k SiCOH 박막이 갖는 핵심 문제는 이들이 열악한 기계적 특성[예를 들어, 높은 균열 속도와 응력, 및 낮은 모듈러스(modulus)와 견고함 등]을 갖는다는 것이다. 통상, 종래의 SiCOH 유전체의 기계적 특성은, 재료의 유전 상수가 감소함에 따라 열화 된다. 따라서, 다공성 SiCOH 유전체는 대응하는 비다공성 SiCOH 유전체보다 열악한 기계적 특성을 갖는 경향이 있다.
종래의 기술에서는 SiCOH 박막 후 증착(post deposition) 처리를 함으로써 낮은 k SiCOH 유전체 또는 극도로 낮은 k SiCOH 유전체의 개선된 기계적 특성을 획득할 수 있다. 예를 들어, 열, UV 광, 전자 빔 조사, 화학 에너지 또는 이 에너지원들의 조합을 이용한 경화 또는 처리는, 낮은 k 유전체 재료 또는 극도로 낮은 k 유전체 재료를 안정시키고, 낮은 k 유전체 재료 또는 극도로 낮은 k 유전체 재료의 기계적 특성을 개선하는데 이용되어 왔다. 이와 같은 후 증착 처리가 가능하긴 하지만, 이것은 낮은 k 유전체 박막 또는 극도로 낮은 k 유전체 박막의 제조에 추가의 처리 단계들 및 비용을 부가한다.
전술한 관점을 고려하면, 후 박막 처리에 대한 필요 없이, 또는 증착 과정 동안 포로젠을 도입할 필요 없이 좋은 전기적 특성 및 기계적 특성을 나타내는 다공성의 낮은 k 유전체 박막 및 극도로 낮은 k 유전체 박막을 제공할 필요가 있다.
본 발명은 대략 3.0 보다 낮은 유전 상수와, 종래의 SiCOH 유전체보다 메틸 말단기로서 탄소가 더 많고, 메틸렌, -CH2- 가교기가 더 적은, 고차의 결정 결합(crystalline bonding) 상호 작용을 갖는, 공유 결합된 3차원 네트워크 구조의 Si, C, O 및 H의 원자를 포함하는(이하, "SiCOH") 다공성의 낮은 k 유전체 박막 또는 극도로 낮은 k 유전체 박막을 제공한다. 본 발명 전반에 걸쳐 사용되는 "3차원"이라는 용어는 x, y 및 z 방향에서 상호 연결되고 서로 관계가 있는 Si, C, O 및 H의 원자를 포함하는 SiCOH 유전체 재료를 나타낸다. 여기서 사용되는 "고차의 결정 상호 작용"이란 문구는 Si, C, O 및 H 간에 보다 많은 국부 결합(local bonding)을 갖는 SiCOH 박막을 나타낸다. 이것은 대응하는 결합 피크가 낮은 차수의 결합 결정을 갖는 표준 박막과 비교해서 협소한 결합 피크를 가져서 더욱 날카롭게 되는 FTIR 결합 스펙트럼 및 NMR 결합 스펙트럼에 있는 것으로 간주될 수 있다.
고차 결정 결합 상호 작용은 종래의 SiCOH 유전체와 비교해서 개선된 기계적 강도(mechanical strength)를 갖는 본 발명의 SiCOH 유전체 재료를 제공하는 것으로 여겨진다. 본 발명의 박막에서 나타내는 메틸렌 교차 그룹의 감소량은 종래의 SiCOH 대응물에 비교하여 고차의 다공성과 증가된 극성 그룹을 갖는 박막을 제공한다.
넓은 의미에서, 본 발명은 Si, C, O 및 H의 원자를 포함하고, 3차원 네트워크 구조를 갖는 유전체 재료를 제공하고, 상기 유전체 재료는 대략 1.40 보다 작은 CH3+CH2 스트레칭에 대한 피크 영역, 대략 0.20 보다 작은 SiH 스트레칭에 대한 피크 영역, 대략 2.0 보다 큰 SiCH3 결합에 대한 피크 영역, 및 대략 60 보다 큰 Si-O-Si 결합에 대한 피크 영역과, 부분적인 중형 기공(mesopore) 체적 분포의 점에서 보면 대략 20%보다 큰 다공성을 포함하는 FTIR 스펙트럼을 갖는다. 언급된 각 피크 영역들은 유전체 재료의 소정의 두께로 정규화된다.
전술한 값들은 임의의 후처리 단계가 수행되지 않는 증착된 SiCOH 유전체에 대한 것이다. UV 처리와 같은 후처리는 Si-H 교차 결합 및 Si-CH2-Si 교차 결합을 줄이고, Si-O-Si 결합을 증가시키는 것으로 발견되고 있다. 후처리 과정은 본 발명의 SiCOH 유전체 박막의 기계적 특성 및 전기적 특성을 추가로 개선할 수 있다.
또한, 본 발명은, 본 발명의 SiCOH 유전체 재료가 전자 구조에서 층내 유전체 또는 층간 유전체, 덮개층(capping layer) 및/또는 하드 마스크/연마 저지층으로서 이용될 수 있는 전자 구조에 관한 것이다.
특히, 본 발명의 전자 구조는, 제1 절연 재료층 내에 내장된 제1 금속 영역과, 제2 절연 재료층 내에 내장된 제1 도체 영역, 제1 절연 재료층과 밀접하게 접촉되어 있는 제2 절연 재료층, 제1 금속 영역과 전기적으로 통신하고 있는 제1 도체 영역, 제1 도체 영역과 전기적으로 통신하고 있고 제3 절연 재료층에 내장되어 있는 제2 도체 영역, 제2 절연 재료층과 밀접하게 접촉되어 있는 제3 절연 재료층을 갖는, 전(前) 처리된 반도체 기판을 포함한다.
상기 구조에서, 절연층의 각각은 본 발명의 낮은 k SiCOH 유전체 재료 또는 극도로 낮은 k SiCOH 유전체 재료를 포함할 수 있다.
전자 구조는 제1 절연 재료층과 제2 절연 재료층 사이에 위치해 있는 유전체 덮개층을 추가로 포함할 수도 있고, 제2 절연 재료층과 제3 절연 재료층 사이에 위치해 있는 유전체 덮개층을 추가로 포함할 수도 있다. 전자 구조는 제2 절연 재료층과 제3 절연 재료층 사이의 제1 유전체 덮개층과, 제3 절연 재료층의 상부에 제2 유전체 덮개층을 추가로 포함할 수도 있다.
일부 실시예에서, 유전체 덮개 그 자체가 본 발명의 낮은 k SiCOH 유전체 재료 또는 극도로 낮은 k SiCOH 유전체 재료를 포함할 수 있다.
전자 구조는 제2 절연 재료층과 제3 절연 재료층 중 적어도 하나에 증착된 유전체 재료의 확산 장벽층(diffusion barrier layer)을 추가로 포함할 수도 있다. 전자 구조는 RIE 하드 마스크/연마 저지층으로서 이용하기 위해 제2 절연 재료층의 상부에 유전체층을 포함하고, 유전체 RIE 하드 마스크/연마 저지층의 상부에 유전체 확산 장벽층을 추가로 포함할 수도 있다. 전자 구조는 제2 절연 재료층의 상부에 제1 유전체 RIE 하드 마스크/연마 저지층과, 제1 유전체 연마 저지층의 상부에 제1 유전체 RIE 확산 장벽층과, 제3 절연 재료층의 상부에 제2 유전체 RIE 하드 마스크/연마 저지층과, 제2 유전체 연마 저지층의 상부에 제2 유전체 확산 장벽층을 추가로 포함할 수도 있다. 유전체 RIE 하드 마스크/연마 저지층에도, 본 발명의 SiCOH 유전체 재료가 역시 포함될 수 있다.
또한, 본 발명은 본 발명의 SiCOH 재료를 제조하는 방법에 관한 것이다. 특히, 넓은 의미에서, 본 발명의 방법은, 반응기(reactor)에 기판을 놓는 단계와; 상기 반응기에 Si, C, O 및 H의 원자를 포함하는 제1 전구체(precursor)와, 산화제(oxidizing agent)와, 불활성 캐리어(inert carrier)를 도입하는 단계와; Si, C, O 및 H의 원자를 포함하고 3차원 네트워크 구조를 갖는 SiCOH 유전체를 증착하는 단계로서, 상기 유전체 재료는 대략 1.40 보다 작은 CH3+CH2 스트레칭에 대한 피크 영역, 대략 0.20 보다 작은 SiH 스트레칭에 대한 피크 영역, 대략 2.0 보다 큰 SiCH3 결합에 대한 피크 영역, 및 대략 60 보다 큰 Si-O-Si 결합에 대한 피크 영역, 및 상기 기판상에서 대략 20%보다 큰 다공성을 포함하는 FTIR 스펙트럼을 갖는 것인, 상기 증착하는 단계를 포함한다.
증착 단계 동안, 낮은 고주파(HF)/저주파(LF) 전력(대략 150와트와 비슷하거나 그 이하)비가 이온 충격(ion bombardment)을 줄이는데 사용된다. 낮은 HF/LF 전력비를 사용함으로써, 제1 전구체의 다이머릭 종(dimeric species), 트라이머릭 종(trimeric species), 테트라머릭 종(tetrameric species)들이 플라즈마에 나타난다. 부가적으로, 본 발명의 증착 단계는 보다 큰 산화제/제1 전구체비를 이용하여 수행된다. 통상, 이 산화제/제1 전구체비는 대략 1:2 거나 그 이상이다. 산화제/제1 전구체비가 클수록 감소된 교차 결합을 이용하는 것을 제외하고는, 보다 많은 다량의 큰 반응성 종들을 제공한다. 특히, 본 발명의 증착 단계는 고차 멀티머릭 분자 무게의 반응성 종들(예컨대, 초기 유기화합물 전구체의 다이머 단편, 트라이머 단편, 테트라머 단편)이 방전에서 형성되고, 다공성 박막의 일부분으로서 증착되는 비평형 플라즈마 CVD 지역에서 수행된다.
도 1은 기판상에 본 발명의 SiCOH 유전체 재료의 형성을 도시하는 (단면도를 통한) 그림 표현이다.
도 2는 층내 유전체층과 층간 유전체층 모두로서 본 발명의 SiCOH 유전체 박막을 포함하는 본 발명의 전자 장치의 확대된 단면도이다.
도 3은 본 발명의 SiCOH 유전체 박막의 상부에 증착된 부가적인 확산 장벽 유전체 덮개층을 갖는 도 2의 전자 구조의 확대된 단면도이다.
도 4는 부가적인 RIE 하드 마스크/연마 저지 유전체 덮개층과 연마 저지층의 상부에 증착된 유전체 덮개 확산 장벽층을 갖는 도 3의 전자 구조의 확대된 단면도이다.
도 5는 본 발명의 SiCOH 유전체 박막의 상부에 증착된 부가적인 RIE 하드 마스크/연마 저지 유전체층을 갖는 도 4의 전자 구조의 확대된 단면도이다.
도 6은 증착된 SiCOH 유전체(A), 본 발명의 UV 처리된 SiCOH 유전체(B), 종래의 SiCOH 유전체(C), 및 종래의 SiCOH 유전체(D)를 포함하는 상이한 SiCOH 유전체들의 FTIR 스펙트럼들이다.
도 7은 1300 cm-1과 700 cm-1 사이의 파장 범위가 강조되어 있는 도 6에 도시된 바와 같은 FTIR 스펙트럼들이다.
도 8은 본 발명의 SiCOH 유전체(A)와 종래의 SiCOH 유전체(C)를 비교하여 다공성을 나타내는 그래프이다.
이제, 좋은 전기적 특성 및 기계적 특성을 갖는 낮은 k SiCOH 유전체 박막 또는 극도로 낮은 k SiCOH 유전체 박막을 제공하는 본 발명을, 보다 상세하게 설명할 것이다. 본 발명의 SiCOH 박막은 물론 SiCOH 박막과 동일한 것을 포함할 수 있는 일부의 전자 구조를 형성하는 과정을 설명하는데 이용되는 도면은 일정한 비율로 그려지지 않는다.
우선, 기판(10)의 표면상에 본 발명의 SiCOH 유전체 박막(12)을 형성한 후에 제공되는 구조를 나타내는 도 1을 참조한다. 기판(10)과 관련하여 사용되는 "기판"이란 용어는 다층 구조를 포함하는 반도체 재료, 절연 재료, 전도성 재료 또는 이들의 임의의 조합을 포함한다. 그리하여, 예를 들어, 기판(10)은 Si, SiGe, SiGeC, SiC, GaAs, InAs, InP 와 같은 반도체 재료, 및 기타의 III/V 화합물 반도체 또는 II/VI 화합물 반도체일 수 있다. 또한, 반도체 기판(10)은 예를 들어, Si/SiGe, Si/SiC, 절연체상 실리콘(silicon-on-insulator; SOI) 또는 절연체상 실리콘 게르마늄(silicon germanium-on-insulator; SGOI)과 같은 계층화된 기판을 포함할 수 있다.
기판(10)이 절연 재료인 경우, 절연 재료는 다층 구조를 포함하는 유기 절연체, 무기 절연체 또는 이들의 조합일 수 있다. 기판(10)이 전도성 재료인 경우, 기판(10)은 예를 들어, 다층 구조를 포함하는 폴리실리콘(PolySi), 금속 원소, 금속 원소 합금, 자멸 금속(metal suicide), 질화 금속(metal nitride) 및 이들의 조합을 포함할 수도 있다.
일부 실시예에서, 기판(10)은 반도체 재료와 절연 재료의 조합, 반도체 재료 와 전도성 재료의 조합 또는 반도체 재료, 절연 재료 및 전도성 재료의 조합을 포함한다.
기판(10)이 반도체 재료를 포함하는 경우, 상보성 금속 산화막 반도체(CMOS) 장치와 같은 하나 이상의 반도체 장치들이 그 기판(10) 위에 제조될 수 있다. 명백히 하기 위해, 하나 이상의 반도체 장치들은 본 적용의 도면들에 도시되지 않고 있다.
본 발명의 유전체 박막(12)은 탄소 함유 산화물(carbon doped oxide: CDO) 또는 OSG(organosilicate glass)로도 불리는 Si, C, O 및 H의 원자(SiCOH)를 포함하는 다공성 유전체 재료를 포함한다. 증착된 SiCOH 유전체 박막(12)의 두께는 변할 수 있다. 증착된 SiCOH 유전체 박막(12)의 통상 범위는 대략 50 nm에서 대략 1 ㎛이고, 100에서 대략 500 nm의 두께를 갖는 것이 보다 통상적이다. 본 발명의 유전체 박막의 유전 상수는 대략 3.0 보다 작으며, 대략 2.2에서 대략 2.8의 유전 상수를 갖는 것이 보다 통상적이다. 대략 2.7보다 작은 유전 상수를 갖는 유전체는 여기서 극도로 낮은 k 유전체로서 간주된다.
본 발명의 SiCOH 유전체(12)는 Si, C, O 및 H가 x, y, z 방향에서 상호 연결되고 서로 관계가 있는 3차원 네트워크 구조를 갖는다. 본 발명의 SiCOH 유전체는 대략 1.40 보다 작은 CH3+CH2 스트레칭에 대한 피크 영역, 대략 0.20 보다 작은 SiH 스트레칭에 대한 피크 영역, 대략 2.0 보다 큰 SiCH3 결합에 대한 피크 영역, 및 대략 60 보다 큰 Si-O-Si 결합에 대한 피크 영역, 및 부분적인 중형 기공 체적 분포 의 점에서 보면 대략 20% 보다 큰 다공성을 갖는 것을 특징으로 한다. 언급된 각 피크 영역들은 유전체 재료의 소정의 두께로 정규화된다.
통상, 본 발명의 SiCOH 유전체(12)는 대략 2830 내지 대략 3050 cm-1에서 CH3+CH2 스트레칭에 대한 피크 영역, 대략 2170 내지 대략 2240 cm-1에서 SiH 스트레칭에 대한 피크 영역, 대략 1250 내지 대략 1290 cm- 1 에서 및 대략 750 내지 대략 860 cm-1에서 SiCH3 결합에 대한 피크 영역, 및 대략 960 내지 대략 1220 cm-1에서 Si-O-Si 결합에 대한 피크 영역을 갖는 FTIR 스펙트럼을 갖는다. 일부 실시예에서, 본 발명의 SiCOH 유전체(12)는 대략 1.35의 CH3+CH2 스트레칭에 대한 피크 영역, 대략 0.13의 SiH 스트레칭에 대한 피크 영역, 대략 2.18의 SiCH3 결합에 대한 피크 영역, 및 대략 62.9의 Si-O-Si 결합에 대한 피크 영역을 갖는, FTIR 스펙트럼을 갖는 것을 특징으로 한다.
통상, SiCOH 유전체 박막(12)은 대략 5에서 대략 40 사이의 규소 원자 백분율, 대략 5에서 대략 45 사이의 탄소 원자 백분율, 0에서 대략 50 사이의 산소 원자 백분율, 및 대략 10에서 대략 55 사이의 수소 원자 백분율을 포함한다.
본 발명의 SiCOH 유전체 박막(12)은, 낮은 HF/LF 전력비가 증착된 박막 상에서 이온 충격을 줄이는데 사용되는 과정을 사용하여 제조된다. 이것은 플라즈마에서 제1 전구체의 다이머릭 반응성 종, 트라이머릭 반응성 종, 테트라머릭 반은성 종들을 일으킨다. 이것은 고차 결정화도와 개선된 기계적 특성을 갖은 본 발명의 SiCOH 유전체 박막을 제공한다. 더욱이, 제1 전구체에 대한 산화제의 비는, 박막 내에서 교차를 줄이데 도움을 주는 큰 반응성 종을 제공하도록 선택된다.
본 발명의 SiCOH 유전체 박막(12)은 종래의 SiCOH 유전체 박막보다 높은 다공성을 갖는다. 특히, 본 발명의 SiCOH 유전체 박막(12)은 대략 20%와 비슷하거나 그보다 크게 측정된 다공성을 가지며, 대략 22에서 대략 25%의 측정된 다공성을 갖는 것이 보다 통상적이다. 본 발명의 SiCOH 유전체 박막(12)의 다공성은, 통상적으로 다공성이 대략 15%와 비슷하거나 그보다 낮은, 종래의 SiCOH 유전체보다 큰 다공성을 갖는다.
증착된 SiCOH 박막(12)의 기계적 특성은 대략 6에서 대략12 GPa의 범위에 이르는 모듈러스 값(modulus value), 대략 0.8에서 대략 1.6 GPa의 범위에 이르는 경도, 대략 35에서 대략 70 MPa의 범위에 이르는 응력, 대략 2.5에서 대략 6 J/m2에 이르는 응집 강도(cohesive strength), 대략 1E-12에서 대략 4E-10 m/sec에 이르는 1.2 ㎛에서의 균열 속도, 및 RBS에 의해 측정되는 바와 같이 대략 4E22에서 대략 9E22 atom/cm3에 이르는 밀도를 포함한다. 보다 통상적으로, 증착된 SiCOH 박막(12)의 기계적 특성은 대략 7에서 대략 10 GPa의 범위에 이르는 모듈러스 값, 대략 0.9에서 대략 1.2 GPa의 범위에 이르는 경도, 대략 40에서 대략 60 MPa의 범위에 이르는 응력, 대략 3.5에서 대략 4.5 J/m2에 이르는 응집 강도, 대략 5E-11에서 대략 1.5E-10 m/sec에 이르는 1.2 ㎛에서의 균열 속도, 및 RBS에 의해 측정되는 바와 같 이 대략 5E22에서 대략 7E22 atom/cm3에 이르는 밀도를 포함한다.
본 발명의 SiCOH 박막(12)의 전기적 특성은 대략 7 MV/cm 보다 큰 절연 파괴전압(breakdown voltage), 및 2 MV/cm 이상의 인가 전압에서 대략 1E-9 Amp/cm2의 누설 밀도를 포함한다. 보다 통상적으로, 본 발명의 SiCOH 박막(12)은 대략 8 MV/cm 보다 큰 절연 파괴전압, 및 2 MV/cm 이상의 인가 전압에서 대략 1E-9 Amp/cm2의 누설 밀도를 포함한다.
SiCOH 유전체 박막(12)은 플라즈마 화학 기상 증착기(PECVD)와 같은 반응실(reactor chamber) 내에 기판(10)을 놓음으로써 증착된다. 특히, SiCOH 유전체 박막(12)은 반응기(바람직하게, 반응기는 PECVD 반응기) 내에 적어도, Si, C, O, 및 H의 원자를 포함하는 제1 전구체(액상, 기체 또는 기상), 산화제, He 또는 Ar과 같은 불활성 캐리어를 제공 및 도입하고, 그리고 나서 본 발명의 SiCOH 유전체 재료를 형성하는데 효과적인 조건을 활용하는 적합한 기판상에 상기 제1 전구체로부터 유도된 박막을 증착함으로써 형성된다.
제1 전구체는 1, 3, 5, 7-테트라메틸시클로테트라실루산("TMCTS" 또는 "C4H16O4Si4"), 옥타메틸시클로테트라실루산(OMCTS), 디에톡시메틸실란 (DEMS), 디메틸디메톡시실란 (DMDMOS), 디에틸메톡시실란 (DEDMOS), 및 관련된 사이클릭 실란(cyclic silanes)과 비사이클릭 실란(non-cyclic silanes)과 실록산(siloxanes) 등과 같은 SiCOH 성분들을 포함하는 고리 구조를 갖는 유기 분자(organic molecules)로부터 선택된다.
본 발명에서 이용될 수 있는 산화제의 실례로 O2, CO2, N2O 또는 이들의 조합을 포함한다. 산화제는 반응기 내의 반응물(reactant)을 안정시키는데 이용되고, 이것은 기판(10)상에 증착된 SiCOH 유전체 박막(12)의 균질성을 개선하는데 도움을 준다. 불활성 캐리어는 Ar, He, Ne, Xe 또는 이들의 혼합물을 포함하고, He를 이용하는 것이 보다 통상적이다.
본 발명의 바람직한 실시예에서, SiCOH 유전체 박막(12)은 평면판 반응기를 제공하는 단계를 포함하는 방법을 이용하여 증착될 수도 있으며, 이 평면판 반응기는 대략 85 cm2에서 대략 750 cm2 사이의 기판 척(substrate chuck)의 전도성 영역과, 간극(즉, 대략 1 cm에서 대략 12 cm 사이의, 기판과 상위 전극간의 공간)을 갖는다. 또한, 반응기는 또 다른 전극을 포함할 수도 있다. 고주파수 RF 전력이 전극들 중 하나에 인가되고, 부가적인 저주파수 전력이 반응기 내의 다른 전극에 인가되는 것이 통상적이다.
증착 단계에서 이용되는 조건은 SiCOH 유전체 박막의 원하는 최종 유전 상수에 따라 변할 수도 있다. 대체로, 대략 3.0이거나 이보다 작은 유전 상수를 가지며 Si, C, O 및 H의 원소를 포함하는 적합한 유전체 재료를 제공하는데 이용되는 조건은, 대략 250℃와 대략 450℃ 사이에서 기판 온도를 설정하는 것; 대략 0.20 W/cm2와 대략 0.85 W/cm2 사이에서 고주파수 RF 전력 밀도를 설정하는 것; 대략 1800 mg/min과 대략 3600 mg/min 사이에서 제1 전구체 유량을 설정하는 것, 대략 120과 대략 320 sccm 사이에서 산화제 유량을 설정하는 것, 대략 500 sccm과 대략 2000 sccm 사이에서 헬륨(및/또는 아르곤) 유량과 같은 불활성 캐리어 기체를 설정하는 것; 대략 4 Torr와 대략 8 Torr 사이의 압력에서 반응기 압력을 설정하는 것; 대략 150 W와 대략 800 W 사이의 고주파수 RF 전력을 설정하는 것; 그리고 대략 0 W와 대략 200 W 사이의 저주파수 전력을 설정하는 것을 포함한다.
보다 바람직하게, 대략 3.0이거나 이보다 작은 유전 상수를 가지며 Si, C, O 및 H의 원소를 포함하는 적합한 유전체 재료를 제공하는데 이용되는 조건은, 대략 300℃와 대략 400℃ 사이에서 기판 온도를 설정하는 것; 대략 0.4 W/cm2와 대략 0.6 W/cm2 사이에서 고주파수 RF 전력 밀도를 설정하는 것; 대략 2500 mg/min과 대략 3000 mg/min 사이에서 제1 전구체 유속을 설정하는 것, 대략 200 sccm과 대략 250 sccm 사이에서 산화제 유량을 설정하는 것, 대략 800 sccm과 대략 1200 sccm 사이에서 헬륨(및/또는 아르곤) 유량과 같은 불활성 캐리어 기체를 설정하는 것; 대략 5 Torr와 대략 6 Torr 사이의 압력에서 반응기 압력을 설정하는 것; 대략 300 W와 대략 500 W 사이의 고주파수 RF 전력을 설정하는 것; 그리고 대략 40 W와 대략 800 W 사이의 저주파수 전력을 설정하는 것을 포함한다. 기판 척의 전도성 영역이 X의 요소에 의해서 변경되는 경우, 이 기판 척에 인가되는 RF 전력도 또한 X의 요소에 의해서 변경된다.
증착 후에, SiCOH 유전체 재료(12)는 열, 전자 빔, 플라즈마, 마이크로파 또 는, UV 또는 레이저와 같은 광 방사(optical radiation)와 같은 에너지원을 이용하여 선택사항으로 취급될 수도 있다. 또한, 전술한 에너지원들의 조합이 본 발명에 이용될 수 있다.
열 에너지원은 예를 들어, 증착된 SiCOH 유전체(12)를 450℃ 만큼의 온도로 가열할 수 있는 발열제(heating element) 또는 램프와 같은 임의의 소스를 포함한다. 보다 바람직하게, 열 에너지원은 SiCOH 유전체(12)를 대략 200℃에서 대략 450℃의 온도로 가열할 수 있고, 대략 350℃에서 대략 425℃의 온도를 이용하는 것이 보다 바람직하다. 이러한 열 처리 과정은 다양한 시간 기간 동안 수행될 수 있고, 대략 0.5분에서 대략 300분의 시간 기간을 이용하는 것이 통상적이다. 통상, 열 처리 단계는 He, Ar, Ne, Xe, N2 또는 이들의 혼합물과 같은 불활성 기체 앞에서 수행된다. 열 처리 단계는 급속열처리(rapid thermal anneal), 노 열처리(furnace anneal), 레이저 어닐, 또는 스파이크 어닐 조건이 채용되는 어닐 단계라고 언급될 수도 있다.
일부 실시예들에서, 열 처리 단계는, 예를 들어 H2 또는 탄화수소와 같은 수소 원료 기체를 함유하는 기체 혼합물의 앞에서 수행될 수 있다. 여전히 다른 실시예에서, 열 처리 단계는 1000 백만분율 미만의 범위에서, O2 및 H2O의 매우 낮은 부분 압력을 함유하는 기체 혼합물의 앞에서 수행될 수 있다.
UV 광 처리 단계는 웨이퍼 온도가 최대 450℃로 유지되는 동안(200℃에서 450℃의 온도를 이용하는 것이 바람직하고, 350℃에서 425℃의 온도를 이용하는 것 이 매우 바람직함) 기판을 조사(照射)하도록, 대략 500에서 대략 150 nm의 파장을 갖는 광을 발생할 수 있는 소스를 활용하여 수행된다. 370 nm를 초과하는 방사는 중요한 결합을 분리하거나 활성화하기에 불충분한 에너지므로, 150에서 370 nm 범위의 파장이 바람직한 범위이다. 문헌 데이터 및 증착된 박막상에서 측정된 흡수 스펙트럼을 이용하면, SiCOH 박막의 분해로 인해 170 nm 미만의 방사선은 지지되지 않을 수도 있는 것으로 발견되고 있다. 게다가, 310 - 370 nm의 에너지 범위는, 310 - 370 nm에서 광자 당 비교적 낮은 에너지로 인해서, 150 - 310 nm의 에너지 범위보다 덜 유용하다. 150 - 310 nm 범위 이내에서, 증착된 박막의 흡수 스펙트럼에 대한 최적의 오버랩 및 박막 특성의 최소 분해가 SiCOH 특성을 변경하기 위한 UV 스펙트럼의 가장 효율적인 영역을 선택하도록 선택사항으로 이용될 수 있다.
UV 광 처리 단계는 불활성 기체, 수소 원료 기체 또는 전술한 부분 압력 범위를 이용하는 O2와 H2O의 기체 혼합물에서 수행될 수도 있다.
전자 빔 처리 단계는, 웨이퍼 온도가 최대 450℃로 유지되는 동안(200℃에서 450℃의 온도를 이용하는 것이 바람직하고, 350℃에서 425℃의 온도를 이용하는 것이 매우 바람직함), 0.5에서 25 keV의 에너지와 0.1에서 100 마이크로Amp/cm2의 전류 밀도(바람직하게, 1 내지 5 마이크로Amp/cm2)를 이용하여, 웨이퍼 전역에 균일한 전자 플럭스를 발생할 수 있는 소스를 활용하여 수행된다. 전자 빔 처리 단계에서 이용되는 전자의 바람직한 전량(dose)은 50에서 500 마이크로쿨롱/cm2이고, 100 내 지 300 마이크로쿨롱/cm2범위를 이용하는 것이 바람직하다.
전자 빔 처리 단계는 불활성 기체, 수소 원료 기체 또는 전술한 부분 압력 범위를 이용하는 O2와 H2O의 기체 혼합물에서 수행될 수도 있다.
플라즈마 처리 단계는 원자 수소(H), 및 선택사항으로 CH3 또는 기타 탄화수소 라디칼을 발생할 수 있는 소스를 활용하여 수행된다. 다운스트림 플라즈마 소스가 직접 플라즈마 노출에 비해 선호된다. 플라즈마 처리 동안, 웨이퍼 온도는 최대 450℃로 유지되고, 200℃에서 450℃의 온도를 이용하는 것이 바람직하고, 350℃에서 425℃의 온도를 이용하는 것이 매우 바람직하다.
플라즈마 처리 단계는 플라즈마를 발생할 수 있는 반응기에 기체를 도입함으로써 수행되고, 그 후에 그 기체는 플라즈마로 변환된다. 플라즈마 처리에 이용될 수 있는 기체는 Ar, N, He, Xe 또는 Kr과 같은 불활성 기체(He를 이용하는 것이 바람직함), 수소 또는 원자 수소에 관련된 소스, 메탄, 메틸사이렌(methylsilane), CH3 그룹의 관련 소스, 및 이들의 혼합물을 포함한다. 플라즈마 처리 기체의 유량은, 이용되고 있는 반응기 시스템에 따라 변할 수도 있다. 챔버 압력은 대체로 0.05에서 20 Torr로 범위를 정할 수 있지만, 압력 동작의 바람직한 범위는 1 내지 10 Torr이다. 플라즈마 처리 단계는, 보다 긴 시간이 본 발명 내에서 이용될 수도 있긴 하지만, 통상적으로 대략 1/2에서 대략 10분인, 기간 동안 발생한다.
통상, RF 또는 마이크로파 전원이 전술한 플라즈마를 발생하는데 이용된다. RF 전원은 고주파수 범위(대략 100 W와 비슷하거나 그 이상) 또는 저주파수 범 위(250 W 이하)에서 동작할 수 있다. 또는 이들의 조합이 채용될 수도 있다. 고주파수 전력 밀도는 대체로 0.1에서 2.0 W/cm2로 범위를 정할 수 있지만, 동작의 바람직한 범위는 0.2에서 1.0 W/cm2이다. 저주파수 전력 밀도는 대체로 0.1에서 1.0 W/cm2로 범위를 정할 수 있지만, 동작의 바람직한 범위는 0.2에서 0.5 W/cm2이다. 선택된 전력 레벨은 노출된 유전체 표면의 상당한 스퍼터 에칭(sputter etching)(< 5 나노미터 제거)을 피할 정도로 충분히 낮아야 한다.
전술한 것에 추가로, 원자외선(deep ultraviolet: DUV) 레이저 소스가 또한 채용될 수 있다. 통상, 증착된 SiCOH 유전체(12)를 처리하는데 이용되는 레이저 소스는 레이저 기체 혼합물에 따라 여러 DUV 파장 중 하나에서 동작하는 엑시머 레이저(excimer laser)이다. 예를 들어, 308 nm 방사선을 생성하는 XeF 레이저가 채용될 수 있다. 또한, 248 nm 방사선을 생성하는 KrF 레이저, 또는 193 nm 방사선을 생성하는 ArF 레이저를 본 발명에 채용할 수 있다. 엑시머 레이저는 수백 와트(W) 출력이 되게하는 줄(J) 만큼의 펄스 에너지를 가지고 초당 수백 펄스로 동작할 수 있다.
증착된 SiCOH 유전체(12)의 처리에 채용되는 레이저는 펄스 모드 하에서 동작하는 것이 바람직하다. 레이저 빔은 전체 샘플을 노출하도록 확장될 수 있다. 이와 달리, 보다 큰 샘플의 경우, 레이저 노출 영역은 균일한 전량을 제공하기 위해 샘플 전역에 걸처 주사되는 래스터(raster) 일 수 있다. 엑시머 레이저를 이용하면, 에너지 밀도(fluence)는, 제거가 발생하지 않도록 보장하기 위해, 펄스 당 5 mJ/cm2 이내로 제한된다. 엑시머 레이저에서 대략 10 ns의 짧은 펄스 지속 기간은 20 mJ/cm2 이상의 에너지 밀도 레벨에서 재료 제거를 일으킬 수 있다. 통상, 펄스 당 0.1-5 mJ/cm2의 레이저 에너지 밀도 레벨이 채용된다. 전체 전량은 1에서 10000 Joules/cm2로 변할 수 있고, 500 내지 2000 J/cm2로 변하는 것이 바람직하다. 이것은 복수의 레이저 펄스 노출에 의해 달성된다. 예를 들어, 1000 J/cm2의 전량은 106 펄스의 지속기간 동안 1 mJ/cm2의 에너지 밀도를 이용하여 획득될 수 있다. 일반적으로, 엑시머 레이저는 초 당 수백 펄스로 동작한다. 요구되는 전체 적량(dosage)에 따라, DUV 레이저 처리를 위한 전체적인 노출 기간은 수 초 내지 수 시간에 이른다. 통상적인 500 J/cm2 전량은, 펄스 당 3 mJ/cm2의 에너지 밀도에서 200 Hz 레이저 동작을 이용하여 15 분 이내에 획득된다.
전술한 처리 단계들은 선택사항이고, 좋은 전기적 특성 및 기계적 특성을 갖는 SiCOH 유전체 박막을 획득하기 위해 수행될 필요는 없다. 그러나, 전술한 처리들은 유전체 박막의 전기적 특성 및 기계적 특성에 상당한 영향을 주지 않고, 본 발명의 SiCOH 유전체 박막에 이용될 수 있다.
전술한 처리는, 대략 2830 내지 대략 3050 cm-1에서 CH3+CH2 스트레칭에 대한 피크 영역, 대략 2170 내지 대략 2240 cm-1에서 SiH 스트레칭에 대한 피크 영역, 대 략 1250 내지 대략 1290 cm-1 및 대략 750 내지 대략 860 cm-1에서 SiCH3 결합에 대한 피크 영역, 및 대략 960 내지 대략 1220 cm-1에서 Si-O-Si 결합에 대한 피크 영역을 갖는, FTIR 스펙트럼을 갖는 것을 특징으로 하는 SiCOH 유전체를 제공한다. 부가적으로, 본 발명의 후 처리된 SiCOH 유전체는 대략 1.06의 CH3+CH2 스트레칭에 대한 피크 영역, 대략 0의 SiH 스트레칭에 대한 피크 영역, 대략 2.23의 SiCH3 결합에 대한 피크 영역, 및 대략 66.3의 Si-O-Si 결합에 대한 피크 영역을 갖는 FTIR 스펙트럼을 갖는다. 통상, 본 발명의 후 처리된 SiCOH 박막은 대략 9 내지 대략 11 GPa의 모듈러스를 갖는다.
본 발명의 SiCOH 유전체를 포함할 수 있는 전자 장치가 도 2 내지 도 5에 도시되어 있다. 도 2 내지 도 5에 도시된 장치들은 단지 본 발명의 예시적인 실례이며, 무수한 다른 장치들도 또한 본 발명에 의해 형성될 수도 있다는 것을 주목하여야 한다.
도 2에는, 실리콘 기판(32) 위에 세워진 전자 장치(30)가 도시되어 있다. 실리콘 기판(32)의 상부에, 절연 재료층(34)이 그 절연 재료층에 내장된 제1 금속 영역(36)과 함께 우선 형성된다. CMP 과정이 제1 금속 영역(36) 상에 행해진 후에, 본 발명의 SiCOH 유전체 박막(38)이 제1 절연 재료층(34) 및 제1 금속 영역(36)의 상부에 증착된다. 바람직하게, 제1 절연 재료층(34)은 산화 규소, 질화 규소(silicon nitride), 이 재료들의 함유량 변화, 또는 임의의 다른 적합한 절연 재 료들로 형성될 수도 있다. 그 다음, SiCOH 유전체 박막(38)은 에칭에 뒤이어 포토리쏘그래피(photolithography) 과정으로 패턴화가 되고, 도체층(conductor layer)(40)이 그 위에 증착된다. 제1 도체층(40)상에 CMP 과정이 수행된 후, 제1 SiCOH 유전체 박막(38) 및 제1 도체층(40)을 중첩하는 플라즈마 화학 기상 증착에 의해 본 발명의 제2 SiCOH 박막층(44)이 증착된다. 도체층(40)은 금속 재료 또는 비금속 전도성 재료로 증착될 수 있다. 예를 들어, 금속 재료로는 알루미늄 또는 구리를 들 수 있고, 비금속 재료로는 질화물 또는 폴리실리콘을 들 수 있다. 제1 도체층(40)은 제1 금속 영역(36)과 전기적으로 통신한다.
그 다음, 본 발명의 SiCOH 유전체 박막(44) 상에 에칭에 뒤이어 포토리쏘그래피 과정이 행해지고, 제2 도체 재료에 대한 증착 과정이 행해진 후, 제2 도체 영역(50)이 형성된다. 제2 도체 영역(50)은 또한 제1 도체층(40)을 증착하는데 이용된 것과 유사한, 금속 재료 또는 비금속 재료 중 어느 하나로 증착될 수도 있다. 제2 도체 영역(50)은 제1 도체 영역(40)과 전기적으로 통신하고, 본 발명의 제2 SiCOH 유전체 박막층(44)에 내장된다. 본 발명의 제2 SiCOH 박막층(44)은 본 발명의 제1 SiCOH 유전체 재료층(38)과 밀접하게 접촉된다. 이 실례에서, 본 발명의 제1 SiCOH 유전체 박막층(38)은 층내 유전체 재료이고, 본 발명의 제2 iCOH 유전체 박막층(44)은 층내 유전체 및 층간 유전체 모두에 해당한다.
도 3은 도 2에 도시된 전자 장치(30)와 유사한 본 발명의 전자 장치(60)를 도시하지만, 제1 절연 재료층(38) 및 제2 절연 재료층(44) 사이에 증착된 부가적인 유전체 덮개층(62)을 갖는다. 바람직하게, 상기 유전체 덮개층(62)은 산화 규소, 질화 규소, 산질화 규소(silicon oxynitride), 내화 금속 Ta, Zr, Hf 또는 W를 이용하는 내화성 금속 질화 규소, 탄화 규소(silicon carbide), 탄질화물 규소(SiCN; silicon carbo-nitride), 옥시탄화규소(SiCO; silicon carbo-oxide), 및 그들의 경화 화합물과 같은 재료로 형성될 수 있다. 부가적인 유전체 덮개층(62)은 제2 절연 재료층(44) 또는 하위 계층[특히, 계층(34) 및 계층(32)]으로의 제1 도체층(40)의 확산을 방지하기 위한 확산 장벽층으로 기능한다.
본 발명의 전자 장치(70)에 대한 또 다른 실시예가 도 4에 도시되어 있다. 전자 장치(70)에서는, RIE 마스크 및 CMP(화학적 기계적 연마) 연마 저지층의 역할을 하는 2개의 부가적인 유전체 덮개층들(72 및 74)이 이용된다. 제1 유전체 덮개층(72)은 제1 극도로 낮은 k 절연 재료층(38)의 상부에 증착되고, RIE 마스크 및 CMP 저지로서 이용되어, 제1 도체층(40)과 제1 유전체 덮개층(72)은 CMP 후에 거의 동일한 평면에 있도록 한다. 제2 유전체층(74)의 기능은 제1 유전체 덮개층(72)과 유사하지만, 제2 유전체층(74)은 제2 도체층(50)을 평탄화하는데 활용된다. 연마 저지층(74)은 산화 규소, 질화 규소, 산질화규소, 내화 금속 Ta, Zr, Hf 또는 W를 이용하는 내화성 금속 질화 규소, 탄화 규소, 옥시탄화규소(SiCO), 및 그들의 경화 화합물과 같은 적합한 유전체 재료로 증착될 수 있다. 바람직한 연마 저지층 구성은 제1 유전체 덮개층(72) 또는 제2 유전체층(74)의 경우 SiCH 또는 SiCOH 이다. 제2 유전체층(74)은 동일한 목적으로 제2 SiCOH 유전체 박막(44)의 상부에 부가될 수 있다.
본 발명의 전자 장치(80)에 대한 역시 또 다른 실시예가 도 5에 도시되어 있 다. 이 다른 실시예에서, 부가적인 유전체 재료층(82)이 증착되어서, 제2 절연 재료층(44)을 2개의 개별 층들(84, 86)로 분할한다. 그러므로, 본 발명의 낮은 k 재료로 형성된 층내 유전체층 및 층간 유전체층(44)은 비아(via)(92)와 상호 연결(94) 사이의 경계에서 층내 유전체층(84)과 층간 유전체층(86)으로 분할된다. 부가적인 확산 장벽층(96)이 상위 유전체층(74)의 상부에 추가로 증착된다. 이러한 다른 실시예인 전자 구조(80)에 의해 제공되는 부가적인 이점은 유전체층(82)이 우수한 상호 연결 깊이 제어를 제공하는 RIE 에칭 저지의 역할을 한다는 것이다. 그리하여, 층내 유전체층(82)의 구성은 층간 유전체층(86)에 대하여 선택적으로 에칭을 제공하도록 선택된다.
역시 또 다른 실시예들은 제1 절연 재료층에 내장된 제1 금속 영역 및 제2 절연 재료층에 내장된 제1 도체 영역을 갖는, 전처리된 반도체 기판을 포함하는 배선 구조에서, 층내 유전체 또는 층간 유전체로서 절연 재료층을 갖는 전자 구조를 포함할 수도 있고, 여기서, 제2 절연 재료층은 제1 절연 재료층과 밀접하게 접촉되어 있고, 제1 도체 영역은 제1 금속 영역 및, 제1 도체 영역과 전기적으로 통신하는 제2 도체 영역과 전기적으로 통신하며, 제3 절연 재료층에 내장되어 있고, 여기서, 제3 절연 재료층은 제2 절연 재료층, 제2 절연 재료층과 제3 절연 재료층 사이에 제1 유전체 덮개 층, 및 제3 절연 재료층의 상부에 제2 유전체 덮개층과 밀접하게 접촉되어 있으며, 여기서, 제1 유전체 덮개층과 제2 유전체 덮개층은 Si, C, O 및 H의 원자를 포함하는 재료, 바람직하게는 본 발명의 SiCOH 유전체 박막으로 형성된다.
본 발명의 역시 또 다른 실시예들은, 제1 절연 재료층에 내장된 제1 금속 영역과, 제1 절연 재료층에 밀접하게 접촉되어 있는 제2 절연 재료층에 내장된 제1 도체 영역을 갖는, 전처리된 반도체 기판을 포함하는 배선 구조에서, 층내 유전체 또는 층간 유전체로서 절연 재료층을 갖는 전자 구조를 포함하고, 제1 도체 영역은 제1 금속 영역 및, 제1 도체 영역과 전기적으로 통신하는 제2 도체 영역과 전기적으로 통신하며, 제3 절연 재료층에 내장되어 있고, 제3 절연 재료층은 제2 절연 재료층, 및 제2 절연 재료층 및 제3 절연 재료층 중 적어도 하나에 증착된 본 발명의 낮은 k 박막으로 형성된 확산 장벽층과 밀접하게 접촉되어 있다.
역시 또 다른 실시예들은 제1 절연 재료층에 내장된 제1 금속 영역과, 제1 절연 재료층에 밀접하게 접촉되어 있는 제2 절연 재료층에 내장된 제1 도체 영역을 갖는, 전처리된 반도체 기판을 포함하는 배선 구조에서, 층내 유전체 또는 층간 유전체로서 절연 재료층을 갖는 전자 구조를 포함하고, 제1 도체 영역은 제1 금속 영역 및, 제1 도체 영역과 전기적으로 통신하는 제2 도체 영역과 전기적으로 통신하며, 제3 절연 재료층에 내장되어 있고, 제3 절연 재료층은 제2 절연 재료층, 제2 절연 재료층의 상부에 반응 이온 에칭(reactive ion etching: RIE) 하드 마스크/연마 저지층, 및 RIE 하드 마스크/연마 저지층의 상부에 확산 장벽층과 밀접하게 접촉되며, 여기서, RIE 하드 마스크/연마 저지층 및 확산 장벽층은 본 발명의 SiCOH 유전체 박막으로 형성된다.
역시 또 다른 실시예들은 제1 절연 재료층에 내장된 제1 금속 영역과, 제1 절연 재료층에 밀접하게 접촉되어 있는 제2 절연 재료층에 내장된 제1 도체 영역을 갖는, 전처리된 반도체 기판을 포함하는 배선 구조에서, 층내 유전체 또는 층간 유전체로서 절연 재료층을 갖는 전자 구조를 포함하고, 제1 도체 영역은 제1 금속 영역 및, 제1 도체 영역과 전기적으로 통신하는 제2 도체 영역과 전기적으로 통신하며, 제3 절연 재료층에 내장되어 있고, 제3 절연 재료층은 제2 절연 재료층, 제2 절연 재료층의 상부에 제1 RIE 하드 마스크/연마 저지층, 제1 RIE 하드 마스크/연마 저지층의 상부에 제1 확산 장벽층, 제3 절연 재료층의 상부에 제2 RIE 하드 마스크/연마 저지층, 및 제2 RIE 하드 마스크/연마 저지층의 상부에 제2 확산 장벽층과 밀접하게 접촉되며, 여기서, RIE 하드 마스크/연마 저지층 및 확산 장벽층은 본 발명의 SiCOH 유전체 박막으로 형성된다.
본 발명의 역시 또 다른 실시예들은, 상기에 전술한 것과 유사한 배선 구조에서, 층내 유전체 또는 층간 유전체로서 절연 재료층을 갖는 전자 구조를 포함하지만, 층내 유전체층 및 층간 유전체층 사이에 위치하는 본 발명의 SiCOH 유전체 재료로 형성된 유전체 덮개층을 추가로 포함한다.
이하의 실례는 본 발명의 SiCOH 유전체 재료를 설명하고, 종래의 SiCOH 유전체와 동일한 것에 대한 차이점을 나타내기 위해 제공된다.
[실례]
이 실례에서, 본 발명의 SiCOH 유전체 재료를 준비하였고, 이것을 종래의 SiCOH 유전체와 비교하였다. 전술한 방법을 이용하는 본 발명의 SiCOH 유전체(샘플 A)를 준비하였다. 제1 전구체는 OMCTS 였고, 산화제는 O2 였다. 증착 조건으로 2800 mgm의 OMCTS 흐름, 220 sccm의 O2 흐름, 1000 sccm의 He 캐리어 흐름, 350 ℃의 기판 증착 온도, 5 Torr의 압력, 450 mils의 공간, 400 W의 HF 전력, 60 W의 LF 전력을 포함하였다.
또 다른 샘플(샘플 B)은 UV 처리를 겪는 증착 이후를 제외하고 전술한 절차를 이용하여 제조되었다.
전구체로서 OMCTS를 이용하는 종래의 SiCOH 유전체(샘플 C)를 준비하였고, 다음과 같은 조건들이 이용되었다: 2500 mgm의 OMCTS 흐름, 160 sccm의 O2 흐름, 1000 sccm의 He 캐리어 흐름, 350 ℃의 기판 증착 온도, 5 Torr의 압력, 450 mils의 공간, 500 W의 HF 전력, 150 W의 LF 전력.
종래의 SiCOH 유전체(샘플 D)를 다음과 같이 준비하였다: 2500 mgm의 OMCTS 흐름, 160 sccm의 O2 흐름, 1000 sccm의 He 캐리어 흐름, 350 ℃의 기판 증착 온도, 5 Torr의 압력, 450 mils의 공간, 500 W의 HF 전력, 140 W의 LF 전력.
샘플 A 내지 샘플 D에 대한 FTIR 스펙트럼들이 도 6 및 도 7에 각각 도시되어 있다. FTIR 결과는, OMCATS 샘플 A 및 B가 Si-CH3 결합(1270 cm-1 부근과 거의 800 cm-1)과 Si-O-Si 네트워크 결합(거의 1030 cm-1)에서 보다 날카로운 하프트 피크 폭(haft peak width)으로 표시되는 고차의 근거리 결합 상호 작용을 갖는 것으로 도시하였다. 결합 피크가 날카로울수록, Si-CH3 및 Si-O-Si 네트워크에서, 보다 짧은 결합 차수를 나타내었다.
FTIR 데이터 이외에, 샘플 A 및 종래의 샘플 C의 다공성이 PALS(positronium annihilation lifetime spectroscopy)를 이용하여 측정되었다. 다공성 측정치의 결과가 도 8에 도시되어 있다. 특히, 도 8은, 본 발명의 SiCOH 유전체 재료가 종래의 SiCOH 유전체(대략 10-12%의 다공성)와 비교하여 보다 많은 다공성(22-25% 사이)을 갖는 것으로 나타나 있다.
전기적 측정치는, 100-500 nm 두께의 본 발명의 박막이 8.5 MV/cm 보다 큰 뛰어난 절연 파괴전압 및 2 MV/cm가 인가된 필드에서 5E-8 Amp/cm2 보다 적은 낮은 누설을 갖는 것으로 도시하였다.
본 발명이 예시적인 방식으로 설명되었지만, 이용되는 용어는 제한적 관점이 아니라 설명을 위한 단어의 성질에 있는 것으로 의도되고 있음을 이해해야 한다. 더욱이, 본 발명을 바람직한 실시예 및 여러 다른 실시예들의 관점에서 설명하였지만, 당업자라면 본 발명의 다른 가능한 변형에 대한 이러한 교시들을 용이하게 적용할 것이다.
본 발명은 집적 회로의 제조에 유용하고, 극초고밀도 집적 회로 및 낮은 유전 상수 박막을 갖는 관련 전자 구조, 및 이들의 제조에 특히 유용하다.

Claims (14)

  1. Si, C, O 및 H의 원자를 포함하고 3차원 네트워크 구조를 갖는 유전체 재료로서, 1.40 보다 작은 CH3+CH2 스트레칭에 대한 피크 영역, 0.20 보다 작은 SiH 스트레칭에 대한 피크 영역, 2.0 보다 큰 SiCH3 결합에 대한 피크 영역, 및 60 보다 큰 Si-O-Si 결합에 대한 피크 영역 및 20% 보다 큰 다공성을 포함하는 FTIR 스펙트럼을 갖는, 유전체 재료.
  2. 제1항에 있어서, 상기 재료는 3.0 보다 낮은 유전 상수를 갖는 것인, 유전체 재료.
  3. 제2항에 있어서, 상기 유전 상수는 2.2에서 2.8의 범위에 있는 것인, 유전체 재료.
  4. 제1항에 있어서, 상기 CH3+CH2 스트레칭에 대한 피크 영역은 2830 내지 3050 cm-1에 위치하고, 상기 SiH 스트레칭에 대한 피크 영역은 2170 내지 2240 cm-1에 위치하며, 상기 SiCH3 결합에 대한 피크 영역은 1250 내지 1290 cm-1 및 750 내지 860 cm-1에 위치하고, 상기 Si-O-Si 결합에 대한 피크 영역은 960 내지 1220 cm-1에 위치하는 것인, 유전체 재료.
  5. Si, C, O 및 H의 원자를 포함하고 3차원 네트워크 구조를 갖는 적어도 하나의 유전체 재료를 포함하는 기판상에 위치하는 상호 연결 구조물로서, 상기 유전체 재료는, 1.40 보다 작은 CH3+CH2 스트레칭에 대한 피크 영역, 0.20 보다 작은 SiH 스트레칭에 대한 피크 영역, 2.0 보다 큰 SiCH3 결합에 대한 피크 영역, 및 60% 보다 큰 Si-O-Si 결합에 대한 피크 영역 및 20% 보다 큰 다공성을 포함하는 FTIR 스펙트럼을 갖는 것인, 상호 연결 구조물.
  6. 삭제
  7. 삭제
  8. 기판상에 SiCOH 유전체를 형성하기 위한 방법으로서,
    반응기(reactor)에 기판을 놓는 단계와;
    상기 반응기에 Si, C, O 및 H의 원자를 포함하는 제1 전구체(precursor)와, 산화제(oxidizing agent)와, 불활성 캐리어(inert carrier)를 도입하는 단계와;
    Si, C, O 및 H의 원자를 포함하고 3차원 네트워크 구조를 갖는 SiCOH 유전체 박막을 증착하는 단계로서, 상기 유전체의 재료는, 1.40 보다 작은 CH3+CH2 스트레칭에 대한 피크 영역, 0.20 보다 작은 SiH 스트레칭에 대한 피크 영역, 2.0 보다 큰 SiCH3 결합에 대한 피크 영역, 및 60% 보다 큰 Si-O-Si 결합에 대한 피크 영역, 및 상기 기판상에서 20% 보다 큰 다공성을 포함하는 FTIR 스펙트럼을 갖는 것인, 상기 증착하는 단계
    를 포함하는 SiCOH 유전체 형성 방법.
  9. 삭제
  10. 삭제
  11. 제1항에 있어서, 상기 CH3+CH2 스트레칭에 대한 피크 영역은 1.35이고, 상기 SiH 스트레칭에 대한 피크 영역은 0.13이며, 상기 SiCH3 결합에 대한 피크 영역은 2.18이고, 상기 Si-O-Si 결합에 대한 피크 영역은 62.9인 것인, 유전체 재료.
  12. 제1항에 있어서, 상기 다공성은 22에서 25%에 이르는 것인, 유전체 재료.
  13. 제1항에 있어서, 상기 유전체 재료는 6에서 12 GPa의 범위에 이르는 모듈러스 값(modulus value), 0.8에서 1.6 GPa의 범위에 이르는 경도, 35에서 70 MPa의 범위에 이르는 응력, 2.5에서 6 J/m2에 이르는 응집 강도(cohesive strength), 1E-12에서 4E-10 m/sec에 이르는 1.2 ㎛에서의 균열 속도, 및 RBS에 의해 측정되는 바와 같이 4E22에서 9E22 atom/cm3에 이르는 밀도를 갖는 것인, 유전체 재료.
  14. 제8항에 있어서, 후처리 단계인 상기 증착된 유전체 재료를 처리하는 단계를 더 포함하는 SiCOH 유전체 형성 방법.
KR1020077018293A 2005-02-16 2006-02-14 개선된 낮은 유전 상수의 유기 실리콘 플라즈마 화학 기상증착 박막 KR100998809B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/906,370 US7202564B2 (en) 2005-02-16 2005-02-16 Advanced low dielectric constant organosilicon plasma chemical vapor deposition films
US10/906,370 2005-02-16

Publications (2)

Publication Number Publication Date
KR20070104591A KR20070104591A (ko) 2007-10-26
KR100998809B1 true KR100998809B1 (ko) 2010-12-06

Family

ID=36816220

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020077018293A KR100998809B1 (ko) 2005-02-16 2006-02-14 개선된 낮은 유전 상수의 유기 실리콘 플라즈마 화학 기상증착 박막

Country Status (7)

Country Link
US (2) US7202564B2 (ko)
EP (1) EP1849183A4 (ko)
JP (1) JP5466365B2 (ko)
KR (1) KR100998809B1 (ko)
CN (1) CN100533681C (ko)
TW (1) TWI346982B (ko)
WO (1) WO2006088881A2 (ko)

Families Citing this family (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7288292B2 (en) * 2003-03-18 2007-10-30 International Business Machines Corporation Ultra low k (ULK) SiCOH film and method
US7202564B2 (en) * 2005-02-16 2007-04-10 International Business Machines Corporation Advanced low dielectric constant organosilicon plasma chemical vapor deposition films
US7622378B2 (en) * 2005-11-09 2009-11-24 Tokyo Electron Limited Multi-step system and method for curing a dielectric film
US8956457B2 (en) * 2006-09-08 2015-02-17 Tokyo Electron Limited Thermal processing system for curing dielectric films
US7670924B2 (en) * 2007-01-29 2010-03-02 Applied Materials, Inc. Air gap integration scheme
US7615482B2 (en) * 2007-03-23 2009-11-10 International Business Machines Corporation Structure and method for porous SiCOH dielectric layers and adhesion promoting or etch stop layers having increased interfacial and mechanical strength
JP5165914B2 (ja) * 2007-03-30 2013-03-21 三井化学株式会社 多孔質シリカフィルム及びその製造方法
US20090075491A1 (en) * 2007-09-13 2009-03-19 Tokyo Electron Limited Method for curing a dielectric film
US20090226694A1 (en) * 2008-03-06 2009-09-10 Tokyo Electron Limited POROUS SiCOH-CONTAINING DIELECTRIC FILM AND A METHOD OF PREPARING
US7977256B2 (en) 2008-03-06 2011-07-12 Tokyo Electron Limited Method for removing a pore-generating material from an uncured low-k dielectric film
US7858533B2 (en) * 2008-03-06 2010-12-28 Tokyo Electron Limited Method for curing a porous low dielectric constant dielectric film
US20090226695A1 (en) * 2008-03-06 2009-09-10 Tokyo Electron Limited Method for treating a dielectric film with infrared radiation
US8298965B2 (en) * 2008-09-03 2012-10-30 American Air Liquide, Inc. Volatile precursors for deposition of C-linked SiCOH dielectrics
US20100065758A1 (en) * 2008-09-16 2010-03-18 Tokyo Electron Limited Dielectric material treatment system and method of operating
US8895942B2 (en) * 2008-09-16 2014-11-25 Tokyo Electron Limited Dielectric treatment module using scanning IR radiation source
US8557712B1 (en) * 2008-12-15 2013-10-15 Novellus Systems, Inc. PECVD flowable dielectric gap fill
EP2251452B1 (en) 2009-05-13 2018-07-18 SiO2 Medical Products, Inc. Pecvd apparatus for vessel coating
US9545360B2 (en) 2009-05-13 2017-01-17 Sio2 Medical Products, Inc. Saccharide protective coating for pharmaceutical package
US9458536B2 (en) 2009-07-02 2016-10-04 Sio2 Medical Products, Inc. PECVD coating methods for capped syringes, cartridges and other articles
JP5164078B2 (ja) * 2009-10-05 2013-03-13 国立大学法人東北大学 低誘電率絶縁膜
JP5164079B2 (ja) * 2009-10-21 2013-03-13 国立大学法人東北大学 低誘電率絶縁膜の形成方法
TW201131651A (en) * 2009-10-05 2011-09-16 Univ Tohoku Low dielectric constant insulating film
JP5692085B2 (ja) 2009-11-11 2015-04-01 日本電気株式会社 抵抗変化素子、半導体装置、および抵抗変化素子の形成方法
US8247332B2 (en) 2009-12-04 2012-08-21 Novellus Systems, Inc. Hardmask materials
SG183291A1 (en) 2010-02-17 2012-09-27 Air Liquide VAPOR DEPOSITION METHODS OF SiCOH LOW-K FILMS
US8349746B2 (en) * 2010-02-23 2013-01-08 Applied Materials, Inc. Microelectronic structure including a low k dielectric and a method of controlling carbon distribution in the structure
US9017933B2 (en) * 2010-03-29 2015-04-28 Tokyo Electron Limited Method for integrating low-k dielectrics
US11624115B2 (en) 2010-05-12 2023-04-11 Sio2 Medical Products, Inc. Syringe with PECVD lubrication
US9878101B2 (en) 2010-11-12 2018-01-30 Sio2 Medical Products, Inc. Cyclic olefin polymer vessels and vessel coating methods
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
US9272095B2 (en) 2011-04-01 2016-03-01 Sio2 Medical Products, Inc. Vessels, contact surfaces, and coating and inspection apparatus and methods
US8637412B2 (en) 2011-08-19 2014-01-28 International Business Machines Corporation Process to form an adhesion layer and multiphase ultra-low k dielectric material using PECVD
EP2776603B1 (en) 2011-11-11 2019-03-06 SiO2 Medical Products, Inc. PASSIVATION, pH PROTECTIVE OR LUBRICITY COATING FOR PHARMACEUTICAL PACKAGE, COATING PROCESS AND APPARATUS
US11116695B2 (en) 2011-11-11 2021-09-14 Sio2 Medical Products, Inc. Blood sample collection tube
JP5788350B2 (ja) * 2012-03-23 2015-09-30 ルネサスエレクトロニクス株式会社 半導体装置および半導体装置の製造方法
US9664626B2 (en) 2012-11-01 2017-05-30 Sio2 Medical Products, Inc. Coating inspection method
WO2014078666A1 (en) 2012-11-16 2014-05-22 Sio2 Medical Products, Inc. Method and apparatus for detecting rapid barrier coating integrity characteristics
KR102211950B1 (ko) 2012-11-30 2021-02-04 에스아이오2 메디컬 프로덕츠, 인크. 의료용 주사기 카트리지 등의 pecvd 증착 균일성 제어
US9764093B2 (en) 2012-11-30 2017-09-19 Sio2 Medical Products, Inc. Controlling the uniformity of PECVD deposition
US9337068B2 (en) 2012-12-18 2016-05-10 Lam Research Corporation Oxygen-containing ceramic hard masks and associated wet-cleans
EP2961858B1 (en) 2013-03-01 2022-09-07 Si02 Medical Products, Inc. Coated syringe.
CN105392916B (zh) 2013-03-11 2019-03-08 Sio2医药产品公司 涂布包装材料
US9937099B2 (en) 2013-03-11 2018-04-10 Sio2 Medical Products, Inc. Trilayer coated pharmaceutical packaging with low oxygen transmission rate
EP2971227B1 (en) 2013-03-15 2017-11-15 Si02 Medical Products, Inc. Coating method.
US9847222B2 (en) 2013-10-25 2017-12-19 Lam Research Corporation Treatment for flowable dielectric deposition on substrate surfaces
US20150228788A1 (en) * 2014-02-13 2015-08-13 United Microelectronics Corp. Stress memorization process and semiconductor structure including contact etch stop layer
US9209017B2 (en) 2014-03-26 2015-12-08 International Business Machines Corporation Advanced ultra low k SiCOH dielectrics prepared by built-in engineered pore size and bonding structured with cyclic organosilicon precursors
WO2015148471A1 (en) 2014-03-28 2015-10-01 Sio2 Medical Products, Inc. Antistatic coatings for plastic vessels
US10049921B2 (en) 2014-08-20 2018-08-14 Lam Research Corporation Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
CN107532281B (zh) * 2015-04-28 2020-01-24 三井金属矿业株式会社 表面处理铜箔及其制造方法、印刷电路板用覆铜层叠板、以及印刷电路板
CA3204930A1 (en) 2015-08-18 2017-02-23 Sio2 Medical Products, Inc. Pharmaceutical and other packaging with low oxygen transmission rate
US9916977B2 (en) 2015-11-16 2018-03-13 Lam Research Corporation Low k dielectric deposition via UV driven photopolymerization
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
KR102392815B1 (ko) 2017-08-02 2022-05-02 삼성전자주식회사 초저유전막의 제조 방법 및 이에 의해 제조된 초저유전막
CN109119339B (zh) * 2018-08-26 2022-02-08 合肥安德科铭半导体科技有限公司 一种低介电常数的SiCO间隔层材料及其制备方法和应用
CN111484618A (zh) * 2019-01-28 2020-08-04 海加控股有限公司 低温等离子电场辅助合成有机硅化合物的方法和装置

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09260369A (ja) * 1996-03-25 1997-10-03 Toshiba Corp 絶縁膜の形成方法
US6147009A (en) 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
JP2002538604A (ja) * 1999-02-26 2002-11-12 トリコン ホールディングス リミティド ポリマー層の処理方法
US6312793B1 (en) 1999-05-26 2001-11-06 International Business Machines Corporation Multiphase low dielectric constant material
US6841256B2 (en) * 1999-06-07 2005-01-11 Honeywell International Inc. Low dielectric constant polyorganosilicon materials generated from polycarbosilanes
JP3615979B2 (ja) * 2000-01-18 2005-02-02 株式会社ルネサステクノロジ 半導体装置及びその製造方法
US6756323B2 (en) 2001-01-25 2004-06-29 International Business Machines Corporation Method for fabricating an ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device
CN100386472C (zh) * 2000-10-25 2008-05-07 国际商业机器公司 作为在半导体器件中的层内和层间绝缘体的超低介电常数材料及其制造方法、以及包含该材料的电子器件
US6768200B2 (en) * 2000-10-25 2004-07-27 International Business Machines Corporation Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device
US6441491B1 (en) 2000-10-25 2002-08-27 International Business Machines Corporation Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device containing the same
US6790789B2 (en) 2000-10-25 2004-09-14 International Business Machines Corporation Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device made
US7074489B2 (en) * 2001-05-23 2006-07-11 Air Products And Chemicals, Inc. Low dielectric constant material and method of processing by CVD
US20030087043A1 (en) 2001-11-08 2003-05-08 International Business Machines Corporation Low k dielectric film deposition process
EP1504138A2 (en) * 2002-05-08 2005-02-09 Applied Materials, Inc. Method for using low dielectric constant film by electron beam
US7307343B2 (en) * 2002-05-30 2007-12-11 Air Products And Chemicals, Inc. Low dielectric materials and methods for making same
US6770570B2 (en) 2002-11-15 2004-08-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a semiconductor device with a substantially uniform density low-k dielectric layer
US7250370B2 (en) * 2003-09-19 2007-07-31 Taiwan Semiconductor Manufacturing Company, Ltd. Two step post-deposition treatment of ILD layer for a lower dielectric constant and improved mechanical properties
US20050140029A1 (en) * 2003-12-31 2005-06-30 Lih-Ping Li Heterogeneous low k dielectric
US7030468B2 (en) * 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
US7009280B2 (en) * 2004-04-28 2006-03-07 International Business Machines Corporation Low-k interlevel dielectric layer (ILD)
US7223691B2 (en) * 2004-10-14 2007-05-29 International Business Machines Corporation Method of forming low resistance and reliable via in inter-level dielectric interconnect
US7357977B2 (en) * 2005-01-13 2008-04-15 International Business Machines Corporation Ultralow dielectric constant layer with controlled biaxial stress
US7202564B2 (en) * 2005-02-16 2007-04-10 International Business Machines Corporation Advanced low dielectric constant organosilicon plasma chemical vapor deposition films
US7253105B2 (en) * 2005-02-22 2007-08-07 International Business Machines Corporation Reliable BEOL integration process with direct CMP of porous SiCOH dielectric

Also Published As

Publication number Publication date
US7202564B2 (en) 2007-04-10
US20060183345A1 (en) 2006-08-17
CN101124664A (zh) 2008-02-13
TW200633060A (en) 2006-09-16
EP1849183A4 (en) 2010-09-01
WO2006088881A3 (en) 2007-01-18
TWI346982B (en) 2011-08-11
KR20070104591A (ko) 2007-10-26
US20070128882A1 (en) 2007-06-07
JP5466365B2 (ja) 2014-04-09
US7494938B2 (en) 2009-02-24
CN100533681C (zh) 2009-08-26
WO2006088881A2 (en) 2006-08-24
EP1849183A2 (en) 2007-10-31
JP2008530821A (ja) 2008-08-07

Similar Documents

Publication Publication Date Title
KR100998809B1 (ko) 개선된 낮은 유전 상수의 유기 실리콘 플라즈마 화학 기상증착 박막
US7491658B2 (en) Ultra low k plasma enhanced chemical vapor deposition processes using a single bifunctional precursor containing both a SiCOH matrix functionality and organic porogen functionality
US7265437B2 (en) Low k dielectric CVD film formation process with in-situ imbedded nanolayers to improve mechanical properties
US7030468B2 (en) Low k and ultra low k SiCOH dielectric films and methods to form the same
US7485582B2 (en) Hardmask for improved reliability of silicon based dielectrics
US7049247B2 (en) Method for fabricating an ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device made
US7560794B2 (en) DUV laser annealing and stabilization of SiCOH films
US20120193767A1 (en) ADVANCED LOW k CAP FILM FORMATION PROCESS FOR NANO ELECTRONIC DEVICES

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee