JP2003060030A - 半導体集積回路装置およびその製造方法 - Google Patents

半導体集積回路装置およびその製造方法

Info

Publication number
JP2003060030A
JP2003060030A JP2001244152A JP2001244152A JP2003060030A JP 2003060030 A JP2003060030 A JP 2003060030A JP 2001244152 A JP2001244152 A JP 2001244152A JP 2001244152 A JP2001244152 A JP 2001244152A JP 2003060030 A JP2003060030 A JP 2003060030A
Authority
JP
Japan
Prior art keywords
insulating film
film
wiring
integrated circuit
circuit device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2001244152A
Other languages
English (en)
Other versions
JP4257051B2 (ja
Inventor
Takeshi Tamaru
剛 田丸
Kazutoshi Omori
一稔 大森
Noriko Miura
典子 三浦
Hideo Aoki
英雄 青木
Takafumi Oshima
隆文 大島
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Ltd
Original Assignee
Hitachi Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Ltd filed Critical Hitachi Ltd
Priority to JP2001244152A priority Critical patent/JP4257051B2/ja
Priority to TW091116567A priority patent/TW578225B/zh
Priority to US10/214,579 priority patent/US6856019B2/en
Priority to KR1020020047023A priority patent/KR100940395B1/ko
Publication of JP2003060030A publication Critical patent/JP2003060030A/ja
Priority to US11/056,224 priority patent/US7078815B2/en
Priority to US11/485,976 priority patent/US7282434B2/en
Application granted granted Critical
Publication of JP4257051B2 publication Critical patent/JP4257051B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02131Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being halogen doped silicon oxides, e.g. FSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3144Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3145Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers formed by deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3148Silicon Carbide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31629Deposition of halogen doped silicon oxide, e.g. fluorine doped silicon oxide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

(57)【要約】 【課題】 SiOF膜を含む層間絶縁膜にダマシン法で
埋め込み配線を形成する半導体集積回路装置において、
埋め込み配線用の配線溝を形成する際に用いるエッチン
グストッパ層とSiOF膜との界面剥離を防止する。 【解決手段】 SiOF膜26、29を含む層間絶縁膜
をドライエッチングして形成した配線溝32の内部にダ
マシン法でCu配線33を埋め込む際、上記ドライエッ
チングのエッチングストッパ層を構成する窒化シリコン
膜28とSiOF膜26との間に酸窒化シリコン膜27
を介在させ、SiOF膜26中で発生した遊離のFを酸
窒化シリコン膜27でトラップする。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、半導体集積回路装
置およびその製造技術に関し、特に、ダマシン(Damasce
ne)法を用いた銅(Cu)配線の形成に適用して有効な
技術に関する。
【0002】
【従来の技術】近年、LSIの高集積化による配線の微
細化に伴って配線抵抗の増大が顕著となり、特に高性能
なロジックLSIにおいては、配線抵抗の増大がさらな
る高性能化を阻害する大きな要因となっている。
【0003】その対策として、シリコン基板上の層間絶
縁膜に配線溝を形成し、次いで配線溝の内部を含む層間
絶縁膜上にCu膜を堆積した後、配線溝の外部の不要な
Cu膜を化学機械研磨(Chemical Mechanical Polishin
g ;CMP)法で除去する、いわゆるダマシン(Damasce
ne)法を用いた埋め込みCu配線の導入が進められてい
る。また、上記Cu配線の導入による配線抵抗の低減と
並行して、配線容量を低減する観点から、酸化シリコン
膜に比べて誘電率が低いSiOFなどを使った層間絶縁
膜の導入が進められている。
【0004】特開2000−277520号公報は、S
iOFからなる層間絶縁膜に形成した配線溝の内部にダ
マシン法を用いて埋め込みCu配線を形成する技術を開
示しており、その概要は次の通りである。
【0005】まず、トランジスタが形成されたシリコン
基板上に酸化シリコン膜を堆積し、続いて酸化シリコン
膜上にエッチングストッパ膜を介してSiOF膜を堆積
する。酸化シリコン膜上のエッチングストッパ膜は、S
iOF膜をドライエッチングして配線溝を形成する際
に、下層の酸化シリコン膜がエッチングされるのを防ぐ
ためのもので、SiOF膜をエッチングするガスによっ
てエッチングされ難い材料、例えば窒化シリコン膜また
は酸窒化シリコン膜(SiON)膜で構成される。
【0006】次に、フォトレジスト膜をマスクにしたド
ライエッチングで上記SiOF膜に配線溝を形成し、続
いて、配線溝の内部を含むSiOF膜上に薄いバリア膜
とスパッタ−Cu膜とを形成した後、その上部に電解メ
ッキ法などによって厚いCu膜を堆積する。上記バリア
膜は、配線溝内のCuがSiOF膜中に拡散して素子特
性に悪影響を及ぼすの防ぐために形成するが、この公報
では、SiOF膜との界面で剥離が生じるのを防ぐため
に、SiOF膜に対して接着性のよい材料、例えば窒素
含量30〜60%の窒化タンタル(TaN)で構成され
る。また、スパッタ−Cu膜は、電解メッキ法でCu膜
を成長させる際のシード(種)膜として機能する。次
に、SiOF膜上の不要なCu膜、スパッタ−Cu膜お
よびバリア膜を化学機械研磨法で除去することによっ
て、配線溝の内部にCu配線を形成する。
【0007】
【発明が解決しようとする課題】本発明者らは、層間絶
縁膜にSiOF膜を、エッチングストッパ膜に窒化シリ
コン膜をそれぞれ用い、この層間絶縁膜に形成した配線
溝にCu配線を形成するプロセスを検討していたとこ
ろ、層間絶縁膜(SiOF膜)とエッチングストッパ膜
(窒化シリコン膜)との界面で剥離が生じるという現象
を見出した。
【0008】前述した公報(特開2000−27752
0号公報)は、配線溝の内部に形成するバリア膜と層間
絶縁膜(SiOF膜)との界面剥離の問題について言及
しているが、層間絶縁膜(SiOF膜)とエッチングス
トッパ膜(窒化シリコン膜)との界面剥離については言
及しておらず、この現象は新規なものである。
【0009】SiOF膜と窒化シリコン膜との界面で剥
離が生じるメカニズムについては、未だ明確にされてい
ないが、例えばSiOF膜中のSi−F結合が一部で切
断されて遊離のFが生じると、このFがSiN膜とSi
OF膜との界面に移行してそこにトラップされ、大気中
から層間絶縁膜内に取り込まれた水と反応してHFが生
成する。そして、その後の熱処理工程で基板が400℃
を超えるような高温雰囲気に曝されるとこのHFが膨張
し、界面剥離を引き起こすのではないかと、本発明者ら
は推測している。
【0010】本発明の目的は、SiOF膜を含む層間絶
縁膜に埋め込み配線を形成する半導体集積回路装置にお
いて、SiOF膜をドライエッチングして埋め込み配線
用の配線溝を形成する際に用いるエッチングストッパ層
とSiOF膜との界面剥離を有効に防止することのでき
る技術を提供することにある。
【0011】本発明の前記ならびにその他の目的と新規
な特徴は、本明細書の記述および添付図面から明らかに
なるであろう。
【0012】
【課題を解決するための手段】本願において開示される
発明のうち、代表的なものの概要を簡単に説明すれば、
次のとおりである。
【0013】本発明の半導体集積回路装置は、半導体基
板の主面上に形成され、フッ素を含有する酸化シリコン
からなる第1絶縁膜と、前記第1絶縁膜の内部に形成さ
れた第1配線と、前記第1絶縁膜および前記第1配線の
それぞれの上部に形成された窒化シリコンからなる第2
絶縁膜と、前記第1絶縁膜と前記第2絶縁膜との間に介
在する、窒素を含有する酸化シリコンからなる第3絶縁
膜とを有するものである。
【0014】本発明の半導体集積回路装置の製造方法
は、以下の工程を含んでいる。 (a)半導体基板の主面上に、第1窒化シリコン膜と、
フッ素を含有する酸化シリコンからなる第1絶縁膜と、
窒素を含有する酸化シリコンからなる第2絶縁膜と、第
2窒化シリコン膜と、フッ素を含有する酸化シリコンか
らなる第3絶縁膜と、窒素を含有する酸化シリコンから
なる第4絶縁膜と、第3窒化シリコン膜とからなる第1
層間絶縁膜を形成する工程、(b)第1フォトレジスト
膜をマスクに用いたドライエッチングで、配線溝形成領
域の前記第3窒化シリコン膜を除去する工程、(c)第
2フォトレジスト膜をマスクに用いたドライエッチング
で、前記配線溝形成領域の一部の前記第4絶縁膜、前記
第3絶縁膜、前記第2窒化シリコン膜、前記第2絶縁膜
および前記第1絶縁膜を除去する工程、(d)前記第3
窒化シリコン膜をマスクに用いたドライエッチングで、
前記配線溝形成領域の前記第4絶縁膜および前記第3絶
縁膜を除去する工程、(e)前記第3窒化シリコン膜を
ドライエッチングで除去し、さらに前記第1窒化シリコ
ン膜をドライエッチングで除去することにより、前記配
線溝形成領域の前記第1層間絶縁膜に第1配線溝を形成
する工程、(f)前記配線溝の内部を埋め込むように第
1導電層を形成した後、前記配線溝の外部の前記第1導
電層を化学機械研磨法によって除去することにより、前
記配線溝の内部に前記第1導電層からなる第1配線を形
成する工程。
【0015】
【発明の実施の形態】以下、本発明の実施の形態を図面
に基づいて詳細に説明する。なお、実施の形態を説明す
るための全図において、同一の部材には同一の符号を付
し、その繰り返しの説明は省略する。
【0016】(実施の形態1)本発明の実施の形態であ
るCMOS−LSIの製造方法を図1〜図14を用いて
工程順に説明する。
【0017】まず、図1に示すように、例えば1〜10
Ωcm程度の比抵抗を有するp型の単結晶シリコンからな
る半導体基板(以下、基板またはウエハという)1に素
子分離溝2を形成する。素子分離溝2を形成するには、
素子分離領域の基板1をエッチングして溝を形成した
後、溝の内部を含む基板1上にCVD法で酸化シリコン
膜3を堆積し、続いて溝の外部の酸化シリコン膜3を化
学機械的に研磨することによって除去する。
【0018】次に、基板1の一部にホウ素をイオン注入
し、他の一部にリンをイオン注入することによって、p
型ウエル4およびn型ウエル5を形成した後、基板1を
スチーム酸化することによって、p型ウエル4およびn
型ウエル5のそれぞれの表面にゲート酸化膜6を形成す
る。
【0019】次に、図2に示すように、p型ウエル4お
よびn型ウエル5のそれぞれの上部にゲート電極7を形
成する。ゲート電極7を形成するには、例えばゲート酸
化膜6の上部にCVD法で多結晶シリコン膜を堆積した
後、p型ウエル4の上部の多結晶シリコン膜にリンをイ
オン注入し、n型ウエル5の上部の多結晶シリコン膜に
ホウ素をイオン注入した後、フォトレジスト膜をマスク
にしたドライエッチングで多結晶シリコン膜をパターニ
ングする。
【0020】次に、p型ウエル4にリンまたはヒ素をイ
オン注入することによって低不純物濃度のn-型半導体
領域8を形成し、n型ウエル5にホウ素をイオン注入す
ることによって低不純物濃度のp-型半導体領域9を形
成する。
【0021】次に、図3に示すように、基板1上にCV
D法で窒化シリコン膜を堆積し、続いてこの窒化シリコ
ン膜を異方的にエッチングすることによって、ゲート電
極7の側壁にサイドウォールスペーサ10を形成した
後、p型ウエル4にリンまたはヒ素をイオン注入するこ
とによって高不純物濃度のn+型半導体領域11(ソー
ス、ドレイン)を形成し、n型ウエル5にホウ素をイオ
ン注入することによって高不純物濃度のp+型半導体領
域12(ソース、ドレイン)を形成する。
【0022】次に、基板1の表面を洗浄した後、ゲート
電極7、n+型半導体領域11(ソース、ドレイン)お
よびp+型半導体領域12(ソース、ドレイン)のそれ
ぞれの表面にシリサイド層13を形成する。シリサイド
層13を形成するには、基板1上にスパッタリング法で
Co(コバルト)膜を堆積し、次いで窒素ガス雰囲気中
で熱処理を行って基板1およびゲート電極7とCo膜と
を反応させた後、未反応のCo膜をウェットエッチング
で除去する。ここまでの工程で、nチャネル型MISF
ETQnおよびpチャネル型MISFETQpが完成す
る。
【0023】次に、図4に示すように、基板1上にCV
D法で窒化シリコン膜15および酸化シリコン膜16を
堆積し、続いてn+型半導体領域11(ソース、ドレイ
ン)およびp+型半導体領域12(ソース、ドレイン)
のそれぞれの上部の酸化シリコン膜16および窒化シリ
コン膜15をドライエッチングしてコンタクトホール1
7を形成した後、コンタクトホール17の内部にメタル
プラグ18を形成する。酸化シリコン膜16をエッチン
グするときは、下層の窒化シリコン膜15のエッチング
速度を小さくするために、CF4、CHF3、C48など
のハイドロフルオロカーボン系ガスまたはフルオロカー
ボン系ガスを使用する。また、窒化シリコン膜15をエ
ッチングするときは、ハイドロフルオロカーボン系ガス
(CHF 3やCH22など)に酸素とArとを加えた混
合ガスを使用する。メタルプラグ18を形成するには、
コンタクトホール17の内部を含む酸化シリコン膜16
上にCVD法でTiN(窒化チタン)膜とW(タングス
テン)膜とを堆積し、続いて酸化シリコン膜16の上部
の不要なTiN膜およびW膜を化学機械研磨(CMP)
法またはエッチバック法によって除去する。なお、酸化
シリコン膜16は、モノシラン(SiH4)をソースガ
スに用いた通常のCVD法で形成する酸化シリコン膜の
他、BPSG(Boron-doped Phospho Silicate Glass)
膜、スピン塗布法によって形成されるSOG(Spin On G
lass)膜あるいはこれらの積層膜などによって構成して
もよい。
【0024】次に、図5に示すように、酸化シリコン膜
16の上部に窒化シリコン膜19、SiOF膜20、酸
窒化シリコン(SiON)膜21を順次堆積する。窒化
シリコン膜19は、次の工程でSiOF膜20に配線溝
を形成する際に下層の酸化シリコン膜16がエッチング
されるのを防ぐためのエッチングストッパ膜として機能
するもので、例えばモノシラン(SiH4)、ジシラン
(Si26)などのシラン系ガスと、アンモニア(NH
3)または窒素との混合ガスを用いたCVD法で堆積す
る。
【0025】SiOF膜20は、例えばSiH4とSi
4と酸素との混合ガス、またはテトラエトキシシラン
((C25O)4Si)とSiF4と酸素との混合ガスを
用いたプラズマCVD法で堆積する。SiOF膜20
は、酸化シリコン(比誘電率=4.1)よりも比誘電率
が小さく(約3.5〜3.7)、後の工程で形成される
Cu配線の配線間における層間絶縁膜容量を低減するこ
とができる。
【0026】酸窒化シリコン膜21は、SiOF膜20
と後の工程でその上部に形成する窒化シリコン膜(2
5)との界面の剥離を防止するために形成する。酸窒化
シリコン膜21は、例えばモノシラン(SiH4)、ジ
シラン(Si26)などのシラン系ガスと、酸素、亜酸
化窒素(N2O)、オゾン(O3)などの酸素含有ガス
と、窒素、NH3などの窒素含有ガスとの混合ガスを用
いたCVD法で堆積する。
【0027】SiOF膜20とその上部に形成される窒
化シリコン膜(25)との間に酸窒化シリコン膜21を
形成すると、SiOF膜20と窒化シリコン膜(25)
の界面での剥離が防止される理由は、次のようなもので
あると推測される。
【0028】上記酸窒化シリコン膜21は、膜中にシリ
コン(Si)の未結合手(ダングリングボンド)が存在
しているため、SiOF膜20中のSi−F結合が一部
で切断されて遊離のFが生じると、このFが窒化シリコ
ン膜(25)との界面に達する前に酸窒化シリコン膜2
1中の未結合手にトラップされる。このとき、未結合手
の数が少ないと、遊離のFの一部が窒化シリコン膜(2
5)との界面に達し、そこでトラップされるため、酸窒
化シリコン膜21と窒化シリコン膜(25)との界面の
接着力が低下してしまう。すなわち、酸窒化シリコン膜
21中に存在する未結合手の数は、遊離のFの数と同等
以上であることが望ましい。
【0029】従って、酸窒化シリコン膜21を成膜する
際は、窒素含有ガスや酸素含有ガスに対するシラン系ガ
スの割合を過剰にして未結合手の数を増やすことが望ま
しい。また、酸窒化シリコン膜21の膜厚が薄い場合
も、遊離のFの一部が窒化シリコン膜(25)との界面
に達してしまうため、ある程度以上の膜厚を確保するこ
とが望ましい。酸窒化シリコン膜21の望ましい膜厚
は、SiOF膜20中で生成する遊離のFの量が成膜条
件や膜厚によって異なるので、一概には規定できない
が、本発明者らの実験では、少なくとも50nm以上と
することによって剥離を防止することができた。また、
酸窒化シリコン膜21の窒素含有率は、5atom%を超え
ない範囲が望ましいという実験結果も得られた。窒素含
有率が高くなると、酸窒化シリコン膜21の膜質が窒化
シリコン膜に近づくため、SiOF膜20と酸窒化シリ
コン膜21との界面の接着力が低下するようになる。
【0030】次に、図6に示すように、フォトレジスト
膜50をマスクにして酸窒化シリコン膜21、SiOF
膜20、窒化シリコン膜19を順次ドライエッチングす
ることによって、コンタクトホール17の上部に配線溝
22を形成する。酸窒化シリコン膜21およびSiOF
膜20をエッチングするときは、下層の窒化シリコン膜
19のエッチング速度を小さくするために、CF4、C
HF3、C48などのハイドロフルオロカーボン系ガス
またはフルオロカーボン系ガスを使用する。また、窒化
シリコン膜19をエッチングするときは、下層の酸化シ
リコン膜16のエッチング速度を小さくするために、ハ
イドロフルオロカーボン系ガスに酸素とArとを加えた
混合ガスを使用する。
【0031】次に、フォトレジスト膜50を除去した
後、図7に示すように、配線溝22の内部に第1層目の
Cu配線24を形成する。Cu配線24は、バリアメタ
ル膜とCu膜との積層膜で構成し、次のような方法で形
成する。まず、配線溝22の内部を含む酸窒化シリコン
膜21上にバリアメタル膜とCu膜とを堆積し、続いて
非酸化性雰囲気(例えば水素雰囲気)中で熱処理(リフ
ロー)を施してCu膜を配線溝22の内部に隙間なく埋
め込んだ後、配線溝22の外部の不要なCu膜とバリア
メタル膜とを化学機械研磨法で除去する。Cu膜とバリ
アメタル膜とを研磨するには、例えばアルミナなどの砥
粒と過酸化水素水または硝酸第二鉄水溶液などの酸化剤
とを主成分とし、これらを水に分散または溶解させた研
磨スラリを使用する。
【0032】上記バリアメタル膜は、Cu配線24中の
CuがSiOF膜20中に拡散するのを防止する機能と
共に、Cu配線24とSiOF膜20中との接着性を向
上させる機能および上記Cu膜をリフローする際の濡れ
性を向上させる機能を有している。このような機能を持
ったバリアメタル膜としては、例えばスパッタリング法
で堆積したTiN膜、WN(窒化タングステン)膜、T
aN(窒化タンタル)などの高融点金属窒化物からなる
膜や、これらの積層膜あるいはTiNとTiの積層膜、
TaとTaNの積層膜などが例示される。
【0033】Cu配線24を構成するCu膜は、スパッ
タリング法、CVD法、メッキ法(電解メッキ法または
無電解メッキ法)のいずれかの方法で形成する。メッキ
法でCu膜を形成する場合は、あらかじめバリアメタル
膜の表面にスパッタリング法などを用いて薄いCu膜か
らなるシード層を形成し、次に、このシード層の表面に
Cu膜を成長させる。また、スパッタリング法でCu膜
を形成する場合は、ロングスロースパッタリング法やコ
リメートスパッタリング法のような指向性の高いスパッ
タリング法を用いることが好ましい。Cu膜は、単体の
Cuの他、Cuを主成分として含むCu合金で構成して
もよい。
【0034】次に、図8に示すように、Cu配線24の
上部にCVD法で窒化シリコン膜25、SiOF膜2
6、酸窒化シリコン膜27を順次堆積し、続いて化学機
械研磨法で酸窒化シリコン膜27を薄く研磨してその表
面を平坦化する。酸窒化シリコン膜27の化学機械研磨
を行う際、ウエハ面内での研磨量のばらつきによって、
下層のSiOF膜26の一部が露出する虞れがある場合
は、SiOF膜26を堆積した後にその表面を研磨し、
その後、SiOF膜26の上部に酸窒化シリコン膜27
を堆積してもよい。窒化シリコン膜25は、Cu配線2
4中のCuがSiOF膜26中に拡散するのを防止する
拡散バリア層として機能するものであるが、前述したよ
うに、窒化シリコン膜25と下層のSiOF膜20との
間に酸窒化シリコン膜21を形成したことにより、窒化
シリコン膜25と下層のSiOF膜20との界面での剥
離を防止することができる。
【0035】次に、図9に示すように、酸窒化シリコン
膜27の上部にCVD法で窒化シリコン膜28、SiO
F膜29、酸窒化シリコン膜30、窒化シリコン膜31
を順次堆積する。窒化シリコン31は次の工程で配線溝
(32)を形成する際のマスクとして機能し、窒化シリ
コン28はエッチングストッパ層として機能するもので
あるが、窒化シリコン膜28と下層のSiOF膜26と
の間に酸窒化シリコン膜27を形成し、窒化シリコン膜
31と下層のSiOF膜29との間に酸窒化シリコン膜
30を形成したことにより、窒化シリコン膜28とSi
OF膜26との界面での剥離や、窒化シリコン膜31と
SiOF膜29との界面での剥離を防止することができ
る。
【0036】酸窒化シリコン膜27、30は、前記酸窒
化シリコン膜21と同様、シリコンリッチとなるような
組成で形成することが望ましい。また、50nm以上の
膜厚で堆積し、窒素含有率が5atom%を超えないように
することが望ましい。
【0037】次に、図10に示すように、フォトレジス
ト膜51をマスクに用いたドライエッチングで配線溝形
成領域の窒化シリコン膜31を除去する。次に、フォト
レジスト膜51を除去した後、図11に示すように、フ
ォトレジスト膜52をマスクに用いたドライエッチング
で配線溝形成領域の一部の酸窒化シリコン膜30、Si
OF膜29、窒化シリコン膜28、酸窒化シリコン膜2
7、SiOF膜26を除去し、窒化シリコン膜25の表
面でエッチングを停止する。
【0038】次に、フォトレジスト膜51を除去した
後、図12に示すように、窒化シリコン膜31をマスク
に用いたドライエッチングで配線溝形成領域の酸窒化シ
リコン膜30およびSiOF膜29を除去する。続い
て、図13に示すように、窒化シリコン膜31、28、
25をドライエッチングすることによって、Cu配線2
4の上部に配線溝32を形成した後、図14に示すよう
に、配線溝32の内部に第2層目のCu配線33を形成
する。第2層目のCu配線33は、前述した第1層目の
Cu配線24の形成方法(図7参照)に準じて形成すれ
ばよい。
【0039】図示は省略するが、その後、前述した工程
を繰り返し、第2層目のCu配線33の上部に複数層の
Cu配線を形成することにより、本実施形態のCMOS
−LSIが完成する。
【0040】なお、本実施形態では、SiOF膜20と
その上層の窒化シリコン膜25との間に酸窒化シリコン
膜21を介在させたが、図15に示すように、SiOF
膜20とその下層の窒化シリコン膜19との間に酸窒化
シリコン膜34を介在させることにより、SiOF膜2
0と窒化シリコン膜19との界面における剥離も防止す
ることができる。
【0041】(実施の形態2)本発明の実施の形態であ
るCMOS−LSIの製造方法を図16〜図21を用い
て工程順に説明する。
【0042】まず、図16に示すように、前記実施の形
態1と同様の方法でnチャネル型MISFETQnおよ
びpチャネル型MISFETQpを形成した後、n+
半導体領域11(ソース、ドレイン)およびp+型半導
体領域12(ソース、ドレイン)のそれぞれの上部の酸
化シリコン膜16および窒化シリコン膜15をドライエ
ッチングしてコンタクトホール17を形成し、コンタク
トホール17の内部にメタルプラグ18を形成する。こ
こまでの工程は、前記実施の形態1の図1〜図4に示し
た工程と同じである。
【0043】次に、図17に示すように、酸化シリコン
膜16の上部にSiC膜37およびSiOF膜20を順
次堆積する。前記実施の形態1では、エッチングストッ
パ膜を窒化シリコン膜で構成したが、本実施形態ではS
iC膜37で構成する。SiC膜に代えてSiCN膜を
使用することもできる。窒化シリコン膜は、比誘電率が
約7であるのに対し、SiC膜やSiCN膜の比誘電率
は約5である。従って、エッチングストッパ層を窒化シ
リコン膜に代えてSiC膜やSiCN膜で構成すること
により、配線間の層間絶縁膜容量を低減することができ
る。SiC膜は、トリメチルシランとヘリウム(He)
との混合ガスを用いたCVD法で堆積し、SiCN膜
は、トリメチルシランとHeとアンモニア(または窒
素)との混合ガスを用いたCVD法で堆積する。トリメ
チルシランに代えてモノ、ジあるいはテトラメチルシラ
ンを使用することもできる。
【0044】また、前記実施の形態1では、SiOF膜
20の上部に酸窒化シリコン膜(21)を堆積したが、
本実施形態では、酸窒化シリコン膜を使用しない。
【0045】次に、図18に示すように、SiOF膜2
0およびSiC膜37をドライエッチングすることによ
って、コンタクトホール17の上部に配線溝22を形成
し、続いて配線溝22の内部に、前記実施の形態1と同
様の方法で第1層目のCu配線24を形成する。
【0046】次に、図19に示すように、Cu配線24
の上部にCVD法でSiC膜38、SiOF膜39、S
iC膜40、SiOF膜41およびSiC膜42を順次
堆積する。なお、SiC膜38、40、42は、前述し
たSiCN膜で代替してもよい。また、SiC膜40を
堆積した後、SiOF膜41を堆積する工程に先立っ
て、化学機械研磨法でSiC膜40を薄く研磨してその
表面を平坦化する。SiC膜40の化学機械研磨を行う
際、ウエハ面内での研磨量のばらつきによって、下層の
SiOF膜39の一部が露出する虞れがある場合は、S
iOF膜39を堆積した後にその表面を研磨し、その
後、SiOF膜39の上部にSiC膜40を堆積しても
よい。SiC膜40あるいはSiOF膜39の表面を平
坦化することにより、SiOF膜41の表面の高さおよ
び膜厚がウエハ面内でほぼ均一になるので、後の工程で
形成される配線溝の内部に埋め込まれるCu配線の膜
厚、すなわちCu配線の抵抗値をウエハ面内でほぼ均一
にすることができる。
【0047】上記SiC膜38は、Cu配線24中のC
uがSiOF膜39中に拡散するのを防止する拡散バリ
ア層として機能する。また、SiC42は次の工程で配
線溝を形成する際のマスクとして機能し、SiC40は
エッチングストッパ層として機能する。すなわち、本実
施形態では、SiOF膜39、41をドライエッチング
して配線溝を形成する際のエッチングストッパ層をSi
C膜またはSiCN膜で構成することによって、SiO
F膜39、41とエッチングストッパ層(SiC膜4
0)、マスク(42)との界面の接着性を向上させる。
また、第1層目のCu配線24が形成されたSiOF膜
20の上部の拡散バリア層をSiC膜38で構成するこ
とによって、SiOF膜20と拡散バリア層(SiC膜
38)との界面の接着性を向上させる。
【0048】SiOF膜とSiC膜との界面の接着性が
良い理由は、CVD法で堆積したSiC膜が多孔性に富
んでいるためであると推測される。すなわち、SiOF
膜中で生じた遊離のFは、多孔質のSiC膜中を通り抜
けて拡散するので、SiOF膜とSiC膜との界面では
トラップされ難いと考えられる。また、SiCN膜も多
孔性に富んでいるため、同様の効果が期待できる。
【0049】次に、図20に示すように、フォトレジス
ト膜(図示せず)およびSiC膜42をマスクに用い、
前記実施の形態1に準じた方法でドライエッチングを行
うことにより、Cu配線24の上部に配線溝43を形成
し、続いて図21に示すように、配線溝43の内部に第
2層目のCu配線44を形成する。
【0050】本実施形態では、エッチングストッパ層を
SiC膜(またはSiCN)膜で構成することによって
SiOF膜との界面の接着性を向上させたが、図22に
示すように、エッチングストッパ層を構成するSiC膜
40とその下層のSiOF膜39との間に、前記実施の
形態1で用いた酸窒化シリコン膜27を介在させてもよ
い。
【0051】以上、本発明者によってなされた発明を発
明の実施の形態に基づき具体的に説明したが、本発明は
前記実施の形態に限定されるものではなく、その要旨を
逸脱しない範囲で種々変更可能であることはいうまでも
ない。
【0052】
【発明の効果】本願において開示される発明のうち、代
表的なものによって得られる効果を簡単に説明すれば以
下のとおりである。
【0053】SiOF膜を含む層間絶縁膜をドライエッ
チングして埋め込み配線用の配線溝を形成する際、ドラ
イエッチングのエッチングストッパ層を構成する窒化シ
リコン膜とSiOF膜との間に酸窒化シリコン膜を介在
させることにより、SiOF膜中で生じた遊離のFが酸
窒化シリコン膜中でトラップされるので、エッチングス
トッパ層とSiOF膜との界面の接着性が向上する。
【図面の簡単な説明】
【図1】本発明の一実施の形態である半導体集積回路装
置の製造方法を示す半導体基板の要部断面図である。
【図2】本発明の一実施の形態である半導体集積回路装
置の製造方法を示す半導体基板の要部断面図である。
【図3】本発明の一実施の形態である半導体集積回路装
置の製造方法を示す半導体基板の要部断面図である。
【図4】本発明の一実施の形態である半導体集積回路装
置の製造方法を示す半導体基板の要部断面図である。
【図5】本発明の一実施の形態である半導体集積回路装
置の製造方法を示す半導体基板の要部断面図である。
【図6】本発明の一実施の形態である半導体集積回路装
置の製造方法を示す半導体基板の要部断面図である。
【図7】本発明の一実施の形態である半導体集積回路装
置の製造方法を示す半導体基板の要部断面図である。
【図8】本発明の一実施の形態である半導体集積回路装
置の製造方法を示す半導体基板の要部断面図である。
【図9】本発明の一実施の形態である半導体集積回路装
置の製造方法を示す半導体基板の要部断面図である。
【図10】本発明の一実施の形態である半導体集積回路
装置の製造方法を示す半導体基板の要部断面図である。
【図11】本発明の一実施の形態である半導体集積回路
装置の製造方法を示す半導体基板の要部断面図である。
【図12】本発明の一実施の形態である半導体集積回路
装置の製造方法を示す半導体基板の要部断面図である。
【図13】本発明の一実施の形態である半導体集積回路
装置の製造方法を示す半導体基板の要部断面図である。
【図14】本発明の一実施の形態である半導体集積回路
装置の製造方法を示す半導体基板の要部断面図である。
【図15】本発明の一実施の形態である半導体集積回路
装置の製造方法を示す半導体基板の要部断面図である。
【図16】本発明の他の実施の形態である半導体集積回
路装置の製造方法を示す半導体基板の要部断面図であ
る。
【図17】本発明の他の実施の形態である半導体集積回
路装置の製造方法を示す半導体基板の要部断面図であ
る。
【図18】本発明の他の実施の形態である半導体集積回
路装置の製造方法を示す半導体基板の要部断面図であ
る。
【図19】本発明の他の実施の形態である半導体集積回
路装置の製造方法を示す半導体基板の要部断面図であ
る。
【図20】本発明の他の実施の形態である半導体集積回
路装置の製造方法を示す半導体基板の要部断面図であ
る。
【図21】本発明の他の実施の形態である半導体集積回
路装置の製造方法を示す半導体基板の要部断面図であ
る。
【図22】本発明の他の実施の形態である半導体集積回
路装置の製造方法を示す半導体基板の要部断面図であ
る。
【符号の説明】
1 半導体基板 2 素子分離溝 3 酸化シリコン膜 4 p型ウエル 5 n型ウエル 6 ゲート酸化膜 7 ゲート電極 8 n-型半導体領域 9 p-型半導体領域 10 サイドウォールスペーサ 11 n+型半導体領域(ソース、ドレイン) 12 p+型半導体領域(ソース、ドレイン) 13 シリサイド層 15 窒化シリコン膜 16 酸化シリコン膜 17 コンタクトホール 18 メタルプラグ 19 窒化シリコン膜 20 SiOF膜 21 酸窒化シリコン膜 22 配線溝 24 Cu配線 25 窒化シリコン膜 26 SiOF膜 27 酸窒化シリコン膜 28 窒化シリコン膜 29 SiOF膜 30 酸窒化シリコン膜 31 窒化シリコン膜 32 配線溝 33 Cu配線 34、35、36 酸窒化シリコン膜 37 SiC膜 38 SiC膜 39 SiOF膜 40 SiC膜 41 SiOF膜 42 SiC膜 43 配線溝 44 Cu配線 50〜54 フォトレジスト膜 Qn nチャネル型MISFET Qp pチャネル型MISFET
───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.7 識別記号 FI テーマコート゛(参考) H01L 27/092 (72)発明者 三浦 典子 東京都青梅市新町六丁目16番地の3 株式 会社日立製作所デバイス開発センタ内 (72)発明者 青木 英雄 東京都青梅市新町六丁目16番地の3 株式 会社日立製作所デバイス開発センタ内 (72)発明者 大島 隆文 東京都青梅市新町六丁目16番地の3 株式 会社日立製作所デバイス開発センタ内 Fターム(参考) 5F033 HH04 HH11 HH12 HH32 HH33 HH34 JJ01 JJ11 JJ12 JJ19 JJ32 JJ33 JJ34 KK01 KK11 KK12 KK25 KK32 KK33 KK34 LL04 MM01 MM02 MM12 MM13 NN06 NN07 PP06 PP15 PP27 PP28 QQ09 QQ10 QQ11 QQ21 QQ25 QQ31 QQ37 QQ48 QQ70 QQ73 QQ75 RR01 RR04 RR06 RR08 RR09 RR11 RR15 RR20 SS01 SS02 SS11 TT02 WW02 WW04 XX12 5F048 AC03 BD04 BE03 BF01 BF06 BF07 BF12 BF15 BF16 BG14 5F058 BA10 BD02 BD04 BD06 BD10 BD13 BD18 BF02 BF07 BF23 BF24 BF25 BF27 BF29 BF30 BJ02

Claims (33)

    【特許請求の範囲】
  1. 【請求項1】 半導体基板と、前記半導体基板の主面上
    に形成され、フッ素を含有する酸化シリコンからなる第
    1絶縁膜と、前記第1絶縁膜の内部に形成された第1配
    線と、前記第1絶縁膜および前記第1配線のそれぞれの
    上部に形成された窒化シリコンからなる第2絶縁膜と、
    前記第1絶縁膜と前記第2絶縁膜との間に介在する、窒
    素を含有する酸化シリコンからなる第3絶縁膜とを有す
    ることを特徴とする半導体集積回路装置。
  2. 【請求項2】 前記第3絶縁膜は、酸素および窒素に対
    するシリコンの割合が化学量論的に過剰となっているこ
    とを特徴とする請求項1記載の半導体集積回路装置。
  3. 【請求項3】 前記第3絶縁膜の窒素濃度は、5atom%
    以下であることを特徴とする請求項1記載の半導体集積
    回路装置。
  4. 【請求項4】 前記第3絶縁膜の膜厚は、50nm以上
    であることを特徴とする請求項1記載の半導体集積回路
    装置。
  5. 【請求項5】 前記第1配線は、銅を主成分として含む
    導電層からなることを特徴とする請求項1記載の半導体
    集積回路装置。
  6. 【請求項6】 前記第2絶縁膜の上部には、フッ素を含
    有する酸化シリコンからなる第4絶縁膜と、窒化シリコ
    ンからなる第5絶縁膜と、前記第4絶縁膜と前記第5絶
    縁膜との間に介在する、窒素を含有する酸化シリコンか
    らなる第6絶縁とを含んだ層間絶縁膜が形成され、前記
    層間絶縁膜の内部には、前記第1配線と電気的に接続さ
    れた第2配線が形成され、前記第2配線との接続部を除
    いた領域の前記第1配線の表面は、窒化シリコンからな
    る第7絶縁膜で覆われていることを特徴とする請求項1
    記載の半導体集積回路装置。
  7. 【請求項7】 前記第2絶縁膜と前記第4絶縁膜との間
    には、窒素を含有する酸化シリコンからなる第8絶縁膜
    が介在していることを特徴とする請求項6記載の半導体
    集積回路装置。
  8. 【請求項8】 半導体基板と、前記半導体基板の主面上
    に形成され、フッ素を含有する酸化シリコンからなる第
    1絶縁膜と、前記第1絶縁膜の内部に形成された第1配
    線と、前記第1絶縁膜および前記第1配線のそれぞれの
    上部に形成されたSiCまたはSiCNからなる第2絶
    縁膜と、前記第1絶縁膜と前記第2絶縁膜との間に介在
    する、窒素を含有する酸化シリコンからなる第3絶縁膜
    とを有することを特徴とする半導体集積回路装置。
  9. 【請求項9】 前記第3絶縁膜は、酸素および窒素に対
    するシリコンの割合が化学量論的に過剰となっているこ
    とを特徴とする請求項8記載の半導体集積回路装置。
  10. 【請求項10】 前記第3絶縁膜の窒素濃度は、5atom
    %以下であることを特徴とする請求項8記載の半導体集
    積回路装置。
  11. 【請求項11】 前記第3絶縁膜の膜厚は、50nm以
    上であることを特徴とする請求項8記載の半導体集積回
    路装置。
  12. 【請求項12】 前記第1配線は、銅を主成分として含
    む導電層からなることを特徴とする請求項8記載の半導
    体集積回路装置。
  13. 【請求項13】 前記第2絶縁膜の上部には、フッ素を
    含有する酸化シリコンからなる第4絶縁膜と、SiCま
    たはSiCNからなる第5絶縁膜と、前記第4絶縁膜と
    前記第5絶縁膜との間に介在する、窒素を含有する酸化
    シリコンからなる第6絶縁膜とを含んだ層間絶縁膜が形
    成され、前記層間絶縁膜の内部には、前記第1配線と電
    気的に接続された第2配線が形成され、前記第2配線と
    の接続部を除いた領域の前記第1配線の表面は、SiC
    またはSiCNからなる第7絶縁膜で覆われていること
    を特徴とする請求項8記載の半導体集積回路装置。
  14. 【請求項14】 前記第2絶縁膜と前記第4絶縁膜との
    間には、SiCまたはSiCNからなる第8絶縁膜が介
    在していることを特徴とする請求項13記載の半導体集
    積回路装置。
  15. 【請求項15】 半導体基板と、前記半導体基板の主面
    上に形成され、フッ素を含有する酸化シリコンからなる
    第1絶縁膜と、前記第1絶縁膜の内部に形成された第1
    配線と、前記第1絶縁膜および前記第1配線のそれぞれ
    の上部に形成されたSiCまたはSiCNからなる第2
    絶縁膜とを有することを特徴とする半導体集積回路装
    置。
  16. 【請求項16】 前記第1配線は、銅を主成分として含
    む導電層からなることを特徴とする請求項15記載の半
    導体集積回路装置。
  17. 【請求項17】 前記第1絶縁膜の上層には、フッ素を
    含有する酸化シリコンからなる第3絶縁膜と、SiCま
    たはSiCNからなる第4絶縁膜とを含んだ層間絶縁膜
    が形成され、前記層間絶縁膜の内部には、前記第1配線
    と電気的に接続された第2配線が形成され、前記第2埋
    配線との接続部を除いた領域の前記第1配線の表面は、
    SiCまたはSiCNを主成分とする第5絶縁膜で覆わ
    れていることを特徴とする請求項15記載の半導体集積
    回路装置。
  18. 【請求項18】 以下の工程を含む半導体集積回路装置
    の製造方法:(a)半導体基板の主面上に、第1窒化シ
    リコン膜と、フッ素を含有する酸化シリコンからなる第
    1絶縁膜と、窒素を含有する酸化シリコンからなる第2
    絶縁膜と、第2窒化シリコン膜と、フッ素を含有する酸
    化シリコンからなる第3絶縁膜と、窒素を含有する酸化
    シリコンからなる第4絶縁膜と、第3窒化シリコン膜と
    からなる第1層間絶縁膜を形成する工程、(b)第1フ
    ォトレジスト膜をマスクに用いたドライエッチングで、
    配線溝形成領域の前記第3窒化シリコン膜を除去する工
    程、(c)第2フォトレジスト膜をマスクに用いたドラ
    イエッチングで、前記配線溝形成領域の一部の前記第4
    絶縁膜、前記第3絶縁膜、前記第2窒化シリコン膜、前
    記第2絶縁膜および前記第1絶縁膜を除去する工程、
    (d)前記第3窒化シリコン膜をマスクに用いたドライ
    エッチングで、前記配線溝形成領域の前記第4絶縁膜お
    よび前記第3絶縁膜を除去する工程、(e)前記第3窒
    化シリコン膜をドライエッチングで除去し、さらに前記
    第1窒化シリコン膜をドライエッチングで除去すること
    により、前記配線溝形成領域の前記第1層間絶縁膜に第
    1配線溝を形成する工程、(f)前記配線溝の内部を埋
    め込むように第1導電層を形成した後、前記配線溝の外
    部の前記第1導電層を化学機械研磨法によって除去する
    ことにより、前記配線溝の内部に前記第1導電層からな
    る第1配線を形成する工程。
  19. 【請求項19】 前記第2絶縁膜および前記第4絶縁膜
    は、酸素および窒素に対するシリコンの割合が化学量論
    的に過剰となっていることを特徴とする請求項18記載
    の半導体集積回路装置の製造方法。
  20. 【請求項20】 前記第2絶縁膜および前記第4絶縁膜
    の窒素濃度は、5atom%以下であることを特徴とする請
    求項18記載の半導体集積回路装置の製造方法。
  21. 【請求項21】 前記第2絶縁膜および前記第4絶縁膜
    の膜厚は、50nm以上であることを特徴とする請求項
    18記載の半導体集積回路装置の製造方法。
  22. 【請求項22】 前記第1配線は、銅を主成分として含
    む導電層からなることを特徴とする請求項18記載の半
    導体集積回路装置の製造方法。
  23. 【請求項23】 前記工程(a)において、前記第1絶
    縁膜を形成した後、前記第2絶縁膜を形成する工程に先
    立って、前記第1絶縁膜の表面を化学機械研磨法で平坦
    化することを特徴とする請求項18記載の半導体集積回
    路装置の製造方法。
  24. 【請求項24】 前記工程(a)において、前記第2絶
    縁膜を形成した後、前記第2窒化シリコン膜を形成する
    工程に先立って、前記第2絶縁膜の表面を化学機械研磨
    法で平坦化することを特徴とする請求項18記載の半導
    体集積回路装置の製造方法。
  25. 【請求項25】 以下の工程を含む半導体集積回路装置
    の製造方法: (a)半導体基板の主面上に、第1SiC膜または第1
    SiCN膜と、フッ素を含有する酸化シリコンからなる
    第1絶縁膜と、窒素を含有する酸化シリコンからなる第
    2絶縁膜と、第2SiC膜または第2SiCN膜と、フ
    ッ素を含有する酸化シリコンからなる第3絶縁膜と、窒
    素を含有する酸化シリコンからなる第4絶縁膜と、Si
    C、SiCNまたは窒化シリコンからなる第5絶縁膜と
    からなる第1層間絶縁膜を形成する工程、(b)第1フ
    ォトレジスト膜をマスクに用いたドライエッチングで、
    配線溝形成領域の前記第5絶縁膜を除去する工程、
    (c)第2フォトレジスト膜をマスクに用いたドライエ
    ッチングで、前記配線溝形成領域の一部の前記第4絶縁
    膜、前記第3絶縁膜、前記第2SiC膜または第2Si
    CN膜、前記第2絶縁膜および前記第1絶縁膜を除去す
    る工程、(d)前記第5絶縁膜をマスクに用いたドライ
    エッチングで、前記配線溝形成領域の前記第4絶縁膜お
    よび前記第3絶縁膜を除去する工程、(e)前記第5絶
    縁膜をドライエッチングで除去し、さらに前記第1Si
    C膜または第1SiCN膜をドライエッチングで除去す
    ることにより、前記配線溝形成領域の前記第1層間絶縁
    膜に第1配線溝を形成する工程、(f)前記配線溝の内
    部を埋め込むように第1導電層を形成した後、前記配線
    溝の外部の前記第1導電層を化学機械研磨法によって除
    去することにより、前記配線溝の内部に前記第1導電層
    からなる第1配線を形成する工程。
  26. 【請求項26】 前記第2絶縁膜および前記第4絶縁膜
    は、酸素および窒素に対するシリコンの割合が化学量論
    的に過剰となっていることを特徴とする請求項25記載
    の半導体集積回路装置の製造方法。
  27. 【請求項27】 前記第2絶縁膜および前記第4絶縁膜
    の窒素濃度は、5atom%以下であることを特徴とする請
    求項25記載の半導体集積回路装置の製造方法。
  28. 【請求項28】 前記第2絶縁膜および前記第4絶縁膜
    の膜厚は、50nm以上であることを特徴とする請求項
    25記載の半導体集積回路装置の製造方法。
  29. 【請求項29】 前記第1配線は、銅を主成分として含
    む導電層からなることを特徴とする請求項25記載の半
    導体集積回路装置の製造方法。
  30. 【請求項30】 前記工程(a)において、前記第1絶
    縁膜を形成した後、前記第2絶縁膜を形成する工程に先
    立って、前記第1絶縁膜の表面を化学機械研磨法で平坦
    化することを特徴とする請求項25記載の半導体集積回
    路装置の製造方法。
  31. 【請求項31】 前記工程(a)において、前記第2絶
    縁膜を形成した後、前記第2SiC膜または第2SiC
    N膜を形成する工程に先立って、前記第2絶縁膜の表面
    を化学機械研磨法で平坦化することを特徴とする請求項
    25記載の半導体集積回路装置の製造方法。
  32. 【請求項32】 以下の工程を含む半導体集積回路装置
    の製造方法: (a)半導体基板の主面上に、第1SiC膜または第1
    SiCN膜と、フッ素を含有する酸化シリコンからなる
    第1絶縁膜と、第2SiC膜または第2SiCN膜と、
    フッ素を含有する酸化シリコンからなる第2絶縁膜と、
    SiC、SiCNまたは窒化シリコンからなる第3絶縁
    膜とからなる第1層間絶縁膜を形成する工程、(b)第
    1フォトレジスト膜をマスクに用いたドライエッチング
    で、配線溝形成領域の前記第3絶縁膜を除去する工程、
    (c)第2フォトレジスト膜をマスクに用いたドライエ
    ッチングで、前記配線溝形成領域の一部の前記第2絶縁
    膜、前記第2SiC膜または第2SiCN膜および前記
    第1絶縁膜を除去する工程、(d)前記第3絶縁膜をマ
    スクに用いたドライエッチングで、前記配線溝形成領域
    の前記第2絶縁膜を除去する工程、(e)前記第3絶縁
    膜をドライエッチングし、さらに前記第1SiC膜また
    は第1SiCN膜をドライエッチングで除去することに
    より、前記配線溝形成領域の前記第1層間絶縁膜に第1
    配線溝を形成する工程、(f)前記配線溝の内部を埋め
    込むように第1導電層を形成した後、前記配線溝の外部
    の前記第1導電層を化学機械研磨法によって除去するこ
    とにより、前記配線溝の内部に前記第1導電層からなる
    第1配線を形成する工程。
  33. 【請求項33】 前記第1埋め込み配線は、銅を主成分
    として含む導電膜からなることを特徴とする請求項32
    記載の半導体集積回路装置の製造方法。
JP2001244152A 2001-08-10 2001-08-10 半導体集積回路装置の製造方法 Expired - Fee Related JP4257051B2 (ja)

Priority Applications (6)

Application Number Priority Date Filing Date Title
JP2001244152A JP4257051B2 (ja) 2001-08-10 2001-08-10 半導体集積回路装置の製造方法
TW091116567A TW578225B (en) 2001-08-10 2002-07-25 Semiconductor integrated circuit device
US10/214,579 US6856019B2 (en) 2001-08-10 2002-08-09 Semiconductor integrated circuit device
KR1020020047023A KR100940395B1 (ko) 2001-08-10 2002-08-09 반도체 집적 회로 장치
US11/056,224 US7078815B2 (en) 2001-08-10 2005-02-14 Semiconductor integrated circuit device
US11/485,976 US7282434B2 (en) 2001-08-10 2006-07-14 Method of manufacturing a semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2001244152A JP4257051B2 (ja) 2001-08-10 2001-08-10 半導体集積回路装置の製造方法

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2008306167A Division JP2009088548A (ja) 2008-12-01 2008-12-01 半導体集積回路装置およびその製造方法

Publications (2)

Publication Number Publication Date
JP2003060030A true JP2003060030A (ja) 2003-02-28
JP4257051B2 JP4257051B2 (ja) 2009-04-22

Family

ID=19074131

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001244152A Expired - Fee Related JP4257051B2 (ja) 2001-08-10 2001-08-10 半導体集積回路装置の製造方法

Country Status (4)

Country Link
US (3) US6856019B2 (ja)
JP (1) JP4257051B2 (ja)
KR (1) KR100940395B1 (ja)
TW (1) TW578225B (ja)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005033163A (ja) * 2003-07-11 2005-02-03 Hynix Semiconductor Inc 半導体素子の金属配線形成方法
JP2007005756A (ja) * 2005-06-22 2007-01-11 Hynix Semiconductor Inc 半導体素子のコンタクト孔の形成方法
US7176121B2 (en) 2002-10-17 2007-02-13 Renesas Technology Corp. Semiconductor device and manufacturing method thereof
JP2007184602A (ja) * 2005-12-29 2007-07-19 Magnachip Semiconductor Ltd イメージセンサの金属配線形成方法
US7323781B2 (en) 2003-03-25 2008-01-29 Renesas Technology Corp. Semiconductor device and manufacturing method thereof
JP2009016828A (ja) * 2007-07-02 2009-01-22 Samsung Electronics Co Ltd 半導体装置の製造方法
US7723849B2 (en) 2002-10-17 2010-05-25 Renesas Technology Corp. Semiconductor device and manufacturing method thereof
WO2012077163A1 (ja) * 2010-12-08 2012-06-14 日新電機株式会社 シリコン酸窒化膜及びその形成方法並びに半導体デバイス

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7271489B2 (en) 2003-10-15 2007-09-18 Megica Corporation Post passivation interconnection schemes on top of the IC chips
US6727560B1 (en) * 2003-02-10 2004-04-27 Advanced Micro Devices, Inc. Engineered metal gate electrode
CN100499035C (zh) * 2003-10-03 2009-06-10 株式会社半导体能源研究所 半导体器件的制造方法
KR100562675B1 (ko) * 2003-11-04 2006-03-20 주식회사 하이닉스반도체 반도체 소자의 제조방법
US8552559B2 (en) * 2004-07-29 2013-10-08 Megica Corporation Very thick metal interconnection scheme in IC chips
US7605414B2 (en) * 2005-01-24 2009-10-20 Macronix International Co., Ltd. MOS transistors having low-resistance salicide gates and a self-aligned contact between them
US9202758B1 (en) * 2005-04-19 2015-12-01 Globalfoundries Inc. Method for manufacturing a contact for a semiconductor component and related structure
JP4865361B2 (ja) * 2006-03-01 2012-02-01 株式会社日立ハイテクノロジーズ ドライエッチング方法
US8022552B2 (en) 2006-06-27 2011-09-20 Megica Corporation Integrated circuit and method for fabricating the same
KR100788362B1 (ko) * 2006-12-19 2008-01-02 동부일렉트로닉스 주식회사 모스펫 소자 및 그 형성 방법
US8193636B2 (en) * 2007-03-13 2012-06-05 Megica Corporation Chip assembly with interconnection by metal bump
US8030733B1 (en) 2007-05-22 2011-10-04 National Semiconductor Corporation Copper-compatible fuse target
US7964934B1 (en) 2007-05-22 2011-06-21 National Semiconductor Corporation Fuse target and method of forming the fuse target in a copper process flow
US8021975B2 (en) * 2007-07-24 2011-09-20 Tokyo Electron Limited Plasma processing method for forming a film and an electronic component manufactured by the method
US8197913B2 (en) * 2007-07-25 2012-06-12 Tokyo Electron Limited Film forming method for a semiconductor
US20090045515A1 (en) * 2007-08-16 2009-02-19 Texas Instruments Incorporated Monitoring the magnetic properties of a metal layer during the manufacture of semiconductor devices
US7709956B2 (en) * 2008-09-15 2010-05-04 National Semiconductor Corporation Copper-topped interconnect structure that has thin and thick copper traces and method of forming the copper-topped interconnect structure
US8093153B2 (en) * 2009-12-18 2012-01-10 United Microelectronics Corporation Method of etching oxide layer and nitride layer
KR102616489B1 (ko) 2016-10-11 2023-12-20 삼성전자주식회사 반도체 장치 제조 방법

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09213699A (ja) * 1996-02-06 1997-08-15 Tokyo Electron Ltd 多層配線半導体装置の配線形成方法
KR100212467B1 (ko) * 1996-10-07 1999-08-02 정선종 절연막 형성 방법
EP1052694A4 (en) * 1998-01-10 2004-11-24 Tokyo Electron Ltd SEMICONDUCTOR DEVICE HAVING AN INSULATING LAYER CONSISTING OF A FLUORINATED CARBON FILM AND METHOD FOR PRODUCING SAID DEVICE
KR100278657B1 (ko) * 1998-06-24 2001-02-01 윤종용 반도체장치의금속배선구조및그제조방법
US6252303B1 (en) * 1998-12-02 2001-06-26 Advanced Micro Devices, Inc. Intergration of low-K SiOF as inter-layer dielectric
US6255233B1 (en) * 1998-12-30 2001-07-03 Intel Corporation In-situ silicon nitride and silicon based oxide deposition with graded interface for damascene application
JP3266195B2 (ja) 1999-03-23 2002-03-18 日本電気株式会社 半導体装置の製造方法
JP2001085517A (ja) * 1999-09-13 2001-03-30 Sony Corp 半導体装置およびその製造方法
JP2001319928A (ja) * 2000-05-08 2001-11-16 Hitachi Ltd 半導体集積回路装置およびその製造方法
US6645873B2 (en) * 2000-06-21 2003-11-11 Asm Japan K.K. Method for manufacturing a semiconductor device
KR100479796B1 (ko) * 2000-09-11 2005-03-31 동경 엘렉트론 주식회사 반도체 소자 및 이의 제조 방법
JP3773800B2 (ja) 2001-03-21 2006-05-10 三洋電機株式会社 モーター駆動電気機器の電流検出方法
JP3967567B2 (ja) * 2001-07-30 2007-08-29 株式会社東芝 半導体装置およびその製造方法

Cited By (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7723849B2 (en) 2002-10-17 2010-05-25 Renesas Technology Corp. Semiconductor device and manufacturing method thereof
US8012871B2 (en) 2002-10-17 2011-09-06 Renesas Electronics Corporation Semiconductor device and manufacturing method thereof
US7176121B2 (en) 2002-10-17 2007-02-13 Renesas Technology Corp. Semiconductor device and manufacturing method thereof
US8810034B2 (en) 2003-03-25 2014-08-19 Renesas Electronics Corporation Semiconductor device and manufacturing method thereof
US9064870B2 (en) 2003-03-25 2015-06-23 Renesas Electronics Corporation Semiconductor device and manufacturing method thereof
US10304726B2 (en) 2003-03-25 2019-05-28 Renesas Electronics Corporation Semiconductor device and manufacturing method thereof
US10121693B2 (en) 2003-03-25 2018-11-06 Renesas Electronics Corporation Semiconductor device and manufacturing method thereof
US7777343B2 (en) 2003-03-25 2010-08-17 Renesas Technology Corp. Semiconductor device and manufacturing method thereof
US7323781B2 (en) 2003-03-25 2008-01-29 Renesas Technology Corp. Semiconductor device and manufacturing method thereof
US9818639B2 (en) 2003-03-25 2017-11-14 Renesas Electronics Corporation Semiconductor device and manufacturing method thereof
US8053893B2 (en) 2003-03-25 2011-11-08 Renesas Electronics Corporation Semiconductor device and manufacturing method thereof
US9659867B2 (en) 2003-03-25 2017-05-23 Renesas Electronics Corporation Semiconductor device and manufacturing method thereof
US8431480B2 (en) 2003-03-25 2013-04-30 Renesas Electronics Corporation Semiconductor device and manufacturing method thereof
US9490213B2 (en) 2003-03-25 2016-11-08 Renesas Electronics Corporation Semiconductor device and manufacturing method thereof
US8617981B2 (en) 2003-03-25 2013-12-31 Renesas Electronics Corporation Semiconductor device and manufacturing method thereof
JP4638139B2 (ja) * 2003-07-11 2011-02-23 マグナチップセミコンダクター有限会社 半導体素子の金属配線形成方法
JP2005033163A (ja) * 2003-07-11 2005-02-03 Hynix Semiconductor Inc 半導体素子の金属配線形成方法
JP2007005756A (ja) * 2005-06-22 2007-01-11 Hynix Semiconductor Inc 半導体素子のコンタクト孔の形成方法
JP2007184602A (ja) * 2005-12-29 2007-07-19 Magnachip Semiconductor Ltd イメージセンサの金属配線形成方法
JP2009016828A (ja) * 2007-07-02 2009-01-22 Samsung Electronics Co Ltd 半導体装置の製造方法
US9058982B2 (en) 2010-12-08 2015-06-16 Nissin Electric Co., Ltd. Silicon oxynitride film and method for forming same, and semiconductor device
JP5224012B2 (ja) * 2010-12-08 2013-07-03 日新電機株式会社 シリコン酸窒化膜の形成方法及び半導体デバイス
WO2012077163A1 (ja) * 2010-12-08 2012-06-14 日新電機株式会社 シリコン酸窒化膜及びその形成方法並びに半導体デバイス

Also Published As

Publication number Publication date
US20050151262A1 (en) 2005-07-14
US7282434B2 (en) 2007-10-16
TW578225B (en) 2004-03-01
KR100940395B1 (ko) 2010-02-02
KR20030014152A (ko) 2003-02-15
US6856019B2 (en) 2005-02-15
US20030030146A1 (en) 2003-02-13
JP4257051B2 (ja) 2009-04-22
US20060258149A1 (en) 2006-11-16
US7078815B2 (en) 2006-07-18

Similar Documents

Publication Publication Date Title
JP4257051B2 (ja) 半導体集積回路装置の製造方法
KR100564188B1 (ko) 반도체집적회로장치및그제조방법
US7858519B2 (en) Integrated circuit and manufacturing method of copper germanide and copper silicide as copper capping layer
KR100598705B1 (ko) 저유전율막을 가지는 반도체 장치 및 그 제조 방법
TWI484554B (zh) Semiconductor device and manufacturing method thereof
JP2010080798A (ja) 半導体集積回路装置および半導体集積回路装置の製造方法
KR20050077457A (ko) 반도체 소자의 배선 방법 및 배선 구조체
JP2004088047A (ja) 半導体装置の製造方法
JP2003100746A (ja) 半導体装置の製造方法
US6465345B1 (en) Prevention of inter-channel current leakage in semiconductors
US6734104B2 (en) Method of manufacturing a semiconductor device and a semiconductor device
US9852991B2 (en) Semiconductor structure and fabrication method thereof
JP2000150517A (ja) 半導体集積回路装置およびその製造方法
JP2004207604A (ja) 半導体装置およびその製造方法
JP2009088548A (ja) 半導体集積回路装置およびその製造方法
EP1797585A1 (en) Plasma enhanced nitride layer
TWI840964B (zh) 形成半導體結構的方法
JP2002270689A (ja) 半導体装置の製造方法
TWI809823B (zh) 半導體元件的製作方法
US20230386907A1 (en) Dielectric silicon nitride barrier deposition process for improved metal leakage and adhesion
TW413899B (en) Manufacturing process of unlanded via
CN115841987A (zh) 形成互连结构的方法和互连结构
JP2004172336A (ja) 半導体装置の製造方法および半導体装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050314

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080930

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20081201

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20090106

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20090202

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120206

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4257051

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120206

Year of fee payment: 3

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120206

Year of fee payment: 3

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130206

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130206

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140206

Year of fee payment: 5

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

LAPS Cancellation because of no payment of annual fees