JP2002543272A - 半導体デバイス用の、有機残留物およびプラズマエッチングされた残留物を洗浄するための組成物 - Google Patents

半導体デバイス用の、有機残留物およびプラズマエッチングされた残留物を洗浄するための組成物

Info

Publication number
JP2002543272A
JP2002543272A JP2000615722A JP2000615722A JP2002543272A JP 2002543272 A JP2002543272 A JP 2002543272A JP 2000615722 A JP2000615722 A JP 2000615722A JP 2000615722 A JP2000615722 A JP 2000615722A JP 2002543272 A JP2002543272 A JP 2002543272A
Authority
JP
Japan
Prior art keywords
composition
cleaning
weight
water
organic
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2000615722A
Other languages
English (en)
Other versions
JP2002543272A5 (ja
Inventor
スモール,ロバート,ジェイ.
チェン,ジュン
モー,タイシン
Original Assignee
イーケーシー テクノロジー,インコーポレイティド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by イーケーシー テクノロジー,インコーポレイティド filed Critical イーケーシー テクノロジー,インコーポレイティド
Publication of JP2002543272A publication Critical patent/JP2002543272A/ja
Publication of JP2002543272A5 publication Critical patent/JP2002543272A5/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/43Solvents
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/50Solvents
    • C11D7/5004Organic solvents
    • C11D7/5009Organic solvents containing phosphorus, sulfur or silicon, e.g. dimethylsulfoxide
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/50Solvents
    • C11D7/5004Organic solvents
    • C11D7/5013Organic solvents containing nitrogen
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/426Stripping or agents therefor using liquids only containing organic halogen compounds; containing organic sulfonic acids or salts thereof; containing sulfoxides
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3209Amines or imines with one to four nitrogen atoms; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3218Alkanolamines or alkanolimines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3263Amides or imides
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/34Organic compounds containing sulfur

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Wood Science & Technology (AREA)
  • Organic Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Detergent Compositions (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

(57)【要約】 1つ以上のフッ化化合物約0.01重量%〜約5重量%、水約20重量%〜約50重量%、有機アミド溶剤約20重量%〜約80重量%および有機スルホキシド溶剤0〜約50重量%を含む、基板から残留物を除去するための組成物。本組成物は、約7〜約10のpHを有する。さらに、本組成物は、腐食防止剤、キレート化剤、界面活性剤、酸および塩基を任意に含む。本組成物を使用する際には、基板の洗浄が可能な時間および温度で、基板を本組成物と接触させる。

Description

【発明の詳細な説明】
【0001】 発明の背景 発明の分野 本発明は、半導体基板からの有機化合物、無機化合物または「ポリマー」(エ
ッチ後残留物)を洗浄するのに特に有用な半水性(semiaqueous)洗浄用組成物に
関する。本明細書で使用する用語「半水性」は、水と有機溶剤との混合物を指す
。本発明は、半導体基板からの残留物を洗浄するために、この組成物を使用する
方法も含む。さらに詳細には、本発明は、半水性洗浄用組成物およびその使用方
法について説明する。本溶液は、有機アンモニウム化合物およびアミンカルボキ
シレート化合物を含まず、フッ化化合物、水、および溶剤を含み、腐食防止剤、
キレート化剤、界面活性剤、酸および塩基を任意に含む。
【0002】 関連技術の説明 半導体業界では、プライムシリコンウェーハ(イオンインプランテーションま
たはデバイス構築が未だ行われていないウェーハ)の洗浄に、フッ化物含有化学
薬品が長年使用されてきた。一般に、「RCA洗浄」と呼ばれる手順の最終工程
段階で、フッ化物化学薬品(通常、希フッ化水素酸)が使用される。基板は、し
ばしば前の工程段階から、単層量の金属、陰イオンおよび/または有機汚染物質
または表面残留物(パーティクル)で汚染されている。これらの汚染物質は、簡
単なテストデバイス構造の電気的保全性(integrity)に対して著しい影響を有す
ることが明らかにされており、保全性を損なわずに効率よく洗浄することが必要
である。このような洗浄方法は、技術文献、たとえば、Int.Conf.On
Solid State Devices and Materials,1
991,pp.484−486またはKujime,T.et al.,Pro
c.of the 1996 Semi.Pure Water and Ch
emicals,pp.245−256およびSinger,P.Semi.I
nternational,p.88,October 1995で論じられて
いる技術を含んでもよい。
【0003】 プライムウェーハを低pH溶液で洗浄する方法を教示する特許としては、米国
特許第5,560,857号、第5,645,737号、第5,181,985
号、第5,603,849号、第5,705,089号などがある。
【0004】 フッ化物化学薬品(通常はHF)を最終RCA洗浄ステップで使用することに
よって、シリコンウェーハ表面が疎水性状態になり(表面がSi−H基で覆われ
る)、これが水をはじく。この洗浄ステップの間に、ウェーハ表面のある一定の
割合が溶解される(除去される)。洗浄条件(時間、温度、溶液組成物)を注意
深く監視しなければ、Rafols,C.et al.,J.Electroa
nalytic Chem.433,pp.77−83,1997に報告されて
いるように、基板が損傷される恐れがある。多数の組成物が水と有機溶剤を併せ
持つ。これらのHF溶液中の水の濃度は非常に重要である。酸化シリカは、HF
/水中で21Å/分(25℃)のエッチ速度を有するが、NSF/SRC En
g.Res.Center,Environmentally Benign
Semiconductor Manufacturing,Aug.5−7,
1998,Stanford Universityに報告されている通り、イ
ソブタノール中では、その速度は2.14Å/分まで低下し、アセトン(非プロ
トン性溶剤)中ではさらに低く、その速度は僅か0.12Å/分であった。
【0005】 ライン前工程(Front End of Line;FEOL)洗浄工程後
、ウェーハは、半導体デバイスの典型的なライン後工程(Back End o
f Line;BEOL)製造工程に進み、そこでは、デバイスは、ダイナミッ
ク・ランダム・アクセス・メモリー(DRAMs)、スタティック・ランダム・
アクセス・メモリー(SRAMs)、ロジック、電気的にプログラム可能なリー
ドオンリー・メモリー(EPROMs)、相補形メタルオンシリコン(Meta
l On Silicon)(CMOS)等々であってもよい。このような半導
体基板上にワイヤリング構造を形成する方法として、化学反応(液体またはプラ
ズマ)を使用するエッチング製造技術が使用されてきた。
【0006】 フォトレジストフィルムをウェーハ上に付着させてマスクを形成し、次いで基
板デザインをフィルム層にイメージし、ベークし、現像されていないイメージを
フォトレジストクリーナーで除去する。次いで、プラズマエネルギーで活性化さ
れた反応性エッチングガスで、残りのイメージを下にある材料(誘電体または金
属のいずれか)に移す。エッチャントガスは、基板の保護されていない領域を選
択的に攻撃する。金属(Al)および誘電体のエッチには、通常、フッ化物化学
薬品を含む、液体エッチング用化学薬品が、長年にわたって広く使用されてきた
。フッ化物化学薬品は非常に攻撃的であり、結果として等方性のエッチング(全
方向に等しくエッチングする)になった。Taylor,D.,Solid S
tate Technology,July 1998,p.119により報告
されている通り、統計学的プロセス制御技術により等方性のエッチを制御しよう
とする試みがあったが、等方性エッチング作用は、厳しい臨界寸法制御(tight c
ritical dimension control)を求める現在のニーズに耐えることができない。
【0007】 通常のプラズマエッチング方法は、異方性(単方向性)エッチングを含み、同
時に副生成物(フォトレジスト、エッチングガスおよびエッチングされた物質か
らなる)が残留物としてエッチングされた開口部の側壁に付着する。
【0008】 保護側壁付着物を形成する不都合は、エッチング手順後に、残留物の除去が非
常に困難な可能性があることである。これらの残留物中の成分が何らかの方法で
除去されないか、または中和されない場合、残留物は水分を吸収して、金属構造
を腐食し得る酸性種を形成する。結果として生じる酸はワイヤリング材料を腐食
して、電気抵抗の増加およびワイヤ切断等の有害作用を引き起こす。このような
問題は、特に、一般にワイヤリング材料として使用されるアルミニウムおよびア
ルミニウム合金で、頻繁に発生する。酸性物質と接触しているウェーハ基板は、
コントロールされなければ、金属構造を破壊する可能性がある。
【0009】 エッチング作業工程の完了後、仕上作業工程が可能なように、レジストマスク
を保護面から除去することが必要である。金属電子回路を腐食、溶解または衰弱
させたり、ウェーハ基板を化学的に変化させたりせずに、有機ポリマー物質を被
覆無機基板から除去するための、改良された洗浄用組成物を開発することが望ま
しい。
【0010】 フォトレジストコーティングの除去(アッシングされていない場合)および他
の基板に使用される洗浄用組成物は、その大部分が非常に燃え易く、一般に、ヒ
トにも環境にも危険であり、望ましくない程度の毒性を示す反応性溶剤混合物を
含む。さらに、これらの洗浄用組成物は有毒であるばかりでなく、有害廃棄物と
して処理しなければならない可能性があるため、処理に費用がかかる。さらに、
これらの組成物は、一般に、厳しく限定された浴寿命を有し、その大部分が、リ
サイクルまたは再利用ができない。
【0011】 側壁残留物は、酸性有機溶剤またはアルカリ性有機溶剤のいずれかで除去され
ていた。酸性溶剤は、一般に、フェノール化合物またはクロロ溶剤および/また
は芳香族炭化水素および/またはアルキルベンゼンスルホン酸で構成される。こ
うした配合物は、一般に、100℃まで及びそれ以上の温度で使用することが必
要である。これらの化学薬品は、通常、イソプロパノールでリンスすることが必
要である。
【0012】 希フッ化水素酸溶液は、ある一定の条件で、誘電体の側壁を介して積極的に攻
撃することにより、側壁ポリマーを除去し、従って、Ireland,P.,T
hin Solid Films,304,pp.1−12 (1997)による
教示通り、デバイスの寸法を変化させ、また誘電率を変える可能性がある。HF
、硝酸、水およびヒドロキシルアミンを含む以前の化学薬品は、A.Mulle
r.に発行された米国特許第3,592,773号による教示通り、シリコンを
エッチするのに十分なほど攻撃的である。K.Ueno et al.,“Cl
eaning of CHF3 Plasma−Etched SiO2/SiN
/Cu Via Structures with Dilute Hydro
fluoric Acid Solutions,”J.Electroche
m.Soc.,vol.144,(7)1997による教示通り、希HF溶液は
、新しいCFxエッチ残留物の洗浄に関しては効果がない可能性があることを、
最近の情報は示している。HF溶液で洗浄すると下にあるTiSi2層も攻撃す
ると考えられるため、TiSi2の上まで開口したコンタクトホールをHF溶液
で洗浄することも困難であった。Baklanov,M.R.et al.,P
roc.Electrochem.Soc.,1998,97−35,pp.6
02−609による教示通り、狭い親水性のコンタクトホール内での化学薬品の
質量輸送も困難であろう。
【0013】 ステップカバレッジがより良好に適合するために、超大規模集積(ULSI)
構造で一般に使用される、一般的な中間層誘電体、TEOS(テトラエチルオル
トシリケート)およびホウ素ホスホシリケートガラス(BPSG)のコンタクト
ホールの周りのフォトレジストは、通常、HF溶液で除去される。HFが誘電体
材料をも攻撃することは珍しいことではない。このような攻撃は望ましくない(
Lee,C.and Lee,S,Solid State Electron
ics,4,pp.921−923(1997)を参照)。
【0014】 エッチ後残留物除去用のアルカリ性有機溶剤は、アミン類および/またはアル
カノールアミン類および/または中性の有機溶剤で構成されていてもよい。これ
らの配合物は、一般に、100℃超の温度で使用しなければならない。最近、こ
れらの基板の洗浄に新しいクラスのエッチ後残留物洗浄用化学薬品が使用されて
いる。これらの化学薬品は、ヒドロキシルアミン、アミン、アルカノールアミン
および腐食防止剤を含み、一般に、20〜30℃下の温度で作用する。
【0015】 最近、BEOL(ライン後工程)工程中に、限られたケースで、集積回路基板
からエッチ後残留物を除去するために、また、限られた程度まで、フォトレジス
ト残留物を除去するために、フッ化物を主成分とする化学薬品が使用されるよう
になった。ウェーハ洗浄用組成物の多くは、フッ化物成分、特にフッ化水素を含
む。さらに、これらの組成物は、例えば、米国特許第5,129,955号、米
国特許第5,563,119号、または米国特許第5,571,447号に開示
されている強苛性化学薬品(コリン誘導体、水酸化テトラアルキルアンモニウム
、水酸化アンモニウム)を含んでもよく、あるいは1相はフッ化水素酸および水
を含み、第2相は無極性有機溶剤(ケトン類、エーテル類、アルカン類またはア
ルケン類)(米国特許第5,603,849号)を含む、2相溶剤系を使用して
もよい。他の配合物は、ヒドロキシルアミンおよびフッ化アンモニウムを含む(
Wardに発行された、米国特許第5,709,756号)。さらなる例として
は、欧州特許出願公開第0662705号に開示の第四級アンモニウム塩および
フッ化物を主成分とする組成物、および米国特許第5,630,904号に開示
のオルガノカルボキシルアンモニウム塩またはアミンカルボキシレートおよびフ
ッ化物を主成分とする組成物などが挙げられる。
【0016】 幾つかの化学薬品は、イオン性および陰イオン性汚染をウェーハ表面から除去
するのに役立つキレート化剤を含んでいた(PCT US98/02794)が
、とりわけ、クエン酸、没食子酸、およびカテコール等のキレート化剤は、Al
金属ラインを覆う酸化アルミニウムに対して攻撃的である可能性がある。Ohm
anおよびSjobergによる研究は、クエン酸イオンの強い錯形成能は、酸
化アルミニウムの溶解性を高めることができ、その結果、pH5および6にて、
166および468のファクターで、金属をさらなる腐食に曝すことを明らかに
した(Ohman et al.,J.Chem.Soc.,Dalton T
rans.(1983),p.2513参照)。
【0017】 ウェーハ上の金属残留物および金属酸化物残留物を除去するための他の方法で
は、水蒸気をプラズマアッシングチャンバ内にスプレーし、続いてフッ素含有ガ
ス(フッ化水素酸)(米国特許第5,181,985)または1.5から7未満
のpHを有する、フッ化水素酸、フッ化アンモニウムおよび水を含む液体を導入
する。
【0018】 BEOL工程中に、粒状残留物をウェーハ表面から除去することも必要である
。現在、大抵の方法は、超音波洗浄またはメガソニック洗浄を行うまたは/行わ
ないイソプロパノールおよび/または脱イオン水リンスに頼る。化学的・機械的
ポリッシング(CMP)後の酸化物ウェーハの洗浄では、通常、脱イオン水のみ
が使用されるが、タングステンウェーハの場合、通常、希NH4OHと希HFと
の組み合わせが必要である。
【0019】 不純物(パーティクルおよび/またはイオン)をウェーハ表面から除去するメ
カニズムは5つある: 1.少数の強力に吸着されたパーティクルを多量の弱く吸着される溶剤と取り
替える(表面電荷の相互作用を変える)ことを含む、溶剤による物理的脱着; 2.酸または塩基のいずれかで表面電荷を変える、すなわち、Si−OH基を
正にする、すなわち酸でプロトンを付加するか、またはプロトンを除去すること
により、塩基で負にすることができる; 3.酸を加えることにより吸着された金属イオンを除去することによるイオン
錯化(ion complexion)(すなわち、イオン交換); 4.金属、有機物質またはスラリーパーティクル表面の酸化を含み、不純物と
基板表面との間の化学的力を変えるであろう、不純物の酸化または分解。化学反
応は、レドックス化学または遊離基のいずれを介してもよい; 5.ある一定の厚さの基板表面を溶解する間に不純物を放出する、酸化物表面
のエッチング。
【0020】 現在利用できるフッ化物を主成分とする化学薬品は、2および5の意味で役に
立つが、洗浄条件を注意深く管理しなければならない。多くの場合、洗浄用組成
物の成分は比較的有毒な反応性溶剤混合物であり、従って、過酷な使用条件に供
さなければならず、また、危険な化学薬品の取扱い手順、および洗浄用組成物と
の接触を避けるために、ユーザーによる安全服の着用を必要とする。さらに、こ
のような洗浄用組成物の有毒成分の多くは高度に揮発性であり、高い蒸発速度下
に置かれるため、組成物の貯蔵中および使用中に特別の人的および環境的安全対
策を講じる必要がある。
【0021】 従って、多種多様な基板から様々な付着物を能率的に除去するための改良され
た洗浄用組成物を開発する必要がある。特に、集積回路製造の分野では、改良さ
れた洗浄能力と、洗浄される基板に対する攻撃を避けることの必要性が絶えず増
大していることを認識すべきである。これは、高度ではない集積回路基板を洗浄
するのに適していた組成物は、製造工程において、もっと進んだ集積回路を含む
基板を用いる場合、満足のゆく結果をもたらすことができない可能性があること
を意味する。
【0022】 こうした組成物は、また、経済的で、環境にやさしく、且つ使いやすくなくて
はならない。
【0023】 本発明は、新しい且つ改良された洗浄用組成物およびその使用方法を教示する
。この組成物は、水性であり、有機物質および無機物質の両者を溶解し、また、
工程で使用するとき、様々な基板を洗浄することができる。本組成物は、基板の
、より有効な洗浄を実現し、これは、より有効な残留物除去を意味し、ひいては
、洗浄される基板から、より高い製品歩留まりが得られることを意味する。
【0024】 発明の概要 本発明の新規な洗浄用組成物は、低温で、個々の成分の使用またはその成分と
他の洗浄成分との併用、すなわち、その成分とエトキシエタノールアミンまたは
アルキルアミド等のその他の洗浄成分との併用からは不可能な相乗的に増強され
た洗浄作用および洗浄能力を示す。
【0025】 本発明の全般的な目的は、低温で有効な半導体基板洗浄用組成物を提供するこ
とにある。
【0026】 本発明のさらなる目的は、金属イオンの再付着を抑制するエッチ後残留物洗浄
用組成物を提供することにある。
【0027】 本発明のさらなる目的は、可燃性ではない洗浄液を作ることにある。
【0028】 本発明のさらなる目的は、シリコン酸化物のエッチ速度が低い洗浄液を提供す
ることにある。
【0029】 本発明のさらなる目的は、エッチ後残留物を金属構造から除去する洗浄液およ
び方法を提供することにある。
【0030】 本発明のさらなる目的は、エッチ後残留物をバイアスから除去する洗浄液およ
び方法を提供することにある。
【0031】 本発明のさらなる目的は、エッチ後残留物をlow−k誘電体から除去する洗
浄液および方法を提供することにある。
【0032】 これらの目的および関連した目的は、本明細書に開示されている組成物および
方法を使用することによって達成される。
【0033】 本発明による組成物は、基板から残留物を除去するためのものであり、有機ア
ンモニウムおよびアミンカルボキシレートを含まない。本発明による組成物は、
1つ以上のフッ化化合物約0.01重量%〜約5重量%、水約20重量%〜約5
0重量%、有機アミド溶剤約20重量%〜約80重量%および有機スルホキシド
溶剤0〜約50重量%を含む。本組成物は、約7〜約10のpHを有する。さら
に、本組成物は、腐食防止剤、キレート化剤、界面活性剤、酸および塩基を任意
に含む。
【0034】 本発明に従って、基板から残留物を除去する方法は、有機アンモニウムおよび
アミンカルボキシレートを含まず、1つ以上のフッ化化合物、水および有機アミ
ド溶剤を含む組成物と基板を、基板を洗浄するのに十分な温度で且つ十分な時間
、接触させるステップを含む。
【0035】 発明の詳細な説明 本発明の洗浄用組成物は、有機アンモニウムおよびアミンカルボキシレートを
含まない。有機アンモニウム化合物およびアミンカルボキシレート化合物を本組
成物から排除できることによって、幾つかの利点が得られる。これらの化合物を
排除することにより、製品の価格が下がる。有機アンモニウム化合物およびアミ
ンカルボキシレート化合物は、相転移触媒と呼ばれるクラスの化合物でもある。
このような化合物は、“Phase−Transfer Catalysis
in Industry,” A Practical Guide and
Handbook;March 1991,PTC Interface,In
c.Marietta,Gaにより報告されている通り、ある一定の溶剤条件で
、望ましくない副反応、すなわち、水酸化物またはハロゲン化物反応の活性化(
金属表面を腐食する恐れがある)を、加速する恐れがある。これらの化合物が化
学薬品中に存在することにより、さらなる陽イオンおよび陰イオンの汚染が原料
として導入される恐れがある。
【0036】 本洗浄用組成物は、1つ以上のフッ化化合物を含む。適するフッ化化合物は、
フッ化アンモニウム、重フッ化アンモニウムおよびフッ化水素である。好ましい
フッ化化合物は、フッ化アンモニウムおよび重フッ化アンモニウムである。フッ
化水素を使用する場合、緩衝液を用いて約7〜約10のpHにすることが必要で
ある。フッ化化合物は、約0.01重量%〜約5重量%、好ましくは約0.05
重量%〜約5重量%の量で存在することが望ましい。概して、本組成物中のフッ
化化合物の濃度が低いほど、高い使用温度が必要である。
【0037】 本洗浄用組成物は、1つ以上の有機アミド溶剤を含む。適する有機アミド溶剤
は、N,N−ジメチルアセトアミドおよびN,N−ジメチルホルムアミドである
。好ましい有機アミド溶剤はN,N−ジメチルアセトアミドである。有機アミド
溶剤は、単独または混合物としてのいずれでも使用することができる。本組成物
は、ジメチルスルホキシド等のアルキルスルホキシドを任意に含む。
【0038】 本洗浄用組成物は、水を含む。一般に、高純度脱イオン水が使用される。
【0039】 本組成物は、腐食防止剤を任意に含む。適する腐食防止剤は、アンモニウム、
カリウム、ナトリウムおよびルビジウムの硝酸塩等の無機硝酸塩、ならびに硝酸
アルミニウムおよび硝酸亜鉛である。
【0040】 本組成物は、キレート化剤を任意に含む。適するキレート化剤は、共有名義で
譲渡された(commonly assigned)、1997年9月30日にLeeに発行された
米国特許第5,672,577号(参照により本明細書に援用する)に記載され
ている。好ましいキレート化剤としては、カテコール、エチレンジアミン四酢酸
、およびクエン酸などがある。
【0041】 本組成物は、界面活性剤を任意に含む。適する界面活性剤としては、ポリ(ビ
ニルアルコール)、ポリ(エチレンイミン)ならびに陰イオン性、陽イオン性、
非イオン性、両性、およびシリコーン系として分類される界面活性剤組成物のい
ずれかなどが挙げられる。好ましい界面活性剤は、ポリ(ビニルアルコール)お
よびポリ(エチレンイミン)である。
【0042】 成分の幾つかの組み合わせは、酸および/または塩基を加えてpHを許容でき
る値に調節することが必要である。本発明で使用するのに適した酸は、有機また
は無機である。酸としては、硝酸、硫酸、リン酸、塩酸(塩酸は、金属を腐食す
る恐れがあるが)および有機酸、ギ酸、酢酸、プロピオン酸、n−酪酸、イソ酪
酸、安息香酸、アスコルビン酸、グルコン酸、リンゴ酸、マロン酸、シュウ酸、
コハク酸、酒石酸、クエン酸、没食子酸などが挙げられる。最後の5つの有機酸
は、キレート化剤の例である。 有機酸の一般構造:
【0043】
【化1】 X=−OH、−NHR、−H、−ハロゲン、−CO2Hおよび−CH2−CO2H、
−CHOH−CO2Hであり、 R=一般に、脂肪族、Hまたは芳香族である。 酸の濃度は、約1重量%から約25重量%まで様々であってもよい。重要な因
子は、水溶液中にさらなる薬剤を伴う場合の酸性および塩基性物の溶解性である
【0044】 洗浄液のpH調節に使用するのに適した苛性成分は、一般的な塩基、すなわち
、水酸化ナトリウム、水酸化カリウム、水酸化マグネシウム等々で構成されてい
てもよい。重大な問題は、これらの塩基が、可動性イオンを最終調製物に導入す
ることである。可動性イオンは、現在、半導体産業で製造されているコンピュー
ターチップを破壊しかねない。他の塩基としては、コリン(第四級アミン)また
は水酸化アンモニウムなどがある。
【0045】 作業工程 本発明の洗浄用組成物を使用して基板を洗浄する方法は、残留物、特に有機金
属残留物または金属酸化物残留物を上に有する基板を、残留物を除去するのに十
分な時間および温度で、本発明の洗浄用組成物と接触させるステップを含む。攪
拌、振動、循環、超音波処理または当技術において周知の他の技術を任意に使用
してもよい。一般に、基板を洗浄用組成物に浸漬する。時間および温度は、基板
から除去される個々の材料に基づいて決定される。一般に、温度は、ほぼ環境温
すなわち室温〜100℃の範囲であり、接触時間は、約1〜60分間である。本
発明に好ましい温度および接触時間は、25〜45℃、2〜60分間である。一
般に、本組成物の使用後、基板をリンスする。好ましいリンス液は、イソプロパ
ノールおよび脱イオン水である。
【0046】 本発明の組成物は、金属及びバイアフィーチャー(metal and via feature)か
ら、残留物を除去するのに特に有用である。本発明の組成物は、Low−k誘電
体に対して特に有用である。Low−k誘電体は、当技術分野で周知であり、フ
ッ素化シリカガラス(FSG)、ヒドリドオルガノシロキサンポリマー(HOS
P)、低級有機シロキサンポリマー(LOSP)、ナノ細孔性シリカ(Nano
glass)、水素シルセスキオキサン(HSQ)、メチルシルセスキオキサン
(MSQ)、ジビニルシロキサンビス(ベンゾシクロブテン)(BCB)、シリ
カLow−k(SiLK)、ポリ(アリーレンエーテル)(PAE、Flare
、Parylene)、およびフッ素化ポリイミド(FPI)などがある。
【0047】 レジストマスクまたは残留物を基板から除去するのに適した、本発明による洗
浄用組成物および方法の例を、以下の実施例に記載する。
【0048】 実施例1 表1に記載の、フッ化アンモニウム、水、1つ以上のアミド、たとえば、N,
N−ジメチルアセトアミド、N,N−ジメチルホルムアミド、1−メチル−2−
ピロリジノン、N,N−ジメチルプロピオンアミド、およびジメチルスルホキシ
ド等の成分を含む一群の洗浄用化学製品を、市販のAMT DPSエッチャーで
Cl2/BCl3プラズマを用いてエッチングした、TEOS/Ti/TiN/A
lCu/TiN(下から上に)のスタックを有する金属ウェーハで試験した。得
られた、残留物を有する金属ウェーハを小さいサンプル片に切断し、次いで、こ
のサンプル片を、洗浄するために表1の化学溶液に室温にて5分間浸漬した。こ
のサンプル片を取出し、脱イオン水でリンスし、N2流れで乾燥させた。洗浄作
用および腐食作用を評価するために、Hitachi 4500 FE−SEM
を用いてSEMを実施した。金属スタックに対する残留物除去作用および腐食作
用を視覚的比較によって査定し、全てを1〜10の段階で評価した。表1に記載
の配合物は、重量%で表示されている。
【0049】
【表1】 反応温度:室温 DI水:脱イオン水 DMAC:N,N−ジメチルアセトアミド DMSO:ジメチルスルホキシド DMF:N,N−ジメチルホルムアミド 金属ラインに関する金属保持 洗浄ライン:10−完全、1−全くきれいではない Al保持:10−変化なし、1−Al層消滅 Ti保持:10−変化なし、1−Ti層消滅 TiN保持:10−変化なし、1−TiN層消滅 酸化物保持:10−変化なし、1−酸化物層消滅
【0050】 以上の結果から、DMFは、腐食なしで最高の洗浄能力を与えることが分かる
。しかしながら、腐食および毒性のない洗浄能力という考慮すべき要件に基づい
て、さらなる研究用にDMACおよびDMSOを選択した。
【0051】 実施例2 実施例1の結果に基づいて、フッ化アンモニウムおよび水を含む洗浄用配合物
を最適化するために、N,N−ジメチルアセトアミドおよびジメチルスルホキシ
ドを選択しした。Cl2/BCl3プラズマを用いた市販のLAM TCP960
0エッチャーを、酸化物/Ti/TiN/AlCu/TiN(下から上に)のス
タックを有する金属ウェーハのエッチングに使用した。得られた、残留物を有す
る金属ウェーハを小さいサンプル片に切断し、このサンプル片を、洗浄するため
に表2の洗浄液に室温で5分間浸漬した。このサンプル片を取出し、脱イオン水
でリンスし、N2流れで乾燥させた。洗浄作用および腐食作用を評価するために
、Hitachi 4500 FE−SEMを用いてSEMを実施した。金属ス
タックに対する残留物除去作用および腐食作用を視覚的比較によって査定し、全
てを1〜10の段階で評価した。図3に報告したpH値は、ガラスpH電極を用
いたOrionSA520メーターで測定した。表2の配合物は、重量%で表示
されている。
【0052】
【表2】 反応温度:室温 DI水:脱イオン水 DMAC:N,N−ジメチルアセトアミド DMSO:ジメチルスルホキシド 金属ラインに関する金属保持 洗浄ライン:10−完全、1−全くきれいではない Al保持:10−変化なし、1−Al層消滅 Ti保持:10−変化なし、1−Ti層消滅 TiN保持:10−変化なし、1−TiN層消滅 酸化物保持:10−変化なし、1−酸化物層消滅
【0053】 表2に示す配合物で得られた結果を理解するために、それぞれ、溶液中の水、
DMACおよびDMSO濃度の関数としてのアルミニウム腐食、溶液中の水、D
MACおよびDMSO濃度の関数としての残留物洗浄能力、および溶液中の水、
DMACおよびDMSO濃度の関数としての組成物のpHを示す、図1(A)、
図2(A)および図3の3つの三角形ダイアグラムにデータをプロットした。図
1(B)の比較から、高い水含量ならびに低いDMAC含量およびDMSO含量
を有する組成物による実質的な腐食が分かる。図1(C)から、高いDMAC含
量およびDMSO含量ならびに低い水含量では、腐食しないことが分かる。図2
(B)から、高い水含量ならびに低いDMAC含量およびDMSO含量を有する
組成物では、部分的な残留物洗浄にすぎないことが分かる。図2(C)から、高
いDMAC含量およびDMSO含量ならびに低い水含量では、完全な残留物洗浄
腐食がわかる。図3を図1(A)および図2(A)と組み合わせて見ると、実質
的なアルミニウム腐食を避け且つ最適洗浄結果を得るのに適切なpH範囲がわか
る。
【0054】 実施例3 表2から組成物の1つ(組成物N)を選択して、Cl2/BCl3プラズマを用
いたAMT DPSエッチャーでエッチングした金属ウェーハを処理した。図4
の代表的なサンプルで示す通り、ドライエッチング工程後、これらの金属ウェー
ハ上に重質残留物が形成された。残留物を有する金属ウェーハを小さいサンプル
片に切断し、重質残留物を除去するために、このサンプル片を、選択された化学
溶液に室温で3、5および10分間浸漬した。組成物Nは5分以上で残留物を完
全に洗浄することができたが、3分では残留物を完全に洗浄することができなか
った(図5(A)〜図5(C))。このサンプル片を取出し、脱イオン水でリン
スし、N2流れで乾燥させた。洗浄作用および腐食作用を評価するために、Hi
tachi 4500 FE−SEMを用いてSEMを実施した。
【0055】 フォト除去技術および残留物除去技術は、300−mmウェーハ処理の要求に
応えるためにさらに進化するであろう。大型ウェーハ加工用のSEZツールおよ
び他の新しいタイプの装置がますます普及し、焦点は、25−ウェーハ群よりむ
しろ個々のウェーハに移行している。ウェーハサイズが大きいことにより、ます
ます高価になるウェーハの損失を防止するために、無損傷レジストアッシング工
程および残留物除去工程を有することの重要性が高まる。さらに、各単一ウェー
ハの高速処理手順により、全工程に至るかなりの時間の節約が積み重ねられるで
あろう。処理時間を短縮するために、選択された配合物に、0.1重量%のモノ
タノールアミン(MEA)を加えた。MEAを含む新規の配合物は、図5(D)
に示すとおり、3分で残留物を完全に除去することができる。
【0056】 製造工程に付随する様々な条件に従って、前述の洗浄液に対して修飾および変
更を行えること、また行われると予測されることが、当業者は前述の実施例から
分かるであろう。上述の実施形態は、例として示すものである。たとえば、誘電
保護に関する具体的な議論は、提案された洗浄液および方法により保護される他
の金属、金属合金、およびポリシリコン構造を示唆するものである。教示用実施
例は本発明を制限するものではなく、本発明はクレームによって明確に規定され
る。
【0057】 各個の出版物および特許出願が参照により援用されると具体的に且つ個別に指
示されたのと同程度に、本明細書で言及した全ての出版物および特許出願を、参
照により本明細書に援用する。
【0058】 本発明は、今や十分に説明され、添付の請求の範囲の精神または範囲から逸脱
することなく、本発明に対して多くの変更および修飾を行えることは、当業者に
明白であろう。
【図面の簡単な説明】
【図1】(A)は、本発明の実施で得られた腐食結果を、組成物の関数とし
てプロットした、三角形ダイアグラムであり、(B)及び(C)は、図1(A)
の三角形ダイアグラムに示した組成物で処理した後の、半導体ウェーハの一部の
走査電子顕微鏡(SEM)写真である。
【図2】(A)は、本発明の実施で得られた洗浄結果を、組成物の関数とし
てプロットした、三角形ダイアグラムであり、(B)及び(C)は、図2(A)
の三角形ダイアグラムに示した組成物で処理した後の、半導体ウェーハの一部の
SEM写真である。
【図3】 pHを、本発明による組成物の関数としてプロットした、三角形
ダイアグラムである。
【図4】 本発明による組成物で処理する前の、半導体ウェーハの一部のS
EM写真である。
【図5】(A)〜(D)は、本発明による組成物で処理した後の、半導体基
板の一部の対応するSEM写真である。
───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.7 識別記号 FI テーマコート゛(参考) C11D 7/34 C11D 7/34 7/50 7/50 (81)指定国 EP(AT,BE,CH,CY, DE,DK,ES,FI,FR,GB,GR,IE,I T,LU,MC,NL,PT,SE),OA(BF,BJ ,CF,CG,CI,CM,GA,GN,GW,ML, MR,NE,SN,TD,TG),AP(GH,GM,K E,LS,MW,SD,SL,SZ,TZ,UG,ZW ),EA(AM,AZ,BY,KG,KZ,MD,RU, TJ,TM),AE,AG,AL,AM,AT,AU, AZ,BA,BB,BG,BR,BY,CA,CH,C N,CR,CU,CZ,DE,DK,DM,DZ,EE ,ES,FI,GB,GD,GE,GH,GM,HR, HU,ID,IL,IN,IS,JP,KE,KG,K P,KR,KZ,LC,LK,LR,LS,LT,LU ,LV,MA,MD,MG,MK,MN,MW,MX, NO,NZ,PL,PT,RO,RU,SD,SE,S G,SI,SK,SL,TJ,TM,TR,TT,TZ ,UA,UG,UZ,VN,YU,ZA,ZW (72)発明者 モー,タイシン アメリカ合衆国 94583 カリフォルニア 州 サン ラモン トレイバーン サーク ル 502 Fターム(参考) 4H003 DA15 DB01 EA05 EB14 EB17 EB21 ED02

Claims (23)

    【特許請求の範囲】
  1. 【請求項1】 1つ以上のフッ化化合物約0.01重量%〜約5重量%、水
    約20重量%〜約50重量%、有機アミド溶剤約20重量%〜約80重量%およ
    び有機スルホキシド溶剤0〜約50重量%を含み、有機アンモニウムおよびアミ
    ンカルボキシレートを含まない、基板から残留物を除去するための組成物であっ
    て、約7〜約10のpHを有する組成物。
  2. 【請求項2】 アミンをさらに含む、請求項1に記載の組成物。
  3. 【請求項3】 前記アミンがアルカノールアミンである、請求項2に記載の
    組成物。
  4. 【請求項4】 前記アルカノールアミンがモノエタノールアミンである、請
    求項3に記載の組成物。
  5. 【請求項5】 腐食防止剤をさらに含む、請求項1に記載の組成物。
  6. 【請求項6】 キレート化剤をさらに含む、請求項1に記載の組成物。
  7. 【請求項7】 界面活性剤をさらに含む、請求項1に記載の組成物。
  8. 【請求項8】 酸をさらに含む、請求項1に記載の組成物。
  9. 【請求項9】 塩基をさらに含む、請求項1に記載の組成物。
  10. 【請求項10】 フッ化アンモニウム、水およびN,N−ジメチルアセトア
    ミドを含む、請求項1に記載の組成物。
  11. 【請求項11】 ジメチルスルホキシドをさらに含む、請求項7に記載の組
    成物。
  12. 【請求項12】 フッ化アンモニウム、水、N,N−ジメチルアセトアミド
    およびアミンを含む、請求項1に記載の組成物。
  13. 【請求項13】 フッ化アンモニウム、水、N,N−ジメチルアセトアミド
    およびアルカノールアミンを含む、請求項1に記載の組成物。
  14. 【請求項14】 フッ化アンモニウム、水、ヒドロキシルアミン、アルカノ
    ールアミン、キレート化剤および腐食防止剤を含む、請求項1に記載の組成物。
  15. 【請求項15】 1つ以上のフッ化化合物、水および有機アミド溶剤を含み
    、有機アンモニウムおよびアミンカルボキシレートを含まない組成物と基板を、
    基板を洗浄するのに十分な温度で且つ十分な時間、接触させるステップを含む、
    基板から残留物を除去する方法。
  16. 【請求項16】 前記温度が約20℃〜約100℃である、請求項15に記
    載の方法。
  17. 【請求項17】 前記温度が約25℃〜約45℃である、請求項16に記載
    の方法。
  18. 【請求項18】 前記時間が約3分〜約10分である、請求項16に記載の
    方法。
  19. 【請求項19】 前記組成物が1つ以上のフッ化化合物約0.01重量%〜
    約5重量%、水約20重量%〜約50重量%、有機アミド溶剤約20重量%〜約
    80重量%を含み、約7〜約10のpHを有する、請求項15に記載の方法。
  20. 【請求項20】 前記組成物が約50重量%までの有機スルホキシド溶剤を
    さらに含む、請求項19に記載の方法。
  21. 【請求項21】 前記組成物がアミンをさらに含む、請求項20に記載の方
    法。
  22. 【請求項22】 前記アミンがアルカノールアミンである、請求項21に記
    載の方法。
  23. 【請求項23】 前記アルカノールアミンがモノエタノールアミンである、
    請求項22に記載の方法。
JP2000615722A 1999-05-03 2000-05-02 半導体デバイス用の、有機残留物およびプラズマエッチングされた残留物を洗浄するための組成物 Pending JP2002543272A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/304,450 US6248704B1 (en) 1999-05-03 1999-05-03 Compositions for cleaning organic and plasma etched residues for semiconductors devices
US09/304,450 1999-05-03
PCT/US2000/011892 WO2000066697A1 (en) 1999-05-03 2000-05-02 Compositions for cleaning organic and plasma etched residues for semiconductor devices

Publications (2)

Publication Number Publication Date
JP2002543272A true JP2002543272A (ja) 2002-12-17
JP2002543272A5 JP2002543272A5 (ja) 2007-06-28

Family

ID=23176568

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000615722A Pending JP2002543272A (ja) 1999-05-03 2000-05-02 半導体デバイス用の、有機残留物およびプラズマエッチングされた残留物を洗浄するための組成物

Country Status (10)

Country Link
US (1) US6248704B1 (ja)
EP (1) EP1177275B1 (ja)
JP (1) JP2002543272A (ja)
KR (1) KR100561178B1 (ja)
AT (1) ATE331020T1 (ja)
AU (1) AU4980300A (ja)
DE (1) DE60028962T2 (ja)
HK (1) HK1041020B (ja)
TW (1) TWI237659B (ja)
WO (1) WO2000066697A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012252070A (ja) * 2011-06-01 2012-12-20 Panasonic Corp 剥離剤組成物

Families Citing this family (59)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040134873A1 (en) * 1996-07-25 2004-07-15 Li Yao Abrasive-free chemical mechanical polishing composition and polishing process containing same
US6896826B2 (en) 1997-01-09 2005-05-24 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US6755989B2 (en) 1997-01-09 2004-06-29 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US6562726B1 (en) * 1999-06-29 2003-05-13 Micron Technology, Inc. Acid blend for removing etch residue
US6344432B1 (en) 1999-08-20 2002-02-05 Advanced Technology Materials, Inc. Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures
US6451707B2 (en) * 1999-12-07 2002-09-17 Matsushita Electronics Corporation Method of removing reaction product due to plasma ashing of a resist pattern
DE10018338C1 (de) * 2000-04-13 2001-08-02 Wacker Siltronic Halbleitermat Verfahren zur Herstellung einer Halbleiterscheibe
JP4368498B2 (ja) * 2000-05-16 2009-11-18 Necエレクトロニクス株式会社 半導体装置、半導体ウェーハおよびこれらの製造方法
US7427529B2 (en) * 2000-06-06 2008-09-23 Simon Fraser University Deposition of permanent polymer structures for OLED fabrication
US7456140B2 (en) * 2000-07-10 2008-11-25 Ekc Technology, Inc. Compositions for cleaning organic and plasma etched residues for semiconductor devices
CN1218222C (zh) * 2000-07-10 2005-09-07 Ekc技术公司 用于清洁半导体设备上有机残余物和等离子蚀刻残余物的组合物
US6762132B1 (en) 2000-08-31 2004-07-13 Micron Technology, Inc. Compositions for dissolution of low-K dielectric films, and methods of use
US6656894B2 (en) * 2000-12-07 2003-12-02 Ashland Inc. Method for cleaning etcher parts
US6566315B2 (en) 2000-12-08 2003-05-20 Advanced Technology Materials, Inc. Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures
US20030022800A1 (en) * 2001-06-14 2003-01-30 Peters Darryl W. Aqueous buffered fluoride-containing etch residue removers and cleaners
US6627546B2 (en) * 2001-06-29 2003-09-30 Ashland Inc. Process for removing contaminant from a surface and composition useful therefor
MY143399A (en) * 2001-07-09 2011-05-13 Avantor Performance Mat Inc Microelectronic cleaning compositons containing ammonia-free fluoride salts for selective photoresist stripping and plasma ash residue cleaning
MY131912A (en) * 2001-07-09 2007-09-28 Avantor Performance Mat Inc Ammonia-free alkaline microelectronic cleaning compositions with improved substrate compatibility
US7077880B2 (en) * 2004-01-16 2006-07-18 Dupont Air Products Nanomaterials Llc Surface modified colloidal abrasives, including stable bimetallic surface coated silica sols for chemical mechanical planarization
US20030171239A1 (en) * 2002-01-28 2003-09-11 Patel Bakul P. Methods and compositions for chemically treating a substrate using foam technology
WO2003064581A1 (en) * 2002-01-28 2003-08-07 Ekc Technology, Inc. Methods and compositions for chemically treating a substrate using foam technology
US20030162398A1 (en) * 2002-02-11 2003-08-28 Small Robert J. Catalytic composition for chemical-mechanical polishing, method of using same, and substrate treated with same
US7513920B2 (en) * 2002-02-11 2009-04-07 Dupont Air Products Nanomaterials Llc Free radical-forming activator attached to solid and used to enhance CMP formulations
US6773873B2 (en) * 2002-03-25 2004-08-10 Advanced Technology Materials, Inc. pH buffered compositions useful for cleaning residue from semiconductor substrates
US7252718B2 (en) * 2002-05-31 2007-08-07 Ekc Technology, Inc. Forming a passivating aluminum fluoride layer and removing same for use in semiconductor manufacture
US6677286B1 (en) * 2002-07-10 2004-01-13 Air Products And Chemicals, Inc. Compositions for removing etching residue and use thereof
JP4443864B2 (ja) * 2002-07-12 2010-03-31 株式会社ルネサステクノロジ レジストまたはエッチング残さ物除去用洗浄液および半導体装置の製造方法
DE10331033B4 (de) * 2002-07-12 2010-04-29 Ekc Technology K.K. R&D Business Park Bldg. D-3F, Kawasaki Herstellungsverfahren einer Halbleitervorrichtung und Reinigungszusammensetzung dafür
US6849200B2 (en) * 2002-07-23 2005-02-01 Advanced Technology Materials, Inc. Composition and process for wet stripping removal of sacrificial anti-reflective material
DE10309368A1 (de) * 2002-08-06 2004-02-26 Aventis Behring Gmbh Intellectual Property/Legal Pharmazeutische Zubereitung mit RNA als Cofaktor der Hämostase
US20060166846A1 (en) * 2002-08-19 2006-07-27 Ying-Hao Li Remover solution
US20040217006A1 (en) * 2003-03-18 2004-11-04 Small Robert J. Residue removers for electrohydrodynamic cleaning of semiconductors
WO2004100245A1 (en) * 2003-05-02 2004-11-18 Ekc Technology, Inc. Removal of post-etch residues in semiconductor processing
US7344988B2 (en) * 2003-10-27 2008-03-18 Dupont Air Products Nanomaterials Llc Alumina abrasive for chemical mechanical polishing
CA2544209C (en) * 2003-10-28 2011-10-18 Sachem, Inc. Cleaning solutions and etchants and methods for using same
US20050126588A1 (en) * 2003-11-04 2005-06-16 Carter Melvin K. Chemical mechanical polishing slurries and cleaners containing salicylic acid as a corrosion inhibitor
US7419911B2 (en) * 2003-11-10 2008-09-02 Ekc Technology, Inc. Compositions and methods for rapidly removing overfilled substrates
JP4498726B2 (ja) 2003-11-25 2010-07-07 Kisco株式会社 洗浄剤
JP4326928B2 (ja) * 2003-12-09 2009-09-09 株式会社東芝 フォトレジスト残渣除去液組成物及び該組成物を用いる半導体回路素子の製造方法
KR100795364B1 (ko) * 2004-02-10 2008-01-17 삼성전자주식회사 반도체 기판용 세정액 조성물, 이를 이용한 세정 방법 및도전성 구조물의 제조 방법
KR20050110470A (ko) * 2004-05-19 2005-11-23 테크노세미켐 주식회사 반도체 기판용 세정액 조성물, 이를 이용한 반도체 기판세정방법 및 반도체 장치 제조 방법
KR100634401B1 (ko) * 2004-08-03 2006-10-16 삼성전자주식회사 반도체 제조공정의 기판 처리 방법
US20060063388A1 (en) * 2004-09-23 2006-03-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method for using a water vapor treatment to reduce surface charge after metal etching
WO2006054996A1 (en) * 2004-11-19 2006-05-26 Honeywell International Inc. Selective removal chemistries for semiconductor applications, methods of production and uses thereof
KR20060104531A (ko) * 2005-03-30 2006-10-09 삼성에스디아이 주식회사 발광표시장치의 제조방법
TWI622639B (zh) * 2005-06-07 2018-05-01 恩特葛瑞斯股份有限公司 金屬及介電相容犠牲抗反射塗層清洗及移除組成物
KR100734274B1 (ko) * 2005-09-05 2007-07-02 삼성전자주식회사 기판 세정용 조성물을 이용한 게이트 형성 방법
JP4734090B2 (ja) * 2005-10-31 2011-07-27 株式会社東芝 半導体装置の製造方法
US7534753B2 (en) * 2006-01-12 2009-05-19 Air Products And Chemicals, Inc. pH buffered aqueous cleaning composition and method for removing photoresist residue
WO2009032322A1 (en) * 2007-09-06 2009-03-12 Ekc Technology, Inc. Compositions and method for treating a copper surface
EP2110462B8 (en) * 2008-04-19 2012-09-05 Cognis IP Management GmbH Compositions for degreasing metal surfaces
US8398779B2 (en) 2009-03-02 2013-03-19 Applied Materials, Inc. Non destructive selective deposition removal of non-metallic deposits from aluminum containing substrates
US9481937B2 (en) * 2009-04-30 2016-11-01 Asm America, Inc. Selective etching of reactor surfaces
US8101561B2 (en) * 2009-11-17 2012-01-24 Wai Mun Lee Composition and method for treating semiconductor substrate surface
US8921295B2 (en) 2010-07-23 2014-12-30 American Sterilizer Company Biodegradable concentrated neutral detergent composition
US9536730B2 (en) 2012-10-23 2017-01-03 Air Products And Chemicals, Inc. Cleaning formulations
KR102356356B1 (ko) * 2017-05-31 2022-01-28 에스케이하이닉스 주식회사 세정 조성물 및 이를 이용하는 전자 장치의 제조방법
EP3721297B1 (en) * 2017-12-08 2024-02-07 Henkel AG & Co. KGaA Photoresist stripper compostion
KR102653027B1 (ko) * 2019-01-30 2024-04-01 동우 화인켐 주식회사 폴리이미드 제거용 조성물

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09246222A (ja) * 1996-03-07 1997-09-19 Kawasaki Steel Corp 半導体装置の洗浄剤および半導体装置の製造方法
JPH1116882A (ja) * 1997-06-19 1999-01-22 Toray Fine Chem Co Ltd フォトレジスト剥離用組成物
JPH1167632A (ja) * 1997-08-18 1999-03-09 Mitsubishi Gas Chem Co Inc 半導体装置用洗浄剤
JPH11119444A (ja) * 1997-10-16 1999-04-30 Tokyo Ohka Kogyo Co Ltd レジスト用剥離液組成物およびこれを用いたレジスト剥離方法
JP2000181083A (ja) * 1998-10-05 2000-06-30 Nagase Denshi Kagaku Kk レジスト剥離剤組成物及びその使用方法

Family Cites Families (100)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4165295A (en) 1976-10-04 1979-08-21 Allied Chemical Corporation Organic stripping compositions and method for using same
FR2372904A1 (fr) 1976-11-19 1978-06-30 Ibm Composition de decapage du silicium polycristallin contenant de l'hydroxyde de tetramethylammonium et procede d'application
US4269654A (en) 1977-11-18 1981-05-26 Rca Corporation Silicon nitride and silicon oxide etchant
US4215005A (en) 1978-01-30 1980-07-29 Allied Chemical Corporation Organic stripping compositions and method for using same
US4221674A (en) 1979-03-09 1980-09-09 Allied Chemical Corporation Organic sulfonic acid stripping composition and method with nitrile and fluoride metal corrosion inhibitor system
US4198262A (en) 1979-03-29 1980-04-15 Atlantic Richfield Company Solar cell manufacture
US4276186A (en) 1979-06-26 1981-06-30 International Business Machines Corporation Cleaning composition and use thereof
US4275100A (en) 1980-01-04 1981-06-23 Rca Corporation Video disc processing
US4330419A (en) 1980-10-20 1982-05-18 Halliburton Company Method of and solvent for removing inorganic fluoride deposits
DE3048083C2 (de) 1980-12-19 1983-09-29 Ludwig 8900 Augsburg Fahrmbacher-Lutz Verfahren zur chemischen Entfernung von Oxidschichten von Gegenständen aus Titan oder Titanlegierungen
CA1196560A (en) 1981-11-24 1985-11-12 Gerardus A. Somers Metal stripping composition and process
US4567946A (en) 1982-02-08 1986-02-04 Union Oil Company Of California Increasing the permeability of a subterranean reservoir
JPS58139430A (ja) 1982-02-15 1983-08-18 Toray Ind Inc レジストの剥離法
US4395304A (en) 1982-05-11 1983-07-26 Rca Corporation Selective etching of phosphosilicate glass
FR2539140A1 (fr) 1983-01-07 1984-07-13 Ugine Kuhlmann Stabilisation de solutions aqueuses contenant du peroxyde d'hydrogene, de l'acide fluorhydrique et des ions metalliques
US4498953A (en) 1983-07-27 1985-02-12 At&T Bell Laboratories Etching techniques
JPS6066825A (ja) 1983-09-22 1985-04-17 Toshiba Corp 半導体装置の製造方法
US4508591A (en) 1984-03-08 1985-04-02 Hewlett-Packard Company Polymethyl methacrylate compatible silicon dioxide complexing agent
US4517106A (en) 1984-04-26 1985-05-14 Allied Corporation Soluble surfactant additives for ammonium fluoride/hydrofluoric acid oxide etchant solutions
US4620934A (en) 1984-04-26 1986-11-04 Allied Corporation Soluble fluorinated cycloalkane sulfonate surfactant additives for NH4
US4552783A (en) 1984-11-05 1985-11-12 General Electric Company Enhancing the selectivity of tungsten deposition on conductor and semiconductor surfaces
US4569722A (en) 1984-11-23 1986-02-11 At&T Bell Laboratories Ethylene glycol etch for processes using metal silicides
US4738747A (en) 1986-07-22 1988-04-19 Westinghouse Electric Corp. Process for etching zirconium metallic objects
US4847004A (en) 1986-11-26 1989-07-11 Mcleod Harry L Aqueous cleaning solution containing chelating agents and surfactants
US4721548A (en) 1987-05-13 1988-01-26 Intel Corporation Semiconductor planarization process
US4759823A (en) 1987-06-02 1988-07-26 Krysalis Corporation Method for patterning PLZT thin films
US4802990A (en) 1987-07-30 1989-02-07 Inskeep Jr Eugene L Solution and method for dissolving minerals
US5181985A (en) 1988-06-01 1993-01-26 Wacker-Chemitronic Gesellschaft Fur Elektronik-Grundstoffe Mbh Process for the wet-chemical surface treatment of semiconductor wafers
US5250471A (en) 1988-12-26 1993-10-05 The Furukawa Electric Co. Method for manufacturing compound semiconductor devices including a step where the semiconductor is etched without exposure to light
US5129955A (en) 1989-01-11 1992-07-14 Dainippon Screen Mfg. Co., Ltd. Wafer cleaning method
US5238500A (en) 1990-05-15 1993-08-24 Semitool, Inc. Aqueous hydrofluoric and hydrochloric acid vapor processing of semiconductor wafers
US4921572A (en) 1989-05-04 1990-05-01 Olin Corporation Etchant solutions containing hydrogen fluoride and a polyammonium fluoride salt
JPH069195B2 (ja) 1989-05-06 1994-02-02 大日本スクリーン製造株式会社 基板の表面処理方法
JP2787788B2 (ja) 1990-09-26 1998-08-20 インターナショナル・ビジネス・マシーンズ・コーポレーション 残留物除去方法
US5556482A (en) * 1991-01-25 1996-09-17 Ashland, Inc. Method of stripping photoresist with composition containing inhibitor
US5496491A (en) * 1991-01-25 1996-03-05 Ashland Oil Company Organic stripping composition
US5415811A (en) 1991-04-09 1995-05-16 E And R Investments Cleaning composition and method for utilizing same
US5219791A (en) 1991-06-07 1993-06-15 Intel Corporation TEOS intermetal dielectric preclean for VIA formation
US5326406A (en) 1991-07-31 1994-07-05 Kawasaki Steel Corporation Method of cleaning semiconductor substrate and apparatus for carrying out the same
JP3217116B2 (ja) 1992-03-06 2001-10-09 日産化学工業株式会社 低表面張力洗浄用組成物
TW263531B (ja) 1992-03-11 1995-11-21 Mitsubishi Gas Chemical Co
US5236552A (en) * 1992-04-13 1993-08-17 At&T Bell Laboratories Photoresist stripping method
WO1993024860A1 (en) 1992-06-02 1993-12-09 Mitsubishi Kasei Corporation Composition for forming anti-reflection film on resist and pattern formation method
US5277715A (en) 1992-06-04 1994-01-11 Micron Semiconductor, Inc. Method of reducing particulate concentration in process fluids
US5225034A (en) 1992-06-04 1993-07-06 Micron Technology, Inc. Method of chemical mechanical polishing predominantly copper containing metal layers in semiconductor processing
US5308400A (en) 1992-09-02 1994-05-03 United Microelectronics Corporation Room temperature wafer cleaning process
EP0691676B1 (en) 1993-02-04 1999-05-12 Daikin Industries, Limited Wet-etching composition for semiconductors excellent in wettability
US5389194A (en) 1993-02-05 1995-02-14 Lsi Logic Corporation Methods of cleaning semiconductor substrates after polishing
US5320709A (en) 1993-02-24 1994-06-14 Advanced Chemical Systems International Incorporated Method for selective removal of organometallic and organosilicon residues and damaged oxides using anhydrous ammonium fluoride solution
DE9304878U1 (de) 1993-03-31 1993-06-09 Morton International, Inc., Chicago, Ill. Entschichterlösung für lichtvernetzte Photoresistschablonen
JP2586304B2 (ja) 1993-09-21 1997-02-26 日本電気株式会社 半導体基板の洗浄液および洗浄方法
US5656097A (en) 1993-10-20 1997-08-12 Verteq, Inc. Semiconductor wafer cleaning system
US5340370A (en) 1993-11-03 1994-08-23 Intel Corporation Slurries for chemical mechanical polishing
JP3326644B2 (ja) 1993-11-16 2002-09-24 ソニー株式会社 シリコン系材料層の加工方法
JP3264405B2 (ja) 1994-01-07 2002-03-11 三菱瓦斯化学株式会社 半導体装置洗浄剤および半導体装置の製造方法
US5427709A (en) 1994-01-14 1995-06-27 The United States Of America As Represented By The Secretary Of The Navy Environmentally safe, ready-to-use, non-toxic, non-flammable, inorganic, aqueous cleaning composition
JPH07216392A (ja) 1994-01-26 1995-08-15 Daikin Ind Ltd 洗浄剤及び洗浄方法
JP3074634B2 (ja) 1994-03-28 2000-08-07 三菱瓦斯化学株式会社 フォトレジスト用剥離液及び配線パターンの形成方法
KR0154252B1 (ko) 1994-03-31 1998-12-01 아베 아끼라 에칭제 및 전자소자와 그의 제조방법
US5466389A (en) 1994-04-20 1995-11-14 J. T. Baker Inc. PH adjusted nonionic surfactant-containing alkaline cleaner composition for cleaning microelectronics substrates
US5498293A (en) 1994-06-23 1996-03-12 Mallinckrodt Baker, Inc. Cleaning wafer substrates of metal contamination while maintaining wafer smoothness
JP2760418B2 (ja) 1994-07-29 1998-05-28 住友シチックス株式会社 半導体ウエーハの洗浄液及びこれを用いた半導体ウエーハの洗浄方法
JP2914555B2 (ja) 1994-08-30 1999-07-05 信越半導体株式会社 半導体シリコンウェーハの洗浄方法
US5486266A (en) 1994-09-01 1996-01-23 Taiwan Semiconductor Manuf. Company Method for improving the adhesion of a deposited metal layer
US5478436A (en) 1994-12-27 1995-12-26 Motorola, Inc. Selective cleaning process for fabricating a semiconductor device
JP2659088B2 (ja) 1995-03-15 1997-09-30 工業技術院長 シリコン表面の処理方法
US5681398A (en) 1995-03-17 1997-10-28 Purex Co., Ltd. Silicone wafer cleaning method
US5571447A (en) 1995-03-20 1996-11-05 Ashland Inc. Stripping and cleaning composition
JPH08264500A (ja) 1995-03-27 1996-10-11 Sony Corp 基板の洗浄方法
US5637185A (en) 1995-03-30 1997-06-10 Rensselaer Polytechnic Institute Systems for performing chemical mechanical planarization and process for conducting same
US5695661A (en) 1995-06-07 1997-12-09 Micron Display Technology, Inc. Silicon dioxide etch process which protects metal
US5654238A (en) 1995-08-03 1997-08-05 International Business Machines Corporation Method for etching vertical contact holes without substrate damage caused by directional etching
KR0147659B1 (ko) 1995-08-18 1998-08-17 김광호 반도체 장치의 세정에 사용되는 세정액 및 이를 이용한 세정방법
US5681397A (en) 1995-09-12 1997-10-28 Micron Technology, Inc. Methods for high temperature water rinsing and drying of silicon wafers after being cleaned in hydrofluoric acid
US5601656A (en) 1995-09-20 1997-02-11 Micron Technology, Inc. Methods for cleaning silicon wafers with an aqueous solution of hydrofluoric acid and hydriodic acid
JP3236220B2 (ja) * 1995-11-13 2001-12-10 東京応化工業株式会社 レジスト用剥離液組成物
US5783495A (en) 1995-11-13 1998-07-21 Micron Technology, Inc. Method of wafer cleaning, and system and cleaning solution regarding same
US5603849A (en) 1995-11-15 1997-02-18 Micron Technology, Inc. Methods and compositions for cleaning silicon wafers with a dynamic two phase liquid system with hydrofluoric acid
US5851928A (en) 1995-11-27 1998-12-22 Motorola, Inc. Method of etching a semiconductor substrate
US5700383A (en) 1995-12-21 1997-12-23 Intel Corporation Slurries and methods for chemical mechanical polish of aluminum and titanium aluminide
JP3690619B2 (ja) 1996-01-12 2005-08-31 忠弘 大見 洗浄方法及び洗浄装置
US5645737A (en) 1996-02-21 1997-07-08 Micron Technology, Inc. Wet clean for a surface having an exposed silicon/silica interface
US5670019A (en) 1996-02-26 1997-09-23 Taiwan Semiconductor Manufacturing Company Ltd. Removal process for tungsten etchback precipitates
KR0183826B1 (ko) 1996-03-04 1999-05-01 김광호 연마공정 후처리용 세정 용액 및 그를 이용하는 세정 방법
US5716535A (en) 1996-03-05 1998-02-10 Micron Technology, Inc. Methods and etchants for etching oxides of silicon with low selectivity
KR100207469B1 (ko) 1996-03-07 1999-07-15 윤종용 반도체기판의 세정액 및 이를 사용하는 세정방법
JPH1055993A (ja) * 1996-08-09 1998-02-24 Hitachi Ltd 半導体素子製造用洗浄液及びそれを用いた半導体素子の製造方法
US6030932A (en) * 1996-09-06 2000-02-29 Olin Microelectronic Chemicals Cleaning composition and method for removing residues
US5855811A (en) 1996-10-03 1999-01-05 Micron Technology, Inc. Cleaning composition containing tetraalkylammonium salt and use thereof in semiconductor fabrication
US5709756A (en) 1996-11-05 1998-01-20 Ashland Inc. Basic stripping and cleaning composition
US5698503A (en) * 1996-11-08 1997-12-16 Ashland Inc. Stripping and cleaning composition
JP2962250B2 (ja) 1996-11-12 1999-10-12 日本電気株式会社 半導体記憶装置の製造方法
US5843322A (en) 1996-12-23 1998-12-01 Memc Electronic Materials, Inc. Process for etching N, P, N+ and P+ type slugs and wafers
US5968848A (en) * 1996-12-27 1999-10-19 Tokyo Ohka Kogyo Co., Ltd. Process for treating a lithographic substrate and a rinse solution for the treatment
JP2001508239A (ja) * 1997-01-09 2001-06-19 アドバンスド ケミカル システムズ インターナショナル,インコーポレイテッド 水性フッ化アンモニウムおよびアミンを用いた、半導体ウエハ洗浄組成物および方法
KR100234541B1 (ko) 1997-03-07 1999-12-15 윤종용 반도체장치 제조용 웨이퍼의 세정을 위한 세정조성물 및 그를 이용한 세정방법
US5817569A (en) 1997-05-08 1998-10-06 Texas Instruments Incorporated Method of reducing wafer particles after partial saw
US5824601A (en) 1997-06-30 1998-10-20 Motorola, Inc. Carboxylic acid etching solution and method
US5837662A (en) 1997-12-12 1998-11-17 Memc Electronic Materials, Inc. Post-lapping cleaning process for silicon wafers
JP2002510752A (ja) * 1998-04-06 2002-04-09 アーチ・スペシャルティ・ケミカルズ・インコーポレイテッド フォトレジストとプラズマエッチ残滓の除去方法

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09246222A (ja) * 1996-03-07 1997-09-19 Kawasaki Steel Corp 半導体装置の洗浄剤および半導体装置の製造方法
JPH1116882A (ja) * 1997-06-19 1999-01-22 Toray Fine Chem Co Ltd フォトレジスト剥離用組成物
JPH1167632A (ja) * 1997-08-18 1999-03-09 Mitsubishi Gas Chem Co Inc 半導体装置用洗浄剤
JPH11119444A (ja) * 1997-10-16 1999-04-30 Tokyo Ohka Kogyo Co Ltd レジスト用剥離液組成物およびこれを用いたレジスト剥離方法
JP2000181083A (ja) * 1998-10-05 2000-06-30 Nagase Denshi Kagaku Kk レジスト剥離剤組成物及びその使用方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012252070A (ja) * 2011-06-01 2012-12-20 Panasonic Corp 剥離剤組成物

Also Published As

Publication number Publication date
WO2000066697A1 (en) 2000-11-09
AU4980300A (en) 2000-11-17
EP1177275A1 (en) 2002-02-06
ATE331020T1 (de) 2006-07-15
HK1041020B (zh) 2006-09-22
EP1177275B1 (en) 2006-06-21
TWI237659B (en) 2005-08-11
KR100561178B1 (ko) 2006-03-15
DE60028962T2 (de) 2006-12-28
HK1041020A1 (en) 2002-06-28
US6248704B1 (en) 2001-06-19
DE60028962D1 (de) 2006-08-03
KR20020001863A (ko) 2002-01-09

Similar Documents

Publication Publication Date Title
JP2002543272A (ja) 半導体デバイス用の、有機残留物およびプラズマエッチングされた残留物を洗浄するための組成物
EP1212150B1 (en) Lactam compositions for cleaning organic and plasma etched residues for semiconductor devices
KR100764888B1 (ko) 반도체 장치용의 유기 및 플라즈마 식각된 잔사의 세척을위한 조성물
EP1381663B1 (en) Cleaning compositions
JP5537126B2 (ja) エッチング残渣を除去するための組成物基板及びその使用
US7365045B2 (en) Aqueous cleaner with low metal etch rate comprising alkanolamine and tetraalkylammonium hydroxide
US7456140B2 (en) Compositions for cleaning organic and plasma etched residues for semiconductor devices
EP1610185A2 (en) Composition and method using same for removing residue from a substrate
JP4252758B2 (ja) フォトレジスト残渣除去液組成物
JP5801594B2 (ja) 洗浄組成物、これを用いた洗浄方法及び半導体素子の製造方法
EP3599633B1 (en) Post etch residue cleaning compositions and methods of using the same
US7252718B2 (en) Forming a passivating aluminum fluoride layer and removing same for use in semiconductor manufacture
KR101132084B1 (ko) 초저의 유전체 식각율을 갖는 세정 조성물
KR20200011385A (ko) 에칭 후 잔여물 세정 조성물 및 이의 사용 방법
Raghavan et al. Back-End-of-Line cleaning
JP2009218439A (ja) 半導体ドライプロセス後の残渣除去液及びそれを用いた残渣除去方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070502

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070502

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100716

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100803

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20110118