KR20020001863A - 반도체 장치용의 유기 및 플라즈마 에칭된 잔사의 세척조성물 - Google Patents

반도체 장치용의 유기 및 플라즈마 에칭된 잔사의 세척조성물 Download PDF

Info

Publication number
KR20020001863A
KR20020001863A KR1020017014021A KR20017014021A KR20020001863A KR 20020001863 A KR20020001863 A KR 20020001863A KR 1020017014021 A KR1020017014021 A KR 1020017014021A KR 20017014021 A KR20017014021 A KR 20017014021A KR 20020001863 A KR20020001863 A KR 20020001863A
Authority
KR
South Korea
Prior art keywords
composition
cleaning
water
weight percent
organic
Prior art date
Application number
KR1020017014021A
Other languages
English (en)
Other versions
KR100561178B1 (ko
Inventor
스몰로버트제이
쳉준
모타이쉬
Original Assignee
피. 제리 코더
이케이씨 테크놀로지, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 피. 제리 코더, 이케이씨 테크놀로지, 인코포레이티드 filed Critical 피. 제리 코더
Publication of KR20020001863A publication Critical patent/KR20020001863A/ko
Application granted granted Critical
Publication of KR100561178B1 publication Critical patent/KR100561178B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/43Solvents
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/50Solvents
    • C11D7/5004Organic solvents
    • C11D7/5009Organic solvents containing phosphorus, sulfur or silicon, e.g. dimethylsulfoxide
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/50Solvents
    • C11D7/5004Organic solvents
    • C11D7/5013Organic solvents containing nitrogen
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/426Stripping or agents therefor using liquids only containing organic halogen compounds; containing organic sulfonic acids or salts thereof; containing sulfoxides
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3209Amines or imines with one to four nitrogen atoms; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3218Alkanolamines or alkanolimines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3263Amides or imides
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/34Organic compounds containing sulfur

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Wood Science & Technology (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Detergent Compositions (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

약 0.01 내지 약 5 중량%의 하나 이상의 플루오라이드 화합물, 약 20 내지 약 50 중량%의 물, 약 20 내지 약 80 중량%의 유기 아미드 용매 및 0 내지 약 50 중량%의 유기 설폭사이드 용매를 포함하는, 기판으로부터 잔사를 세척하기 위한 조성물을 개시한다. 상기 조성물의 pH는 약 7 내지 약 10이다. 추가로, 상기 조성물은 부식 억제제, 킬레이트제, 계면활성제, 산 및 염기를 임의로 함유한다. 조성물의 용도에서, 기판을 상기 기판의 세척을 허용하는 시간 및 온도에서 상기 조성물과 접촉시킨다.

Description

반도체 장치용의 유기 및 플라즈마 에칭된 잔사의 세척 조성물{Compositions for cleaning organic and plasma etched residues for semiconductor devices}
플루오라이드를 함유하는 화학물질은 수년간 반도체 산업에서 초기 실리콘 웨이퍼(아직 이온 주입이나 장치 구성이 이루어지지 않은 웨이퍼)의 세척에 사용되어 왔다. 통상적으로는 상기 플루오라이드 화학물질(대개는 묽은 불화수소산)은 “RCA 세정”이라 칭하는 시퀀스에서 마지막 공정 단계로서 사용된다. 기판은 종종 선행 공정 단계들로부터 단층 량의 금속, 음이온 및/또는 유기 오염물질 또는 표면 잔사(입자)로 오염된다. 이러한 오염물질들은 간단한 시험 장치 구조물의 전기 보전에 상당한 영향을 미치는 것으로 나타났으며 상기 보전을 손상시키지 않으면서 상기 구조물을 효율적으로 세척할 필요가 있다. 이러한 세척 방법에는 기술 문헌, 예를 들어 [Int. Conf. On Solid State Devices and Materials, 1991, pp. 484-486], 또는 [Kujime, T. et al., Proc. of the 1996 Semi. Pure Water and Chemicals, pp. 245-256] 및 [Singer, P. Semi. International, p.88, Oct. 1995]에 논의된 기법들이 포함될 수 있다.
초기 웨이퍼를 저 pH 용액으로 세척하는 방법을 교시하는 특허들로는 미국 특허 제 5,560,857 및 5,645,737; 5,181,985; 5,603,849; 5,705,089 호가 있다.
최종 RCA 세척 단계로서 플루오라이드 화학물질(대개는 HF)을 사용하는 것은 실리콘 웨이퍼 표면을 소수성 상태(상기 표면이 Si-H 그룹으로 덮인다)로 만들어 물을 반발하게 할 것이다. 이러한 세척 단계 동안 일정 비율의 웨이퍼 표면이 용해(제거)된다. 세척 조건(시간, 온도, 용액 조성)을 조심스럽게 감시하지 않는 한, 기판은 손상을 입을 수 있다(Rafols, C. et al., J. Electroanalytic Chem. 433, pp. 77-83, 1997). 다수의 조성물들이 물과 유기 용매를 겸비한다. 이들 HF 용액에서 물의 농도는 매우 결정적이다. 실리카 옥사이드는 HF/수 중에서 21 Å/분(@25 ℃)의 에칭률을 가지나, 이소부탄올에서 상기 에칭율은 2.14 Å/분으로 낮아지고 아세톤(비양성자성 용매)에서는 단지 0.12 Å/분으로 훨씬 더 낮아진다(NSF/SRC Eng. Res. Center, Environmentally Benign SemiconductorManufacturing, Aug. 5-7, 1998, Stanford University).
프런트 엔드 오브 라인(FEOL) 세척 공정 후에 웨이퍼는 반도체 장치에 전형적인 백 엔드 오브 라인(BEOL) 제작 공정으로 진행하며, 이때 상기 장치는 다이나믹 랜덤 액세스 메모리(DRAM), 스태틱 랜덤 액세스 메모리(SRAM), 로직, 전기적으로 프로그램가능한 리드 온리 메모리(EPROM), 상보적인 메탈 온 실리콘(CMOS) 등일 수 있다. 화학 반응들(액체 또는 플라즈마)을 이용하는 에칭 제작 기술은 상기와 같은 반도체 기판들 상에 배선 구조를 형성시키는 방법으로서 사용되어 왔다.
포토레지스트 필름을 웨이퍼 상에 증착시켜 마스크를 형성시키고, 이어서 기판 디자인을 상기 필름 층상에 형상화하고, 굽고, 현상되지 않은 상을 포토레지스트 세척제로 제거한다. 이어서 남은 상을 플라즈마 에너지에 의해 촉진되는 반응성 에칭 기체에 의해 하부의 물질(유전체 또는 금속)로 옮긴다. 상기 에칭 기체는 기판의 보호되지 않은 영역을 선택적으로 공격한다. 대개 플루오라이드 화학물질을 함유하는 액체 에칭 화학 물질은 금속(Al)과 유전체의 에칭을 위해 수년에 걸쳐 광범위하게 사용되어 왔다. 플루오라이드 화학물질은 매우 공격적일 수 있으며 등방성 에칭(모든 방향으로 균등한 에칭)을 발생시킬 수 있다. 등방성 에칭 효과는, 통계적인 공정 조절 기술을 통한 등방성 에칭의 조절이 시도되었지만, 엄격한 임계 치수 조절을 필요로 하는 오늘날의 요구에 허용될 수 없다(Taylor, D., Solid State Technology, July 1998, p. 119).
통상적인 플라즈마 에칭 공정은 이방성(단향성) 에칭을 수반하는 동시에 부산물(포토레지스트, 에칭 기체 및 에칭된 물질로 구성됨)이 잔사로서 에칭된 개방영역의 측벽 상에 침착된다.
이러한 보호성 측벽 침착물 형성의 단점은 에칭 과정 후 잔사를 제거하기가 매우 어려울 수 있다는 것이다. 이들 잔사 중의 성분들이 일부 방식으로 제거되거나 중화되지 않는 경우 상기 잔사는 수분을 흡수하여 금속 구조물을 부식시킬 수 있는 산성 종들을 형성시킬 것이다. 생성된 산은 배선 물질을 부식시켜 전기 저항의 증가 및 단선과 같은 역효과를 가져온다. 이러한 문제들은 특히 배선 물질로서 일반적으로 사용되는 알루미늄 및 알루미늄 합금에서 빈번히 발생한다. 산성 물질과 접촉된 웨이퍼 기판을 제어하지 않는 경우 금속 구조물을 파괴시킬 수 있다.
에칭 공정의 완료에 이어서 레지스트 마스크를 보호 표면으로부터 제거하여 마무리 공정을 허용할 필요가 있다. 금속 회로의 부식, 용해 또는 감도의 감소 없이, 또는 웨이퍼 기판을 화학적으로 변화시키지 않으면서 피복된 무기 기판으로부터 유기 중합체성 물질을 제거하기 위한 개선된 세척 조성물을 개발하는 것이 바람직하다.
포토레지스트 피막(아직 소진되지 않은 경우) 및 다른 기판들의 제거에 사용되는 세척 조성물은 대부분 고도로 가연성이며, 일반적으로는 인체와 환경 모두에 위험한 것이었고, 바람직하지 못할 정도의 독성을 나타내는 반응성 용매 혼합물을 포함한다. 더욱이, 이러한 세척 조성물은 독성일 뿐 아니라 위험한 폐기물로서 폐기될 수도 있기 때문에 이의 폐기에 비용이 많이 든다. 또한, 이들 조성물은 일반적으로 전해조의 수명을 심각하게 제한하며, 대부분의 경우 재생 또는 재 사용이 불가능하다.
측벽 잔사는 산성 유기 용매 또는 알칼리성 유기 용매에 의해 제거하여 왔다. 산성 용매는 일반적으로 페놀 화합물 또는 클로로 용매 및/또는 방향족 탄화수소 및/또는 알킬벤젠설폰산으로 구성된다. 이들 배합물은 일반적으로 100 ℃ 이하의 온도에서 사용할 것이 요구된다. 이러한 화학물질들은 통상적으로 이소프로판올로 세정시킬 필요가 있다.
묽은 불화수소산 용액은 특정 조건 하에서 유전체의 접촉 영역(via) 측벽을 침략적으로 공격하고, 따라서 장치의 치수를 변화(Ireland, P., Thin Solid Films, 304, pp. 1-12(1997))시키고 추정 상 유전 상수를 변화시킴으로써 측벽 중합체를 제거할 수 있다. HF, 질산, 물 및 하이드록실아민을 함유하는 선행 화학물질들은 에이 뮬러(A. Muller)에게 허여된 미국 특허 제 3,592,773 호에 교시된 바와 같이 실리콘을 에칭시킬 정도로 충분히 공격적이다. 최근의 정보는 또한 상기 묽은 HF 용액이 보다 새로운 CFx에칭 잔사를 세척하는데는 효과적이지 않을 수 있음을 지적한다(K. Ueno et al., “Cleaning of CHF3Plasma-Etched SiO2/SiN/Cu Via Structures with Dilute Hydrofluoric Acid Solutions.”, J. Electrochem. Soc., vol. 144, (7) 1997). TiSi2상으로 개방된 접촉 통로들도 또한 HF 용액으로 세척하기 어려운데, 그 이유는 하부의 TiSi2층에 대한 공격이 나타나기 때문이다. 또한 좁은 친수성 접촉 통로에서 상기 화학물질들을 다량 운송하는 것이 어려울 수도 있다(Baklanov, M.R. et al., Proc. Electrochem. Soc., 1998, 97-35, pp. 602-609).
흔한 층간 유전체로, 단계 적용범위의 보다 양호한 균일성을 위해서 초 대규모 통합(ULSI) 구조물에 흔히 사용되는 TEOS(테트라에틸오르토실리케이트) 및 보론 포스포실리케이트 유리(BPSG)의 접촉 통로 주변의 포토레지스트를 대개는 HF 용액으로 제거한다. HF가 유전체 물질을 또한 공격하는 것은 드물지 않다. 이러한 공격은 바람직하지 않다(Lee, C. and Lee, S, Solid State Electronics, 4, pp. 921-923(1997)).
에칭 후 잔사 제거를 위한 알칼리성 유기 용매는 아민 및/또는 알칸올아민 및/또는 중성 유기 용매로 구성될 수 있다. 이러한 배합물은 일반적으로 100 ℃ 이상의 온도에서 사용되어야 한다. 최근에 새로운 에칭 후 잔사 세척 화학물질 군이 이들 기판을 세척하는데 사용되었다. 상기 화학물질에는 하이드록실아민, 아민, 알칸올아민 및 부식 억제제가 포함되며, 이들은 일반적으로 20 내지 30 ℃ 이하의 온도에서 작용한다.
최근에, 플루오라이드-기재 화학물질들은 부식 후 잔사를 제거하고, 제한된 정도로, BEOL(백 엔드 오브 라인) 공정 동안 집적 회로 기판으로부터 포토레지스트 잔사를 제거하는데 제한된 경우로 사용되어 왔다. 다수의 웨이퍼 세척 조성물들은 플루오라이드 성분, 특히 불화 수소를 함유한다. 또한 이들 조성물은 미국 특허 제 5,129,955; 5,563,119; 또는 5,571,447 호에 개시된 바와 같은 강한 부식성 화학물질들(콜린-유도체, 테트라알킬 암모늄 하이드록사이드, 암모늄 하이드록사이드)을 함유하거나, 2 상 용매 시스템, 즉 불화수소산과 물이 있는 하나의 상과, 비 극성 유기 용매(케톤, 에테르, 알칸 또는 알켄)가 있는 제 2 상을 함유하는 시스템(미국 특허 제 5,603,849 호)을 사용할 수도 있다. 다른 배합물들은 하이드록실아민 및 암모늄 플루오라이드(와드(Ward)에게 허여된 미국 특허 제 5,709,756 호)를 포함한다. 추가의 예로서 공개된 유럽 특허 출원 제 0662705 호에 개시된 바와 같은 4급 암모늄 염 및 플루오라이드 기재 조성물, 및 미국 특허 제 5,630,904 호에 개시된 바와 같은 유기 카복실 암모늄 염 또는 아민 카복실레이트 및 플루오라이드 기재 조성물이 있다.
일부 화학물질들은 또한 웨이퍼 표면으로부터 이온성 및 음이온성 오염을 제거하는데 일조하는 킬레이트제(PCT US98/02794)를 포함하였으나, 특히 시트르산, 갈산 및 카테콜과 같은 킬레이트제는 Al 금속 라인을 덮고 있는 알루미늄 옥사이드에 대해 공격적일 수 있다. 오만과 스조버그(Ohman and Sjoberg)의 연구는 시트르산 이온의 강한 착화 능력이 알루미늄 옥사이드의 용해도를 증가시킬 수 있으며 이에 의해 금속이 pH 5 및 6에서 166 및 468 인자까지의 추가의 부식에 노출될 수 있음을 보인다(Ohman et al., J. Chem. Soc. Dalton Trans. (1983), p. 2513).
웨이퍼 상의 금속 및 금속 옥사이드 잔사를 세척하는 다른 방법들로는 플라즈마 건식 제거 챔버내로의 수증기의 분무에 이은 불소 함유 기체(불화수소산)(미국 특허 제 5,181,985 호) 또는 불화수소산, 암모늄 플로라이드 및 물을 함유하는 액체(pH 1.5 내지 7)의 도입이 있다.
또한 BEOL 공정 동안 웨이퍼 표면으로부터 미립자 잔사를 제거할 필요가 있다. 현재 대부분의 공정들은 울트라- 또는 메가소닉 세척의 유무에 관계없이이소프로판올 및/또는 DI 수 세정에 의존한다. 옥사이드 웨이퍼의 화학적 기계적 광택(CMP) 후 세척에, 통상적으로는 오직 DI 수만이 사용되나, 텅스텐 웨이퍼의 경우에는 대개 묽은 NH4OH 및 묽은 HF의 배합물이 요구된다.
웨이퍼 표면으로부터 불순물(입자 및/또는 이온)을 제거하는데는 5 가지의 기전이 있다:
1. 용매에 의한 물리적 탈착으로, 소수의 강하게 흡수된 입자를 다량의 약하게 흡착된 용매로 대체시킴(표면 전하의 상호작용을 변화시킴)을 포함한다;
2. 산 또는 염기에 의한 표면 전하의 변화, 즉 Si-OH 그룹을 양성으로 만들거나 산으로 양자화시키거나, 또는 상기 양자를 제거함으로써 염기로 음성으로 만들 수 있다;
3. 산 첨가에 의한 흡착된 금속 이온의 제거에 의한 이온 착화(즉, 이온 교환);
4. 불순물의 산화 또는 분해로, 금속, 유기 물질 또는 슬러리 입자 표면의 산화를 포함하며, 불순물과 기판 표면 간의 화학력을 변화시킬 것이다. 상기 화학 반응은 산화환원 반응 또는 유리 라디칼을 통한 것일 수 있다.
5. 옥사이드 표면의 부식으로, 특정 두께의 기판 표면을 용해시키면서 불순물을 방출시킨다.
현재 이용가능한 플루오라이드-기재 화학물질은 2 및 5 항을 도울 수 있으나, 세척 조건을 조심스럽게 조절해야 한다. 많은 경우에, 세척 조성물의 성분들은 비교적 독성의 반응성 용매 혼합물이며 따라서 엄격한 사용 조건을 가해야 하고 위험한 화학물질 처리 과정이 필요하고 상기 세척 조성물과의 접촉을 피하기 위해서 사용자는 안전한 의복과 복장을 착용할 것이 요구된다. 추가로, 이러한 세척 조성물의 독성 성분들 중 다수는 매우 휘발성이어서 증발되기 매우 쉬우므로, 상기 조성물의 보관 및 사용에 전문가가 필요하고 환경 안전 예방조치를 취할 것이 요구된다.
따라서, 광범위하게 다양한 기판으로부터 다양한 침착물들을 효율적으로 세척하기위해 개선된 세척 조성물을 개발할 필요가 있다. 특히 집적 회로 제작 분야에서, 세척할 기판에 대한 공격을 피하면서 세척 성능을 개선시키고자 하는 요구가 꾸준히 증가하고 있음을 인식해야 할 것이다. 이는 제작 공정에서 덜 정교한 집적 회로 기판의 세척에 적합했던 조성물로는 보다 진보된 집적 회로를 함유하는 기판에 대해 만족할만한 결과를 발생시킬 수 없음을 의미한다.
상기 조성물은 또한 경제적이어야 하고, 환경 친화적이어야 하며 사용하기 용이해야 한다.
본 발명은 이러한 신규하고 개선된 세척 조성물과 그의 사용 방법을 교시한다. 상기 조성물은 수성이며, 유기 및 무기 물질들을 모두 용해시키고, 공정에서 사용 시 다양한 기판들을 세척할 수 있다. 상기 조성물은 보다 효율적인 기판 세척을 제공하고, 이는 보다 효율적인 잔사 제거를 의미하며, 차례로 이는 세척되는 기판으로부터 보다 높은 생산 수율을 얻을 수 있음을 의미한다.
발명의 요약
본 발명의 신규 세척 조성물은 개별적인 성분들, 또는 다른 세척 성분들과 배합된 성분들, 또는 다른 세척 성분들, 예를 들어 에톡시에탄올아민 또는 알킬아미드와 배합된 성분들을 사용하여서는 가능하지 않은, 저온에서 상승적으로 향상된 세척 작용과 세척 능력을 나타낸다.
본 발명의 일반적인 목적은 저온에서 효과적인 반도체 기판 세척 조성물을 제공하는 것이다.
본 발명의 추가의 목적은 금속 이온의 재 침착을 억제하는 에칭 후 잔사 세척 조성물을 제공하는 것이다.
본 발명의 추가의 목적은 가연성이지 않은 상기와 같은 세척 용액을 제조하는 것이다.
본 발명의 추가의 목적은 실리콘 옥사이드의 에칭률이 낮은 상기와 같은 세척 용액을 제공하는 것이다.
본 발명의 추가의 목적은 금속 구조물로부터 에칭 후 잔사를 제거하는 상기와 같은 세척 용액 및 방법을 제공하는 것이다.
본 발명의 추가의 목적은 접촉 영역으로부터 에칭 후 잔사를 제거하는 상기와 같은 세척 용액 및 방법을 제공하는 것이다.
본 발명의 추가의 목적은 저 k 유전체로부터 에칭 후 잔사를 제거하는 상기와 같은 세척 용액 및 방법을 제공하는 것이다.
상기 및 관련된 목적들은 본 원에 개시된 조성물 및 방법의 사용을 통해 획득된다.
본 발명에 따른 조성물은 기판으로부터 잔사를 세척하기 위한 것이며 유기암모늄 및 아민 카복실레이트가 없다. 상기는 약 0.01 내지 약 5 중량%의 하나 이상의 플루오라이드 화합물, 약 20 내지 약 50 중량%의 물, 약 20 내지 약 80 중량%의 유기 아미드 용매 및 0 내지 약 50 중량%의 유기 설폭사이드 용매를 포함한다. 상기 조성물의 pH는 약 7 내지 약 10이다. 또한, 상기 조성물은 부식 억제제, 킬레이트제, 계면활성제, 산 및 염기를 임의로 함유한다.
본 발명에 따른 기판으로부터의 잔사의 세척 방법은 기판을 하나 이상의 플루오라이드 화합물, 물 및 유기 아미드 용매를 포함하는 유기 암모늄 및 아민 카복실레이트 비 함유 조성물과 상기 기판의 세척에 충분한 온도 및 시간 동안 접촉시킴을 포함한다.
본 발명은 반도체 기판으로부터 유기 및 무기 화합물 또는 “중합체”(에칭 후 잔사)를 세척하는데 특히 유용한 반 수성 세척 조성물에 관한 것이다. 본 원에 사용된 “반 수성”이란 용어는 물과 유기 용매의 혼합물을 지칭한다. 본 발명은 또한 반도체 기판으로부터의 잔사의 세척에 상기 조성물을 사용하는 방법을 포함한다. 보다 특히, 본 발명은 반 수성 세척 조성물 및 그의 사용 방법을 개시한다. 상기 용액은 유기암모늄 화합물 및 아민 카복실레이트 화합물이 없고 플루오라이드 화합물, 물 및 용매를 함유하며 임의로 부식 억제제, 킬레이트제, 계면활성제, 산 및 염기를 함유한다.
도 1A는 본 발명의 실시에서 성취된 부식 결과를 조성물의 함수로서 작성한 삼각형 도표이다.
도 1B 및 1C는 도 1A의 삼각형 도표에 나타낸 조성물로 처리한 후의 반도체 웨이퍼의 일부에 대한 주사 전자 현미경(SEM) 사진이다.
도 2A는 본 발명의 실시에서 성취된 세척 결과를 조성물의 함수로서 작성한 삼각형 도표이다.
도 2B 및 2C는 도 2A의 삼각형 도표에 나타낸 조성물로 처리한 후의 반도체웨이퍼의 일부에 대한 SEM 사진이다.
도 3은 본 발명에 따른 조성물 함수로서의 pH를 작성한 삼각형 도표이다.
도 4는 본 발명에 따른 조성물로 처리하기 전의 반도체 웨이퍼의 일부에 대한 SEM 사진이다.
도 5A 내지 5D는 본 발명에 따른 조성물로 처리한 후의 반도체 기판의 일부들에 대한 해당 SEM 사진이다.
본 발명의 세척 조성물은 유기암모늄 및 아민 카복실레이트가 없다. 다수의 이점들은 상기 조성물로부터 유기암모늄과 아민 카복실레이트 화합물을 제거할 수 있음으로써 성취된다. 이들 화합물의 제거는 제품 비용을 낮춘다. 유기암모늄 및 아민 카복실레이트 화합물은 또한 상 전달 촉매라 칭하는 화합물 군이다. 이러한 화합물은 특정한 용매 조건 하에서 바람직하지 못한 부 반응들, 즉 하이드록사이드 또는 할라이드 반응의 활성화(금속 표면을 부식시킬 수 있다)를 가속화시킬 수 있다(“Phase-Transfer Catalysis in Industry”, A Practical Guide and Handbook; Mar. 1991, PTC Interface, Inc. Marietta, GA). 화학물질 중에 이러한 화합물들의 존재는 또한 원료 물질 공급원으로서 추가적인 양이온 및 음이온 오염을 도래시킬 수 있다.
상기 세척 조성물은 하나 이상의 플루오라이드 화합물을 함유한다. 적합한 플루오라이드 화합물은 암모늄 플루오라이드, 암모늄 비플루오라이드 및 불화수소이다. 바람직한 플루오라이드 화합물은 암모늄 플루오라이드 및 암모늄 비플루오라이드이다. 불화 수소를 사용하는 경우 pH를 약 7 내지 약 10으로 만들기 위해서 완충제가 필요하다. 상기 플루오라이드 화합물은 바람직하게는 약 0.01 내지 약 5 중량%, 바람직하게는 약 0.05 내지 약 5 중량%의 양으로 존재한다. 일반적으로는, 조성물 중의 상기 플루오라이드 화합물의 농도가 낮을수록 요구되는 사용 온도가 높아진다.
상기 세척 조성물은 하나 이상의 유기 아미드 용매를 함유한다. 적합한 유기 아미드 용매는 N,N-디메틸아세트아미드 및 N,N-디메틸포름아미드이다. 바람직한 유기 아미드 용매는 N,N-디메틸아세트아미드이다. 유기 아미드 용매를 단독으로 또는 혼합물로서 사용할 수 있다. 상기 조성물은 디메틸 설폭사이드와 같은 알킬 설폭사이드를 임의로 함유한다.
상기 세척 조성물은 물을 함유한다. 전형적으로는 고 순도의 탈이온수가 사용된다.
상기 조성물은 부식 억제제를 임의로 함유한다. 적합한 부식 억제제로는 무기 질산 염, 예를 들어 암모늄, 칼륨, 나트륨 및 루비듐 질산염, 알루미늄 질산염 및 아연 질산염이 있다.
상기 조성물은 킬레이트제를 임의로 함유한다. 적합한 킬레이트제는 1997년 9월 30일자로 리(Lee)에게 허여된, 통상적으로 양도된 미국 특허 제 5,672,577 호에 개시되어 있으며, 상기 특허는 본 발명에 참고로 인용되어 있다. 바람직한 킬레이트제로는 카테콜, 에틸렌디아민테트라아세트산 및 시트르산이 있다.
상기 조성물은 계면활성제를 임의로 함유한다. 적합한 계면활성제에는 폴리(비닐 알콜), 폴리(에틸렌이민), 및 음이온계, 양이온계, 비이온계, 양쪽성 및 실리콘계로 분류된 계면활성제 조성물중 임의의 것이 있다. 바람직한 계면활성제는 폴리(비닐 알콜) 및 폴리(에틸렌이민)이다.
성분들의 일부 배합은 pH를 허용가능한 값으로 조절하기 위해서 산 및/또는 염기의 첨가를 요한다. 본 발명에 사용하기에 적합한 산은 유기 또는 무기산이다. 산으로는 질산, 황산, 인산, 염산(염산은 금속을 부식시킬 수 있다) 및 유기 산, 포름산, 아세트산, 프로피온산, n-부티르산, 이소부티르산, 벤조산, 아스코르브산, 글루콘산, 말산, 말론산, 옥살산, 숙신산, 타르타르산, 시트르산, 갈산이 있다. 상기 마지막 5 개의 산들은 킬레이트제의 예들이다.
유기 산에 대한 일반적인 구조는 하기와 같다:
상기에서,
X는 -OH, -NHR, -H, -할로겐, -CO2H 및 -CH2-CO2H, -CHOH-CO2H이고,
R은 일반적으로 지방족, H 또는 방향족이다.
산의 농도는 약 1 내지 약 25 중량%로 다양할 수 있다. 중요한 인자는 수 용액 중의 임의의 추가적인 시약들과의 산 및 염기 생성물의 용해도이다.
세척 용액의 pH를 조절하는데 사용하기에 적합한 부식성 성분들은 임의의 통상적인 염기, 즉 나트륨, 칼륨, 마그네슘 하이드록사이드 등을 포함할 수 있다. 주요 문제는 이들 염기가 최종 배합물에 이동성 이온을 도입시키는 것이다. 이동성 이온은 오늘날 반도체 산업에서 생산하고 있는 컴퓨터 칩들을 파괴할 수 있다. 다른 염기들로는 콜린(4급 아민) 또는 암모늄 하이드록사이드가 있을 수 있다.
실시:
본 발명의 세척 조성물을 사용하는 기판 세척 방법은 잔사, 특히 유기금속 또는 금속 옥사이드 잔사가 위에 놓인 기판을 본 발명의 세척 조성물과 상기 잔사의 제거에 충분한 시간 및 온도에서 접촉시킴을 포함한다. 당해 분야에 공지된 교반, 순환, 초음파 처리 또는 다른 기법들을 임의로 사용할 수도 있다. 기판을 일반적으로는 세척 조성물에 침지시킨다. 시간 및 온도는 기판으로부터 제거되는 특정 물질을 기준으로 결정된다. 일반적으로는, 온도는 대략 주변 온도 또는 실온 내지 100 ℃의 범위이며 접촉 시간은 약 1 내지 60 분이다. 본 발명에 바람직한 접촉 온도 및 시간은 25 내지 45 ℃, 2 내지 60 분이다. 일반적으로는 기판을 조성물 사용 후 세정할 것이다. 바람직한 세정액은 이소프로판올 및 DI 수이다.
본 발명의 조성물은 금속 및 접촉 영역 특징물로부터 잔사를 제거하는데 특히 유용하다.
본 발명의 조성물은 저-k 유전체에 대해 특히 유용하다. 저-k 유전체는 당해 분야에 공지되어 있으며, 여기에는 불소화된 실리케이트 유리(FSG), 하이드리도 유기 실록산 중합체(HOSP), 저 유기 실록산 중합체(LOSP), 미소다공성 실리카(나노글래스), 수소 실세스퀴옥산(HSQ), 메틸 실세스퀴옥산(MSQ), 디비닐실록산 비스(벤조사이클로부텐)(BCB), 실리카 저-k(SiLK), 폴리(아릴렌 에테르)(PAE, Flare, Parylene), 및 불소화된 폴리이미드(FPI)가 포함된다.
기판으로부터 레지스트 마스크 또는 잔사를 제거하는데 적합한 본 발명에 따른 세척 조성물 및 방법의 예를 하기 실시예에 열거한다.
실시예 1.암모늄 플루오라이드, 물, 하나 이상의 아미드, 예를 들어 표 1에 나열된 N,N-디메틸아세트아미드, N,N-디메틸포름아미드, 1-메틸-2-피롤리돈, N,N-디메틸프로피온아미드 및 디메틸 설폭사이드를 함유하는 세척 화학물질 그룹을 상업적으로 입수할 수 있는 AMT DPS 에칭기에서 Cl2/BCl3플라즈마로 에칭시킨 TEOS/Ti/TiN/AlCu/TiN(기부에서 상단으로)의 스택을 갖는 금속 웨이퍼를 사용하여 시험하였다. 생성된 잔사가 있는 금속 웨이퍼를 작은 시편들로 절단하고, 이어서 상기 시편들을 표 1의 화학 용액에 실온에서 5 분간 세척을 위해 침지시켰다. 상기 시편들을 꺼내고, 탈이온수로 세정하고 N2기류 하에서 건조시켰다. 세척및 부식 효과를 평가하기 위해서 히타치(Hitachi) 4500 FE-SEM을 사용하여 SEM을 수행하였다. 금속 스택에 대한 잔사 제거 및 부식 효과를 가시적인 비교로 평가하고 모두 1에서 10의 규모로 등급을 매겼다. 표 1의 배합물들은 중량%로 나타낸다.
결과는 DMF가 부식 없이 최상의 세척 성능을 제공하는 것으로 나타났다. 그러나, DMAC 및 DMSO를 부식과 독성이 없는 세척 성능을 근거로 추가의 연구를 위해 선택하였다.
실시예 2.실시예 1의 결과를 기준으로, N,N-디메틸아세트아미드와 디메틸 설폭사이드를 암모늄 플루오라이드 및 물을 갖는 세척 배합물의 최적화를 위해 선택하였다. Cl2/BCl3플라즈마를 사용하는 상업적으로 입수할 수 있는 LAM TCP9600 에칭기를 옥사이드/Ti/TiN/AlCu/TiN(기부에서 상단으로)의 스택을 갖는 금속 웨이퍼의 에칭에 사용하였다. 생성된 잔사가 있는 금속 웨이퍼를 작은 시편들로 절단하고, 이어서 상기 시편들을 표 2의 화학 용액에 실온에서 5 분간 세척을 위해 침지시켰다. 상기 시편들을 꺼내고, 탈이온수로 세정하고 N2기류 하에서 건조시켰다. 세척 및 부식 효과를 평가하기 위해서 히타치(Hitachi) 4500 FE-SEM을 사용하여 SEM을 수행하였다. 금속 스택에 대한 잔사 제거 및 부식 효과를 가시적인 비교로 평가하고 모두 1에서 10의 규모로 등급을 매겼다. 도 3에 보고된 바와 같은 pH 값을 유리 pH 전극이 있는 오리온(Orion) SA520 미터를 사용하여 측정하였다. 표 2의 배합물을 중량%로 나타낸다.
표 2에 나타낸 배합물에 의해 수득된 결과를 이해하기 위해서, 데이터로 도 1A, 2A 및 3, 3 개의 삼각형 도표를 작성하였으며, 상기 도면들은 각각 용액 중의 물, DMAC 및 DMSO 농도의 함수로서 알루미늄 부식, 용액 중의 물, DMAC 및 DMSO 농도의 함수로서 잔사 세척 성능, 및 용액 중의 물, DMAC 및 DMSO 농도의 함수로서조성물의 pH를 나타낸다. 도 1B의 비교는 수 함량이 높고 DMAC 및 DMSO 함량이 낮은 조성물에 의한 상당한 부식을 나타낸다. 도 1C는 보다 높은 DMAC 및 DMSO 함량 및 보다 낮은 수 함량으로는 부식이 없음을 나타낸다. 도 2B는 수 함량이 높고 DMAC 및 DMSO 함량이 낮은 조성물에 의한 단지 부분적인 잔사 세척을 나타낸다. 도 2C는 보다 높은 DMAC 및 DMSO 함량 및 보다 낮은 수 함량에 의한 완전한 잔사 세척 부식을 나타낸다. 도 1A 및 2A와 함께 고찰된 도 3은 상당한 알루미늄 부식을 피하고 최적의 세척 결과를 얻는데 적합한 pH 범위를 나타낸다.
실시예 3.표 2의 조성물들 중 하나(조성물 N)를 선택하여 Cl2/BCl3플라즈마를 사용하는 AMT DPS 에칭기로 에칭된 금속 웨이퍼를 가공하였다. 건식 에칭 공정 후에, 도 4의 전형적인 샘플에 나타난 바와 같이 상기 금속 웨이퍼 상에 대량의 잔사들이 형성되었다. 잔사가 있는 금속 웨이퍼를 작은 시편들로 절단하고, 상기 시편들을 실온에서 3, 5 및 10 분간 상기 대량 잔사의 제거를 위해 선택된 화학 용액에 침지시켰다. 조성물 N은 잔사를 5 분 이상에서 완전히 세척하였으나, 3 분째에는 상기 잔사를 완전히 세척할 수 없었다(도 5A 내지 5C). 시편들을 꺼내고, 탈이온수로 세정하고 N2기류 하에서 건조시켰다. 세척 및 부식 효과를 평가하기 위해서 히타치 4500 FE-SEM으로 SEM을 수행하였다.
포토-제거 및 잔사-제거 기술은 300-㎜ 웨이퍼 가공의 필요를 만족시키기 위해서 더욱 발달할 것이다. SEZ 도구 및 다른 새로운 유형의 장치들은 25-웨이퍼 로트 보다는 오히려 개별적인 웨이퍼들을 향해 초점이 이동된 큰 크기의 웨이퍼가공을 위해 점점 더 인기를 끌고 있다. 상기 보다 큰 웨이퍼 크기는 점점 더 값이 비싸지는 웨이퍼들의 손실을 방지하기 위해서 손상 없는 레지스트 건식 제거 공정 및 잔사 제거 공정의 중요성을 증가시킨다. 더욱 또한, 각각의 단일 웨이퍼들에 대한 고속의 가공 과정은 전체 공정에 대해서 상당한 시간 절감을 축적할 것이다. 상기 가공 시간을 줄이기 위해서, 0.1 중량%의 모노에탄올아민(MEA)을 선택된 배합물에 가하였다. MEA가 있는 새로운 배합물은 도 5D에 나타낸 바와 같이 3 분째에 잔사를 완전히 세척할 수 있다.
당해 분야의 숙련가는 상기 실시예들로부터 생산 공정 고유 조건의 변화에 따라 상기 세척 용액에 대해 변형 및 변경을 수행할 수 있고 이것이 예상됨을 인지할 것이다. 상기 실시태양들을 예로서 제공한다. 일례로, 유전체 보존에 대한 구체적인 논의는 제안된 세척 용액 및 방법에 의해 보호되는 다른 금속, 금속 합금 및 폴리실리콘 구조물을 가리킨다. 교시되는 예들은 본 발명을 제한하지 않으며, 본 발명은 하기 청구의 범위에 의해서 한정된다.
본 명세서에서 언급한 모든 공보 및 특허 출원들은 각각의 개별적인 공보 또는 특허 출원이 특정하고 개별적으로 참고로 인용되는 것을 나타내는 것과 동일한 정도로 본 발명에 참고로 인용된다.
이제 본 발명을 충분히 개시하였지만, 첨부된 청구 범위의 진의 또는 범위로부터 이탈됨없이 본 발명에 대한 다수의 변화 및 변경을 수행할 수 있음은 당해 분야의 숙련가에게 자명할 것이다.

Claims (23)

  1. 약 0.01 내지 약 5 중량%의 하나 이상의 플루오라이드 화합물, 약 20 내지 약 50 중량%의 물, 약 20 내지 약 80 중량%의 유기 아미드 용매 및 0 내지 약 50 중량%의 유기 설폭사이드 용매를 포함하고 pH가 약 7 내지 약 10인
    기판으로부터 잔사를 세척하기 위한 유기암모늄 및 아민 카복실레이트 비 함유 조성물.
  2. 제 1 항에 있어서, 아민을 추가로 포함하는 조성물.
  3. 제 2 항에 있어서, 아민이 알칸올아민인 조성물.
  4. 제 3 항에 있어서, 알칸올아민이 모노에탄올아민인 조성물.
  5. 제 1 항에 있어서, 부식 억제제를 추가로 포함하는 조성물.
  6. 제 1 항에 있어서, 킬레이트제를 추가로 포함하는 조성물.
  7. 제 1 항에 있어서, 계면활성제를 추가로 포함하는 조성물.
  8. 제 1 항에 있어서, 산을 추가로 포함하는 조성물.
  9. 제 1 항에 있어서, 염기를 추가로 포함하는 조성물.
  10. 제 1 항에 있어서, 암모늄 플루오라이드, 물 및 N,N-디메틸아세트아미드를 포함하는 조성물.
  11. 제 7 항에 있어서, 디메틸 설폭사이드를 추가로 포함하는 조성물.
  12. 제 1 항에 있어서, 암모늄 플루오라이드, 물, N,N-디메틸아세트아미드 및 아민을 포함하는 조성물.
  13. 제 1 항에 있어서, 암모늄 플루오라이드, 물, N,N-디메틸아세트아미드 및 알칸올아민을 포함하는 조성물.
  14. 제 1 항에 있어서, 암모늄 플루오라이드, 물, 하이드록실아민, 알칸올아민, 킬레이트제 및 부식 억제제를 포함하는 조성물.
  15. 기판을 하나 이상의 플루오라이드 화합물, 물 및 유기 아미드 용매를 포함하는 유기 암모늄 및 아민 카복실레이트 비 함유 조성물과 상기 기판의 세척에 충분한 온도 및 시간 동안 접촉시킴을 포함하는, 기판으로부터 잔사를 세척하는 방법.
  16. 제 15 항에 있어서, 온도가 약 20 내지 약 100 ℃인 방법.
  17. 제 16 항에 있어서, 온도가 약 25 내지 약 45 ℃인 방법.
  18. 제 16 항에 있어서, 시간이 약 3 분 내지 약 10 분인 방법.
  19. 제 15 항에 있어서, 조성물이 약 0.01 내지 약 5 중량%의 하나 이상의 플루오라이드 화합물, 약 20 내지 약 50 중량%의 물, 약 20 내지 약 80 중량%의 유기 아미드 용매를 포함하고, 상기 조성물의 pH가 약 7 내지 약 10인 방법.
  20. 제 19 항에 있어서, 조성물이 약 50 중량% 이하의 유기 설폭사이드 용매를 추가로 포함하는 방법.
  21. 제 20 항에 있어서, 조성물이 아민을 추가로 포함하는 방법.
  22. 제 21 항에 있어서, 아민이 알칸올아민인 방법.
  23. 제 22 항에 있어서, 알칸올아민이 모노에탄올아민인 방법.
KR1020017014021A 1999-05-03 2000-05-02 반도체 장치용의 유기 및 플라즈마 에칭된 잔사의 세척조성물 KR100561178B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/304,450 1999-05-03
US09/304,450 US6248704B1 (en) 1999-05-03 1999-05-03 Compositions for cleaning organic and plasma etched residues for semiconductors devices

Publications (2)

Publication Number Publication Date
KR20020001863A true KR20020001863A (ko) 2002-01-09
KR100561178B1 KR100561178B1 (ko) 2006-03-15

Family

ID=23176568

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020017014021A KR100561178B1 (ko) 1999-05-03 2000-05-02 반도체 장치용의 유기 및 플라즈마 에칭된 잔사의 세척조성물

Country Status (10)

Country Link
US (1) US6248704B1 (ko)
EP (1) EP1177275B1 (ko)
JP (1) JP2002543272A (ko)
KR (1) KR100561178B1 (ko)
AT (1) ATE331020T1 (ko)
AU (1) AU4980300A (ko)
DE (1) DE60028962T2 (ko)
HK (1) HK1041020B (ko)
TW (1) TWI237659B (ko)
WO (1) WO2000066697A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200094510A (ko) * 2019-01-30 2020-08-07 동우 화인켐 주식회사 폴리이미드 제거용 조성물

Families Citing this family (59)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040134873A1 (en) * 1996-07-25 2004-07-15 Li Yao Abrasive-free chemical mechanical polishing composition and polishing process containing same
US6755989B2 (en) 1997-01-09 2004-06-29 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US6896826B2 (en) 1997-01-09 2005-05-24 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US6562726B1 (en) * 1999-06-29 2003-05-13 Micron Technology, Inc. Acid blend for removing etch residue
US6344432B1 (en) * 1999-08-20 2002-02-05 Advanced Technology Materials, Inc. Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures
US6451707B2 (en) * 1999-12-07 2002-09-17 Matsushita Electronics Corporation Method of removing reaction product due to plasma ashing of a resist pattern
DE10018338C1 (de) * 2000-04-13 2001-08-02 Wacker Siltronic Halbleitermat Verfahren zur Herstellung einer Halbleiterscheibe
JP4368498B2 (ja) * 2000-05-16 2009-11-18 Necエレクトロニクス株式会社 半導体装置、半導体ウェーハおよびこれらの製造方法
US7427529B2 (en) * 2000-06-06 2008-09-23 Simon Fraser University Deposition of permanent polymer structures for OLED fabrication
CN1218222C (zh) * 2000-07-10 2005-09-07 Ekc技术公司 用于清洁半导体设备上有机残余物和等离子蚀刻残余物的组合物
US7456140B2 (en) * 2000-07-10 2008-11-25 Ekc Technology, Inc. Compositions for cleaning organic and plasma etched residues for semiconductor devices
US6762132B1 (en) * 2000-08-31 2004-07-13 Micron Technology, Inc. Compositions for dissolution of low-K dielectric films, and methods of use
US6656894B2 (en) * 2000-12-07 2003-12-02 Ashland Inc. Method for cleaning etcher parts
US6566315B2 (en) 2000-12-08 2003-05-20 Advanced Technology Materials, Inc. Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures
US20030022800A1 (en) 2001-06-14 2003-01-30 Peters Darryl W. Aqueous buffered fluoride-containing etch residue removers and cleaners
US6627546B2 (en) * 2001-06-29 2003-09-30 Ashland Inc. Process for removing contaminant from a surface and composition useful therefor
MY143399A (en) * 2001-07-09 2011-05-13 Avantor Performance Mat Inc Microelectronic cleaning compositons containing ammonia-free fluoride salts for selective photoresist stripping and plasma ash residue cleaning
MY131912A (en) * 2001-07-09 2007-09-28 Avantor Performance Mat Inc Ammonia-free alkaline microelectronic cleaning compositions with improved substrate compatibility
US7077880B2 (en) * 2004-01-16 2006-07-18 Dupont Air Products Nanomaterials Llc Surface modified colloidal abrasives, including stable bimetallic surface coated silica sols for chemical mechanical planarization
US20030171239A1 (en) * 2002-01-28 2003-09-11 Patel Bakul P. Methods and compositions for chemically treating a substrate using foam technology
WO2003064581A1 (en) * 2002-01-28 2003-08-07 Ekc Technology, Inc. Methods and compositions for chemically treating a substrate using foam technology
US20030162398A1 (en) * 2002-02-11 2003-08-28 Small Robert J. Catalytic composition for chemical-mechanical polishing, method of using same, and substrate treated with same
US7513920B2 (en) * 2002-02-11 2009-04-07 Dupont Air Products Nanomaterials Llc Free radical-forming activator attached to solid and used to enhance CMP formulations
US6773873B2 (en) * 2002-03-25 2004-08-10 Advanced Technology Materials, Inc. pH buffered compositions useful for cleaning residue from semiconductor substrates
US7252718B2 (en) * 2002-05-31 2007-08-07 Ekc Technology, Inc. Forming a passivating aluminum fluoride layer and removing same for use in semiconductor manufacture
US6677286B1 (en) * 2002-07-10 2004-01-13 Air Products And Chemicals, Inc. Compositions for removing etching residue and use thereof
DE10331033B4 (de) * 2002-07-12 2010-04-29 Ekc Technology K.K. R&D Business Park Bldg. D-3F, Kawasaki Herstellungsverfahren einer Halbleitervorrichtung und Reinigungszusammensetzung dafür
JP4443864B2 (ja) * 2002-07-12 2010-03-31 株式会社ルネサステクノロジ レジストまたはエッチング残さ物除去用洗浄液および半導体装置の製造方法
US6849200B2 (en) * 2002-07-23 2005-02-01 Advanced Technology Materials, Inc. Composition and process for wet stripping removal of sacrificial anti-reflective material
DE10309368A1 (de) * 2002-08-06 2004-02-26 Aventis Behring Gmbh Intellectual Property/Legal Pharmazeutische Zubereitung mit RNA als Cofaktor der Hämostase
WO2004016827A1 (fr) * 2002-08-19 2004-02-26 Merk-Kanto Advanced Chemical Ltd. Solution decapante
US20040217006A1 (en) * 2003-03-18 2004-11-04 Small Robert J. Residue removers for electrohydrodynamic cleaning of semiconductors
JP2006526895A (ja) * 2003-05-02 2006-11-24 イーケーシー テクノロジー,インコーポレイティド 半導体処理におけるエッチング後の残留物の除去
US7344988B2 (en) * 2003-10-27 2008-03-18 Dupont Air Products Nanomaterials Llc Alumina abrasive for chemical mechanical polishing
KR101117939B1 (ko) * 2003-10-28 2012-02-29 사켐,인코포레이티드 세척액 및 에칭제 및 이의 사용 방법
US20050126588A1 (en) * 2003-11-04 2005-06-16 Carter Melvin K. Chemical mechanical polishing slurries and cleaners containing salicylic acid as a corrosion inhibitor
US7419911B2 (en) * 2003-11-10 2008-09-02 Ekc Technology, Inc. Compositions and methods for rapidly removing overfilled substrates
JP4498726B2 (ja) * 2003-11-25 2010-07-07 Kisco株式会社 洗浄剤
JP4326928B2 (ja) * 2003-12-09 2009-09-09 株式会社東芝 フォトレジスト残渣除去液組成物及び該組成物を用いる半導体回路素子の製造方法
KR100795364B1 (ko) * 2004-02-10 2008-01-17 삼성전자주식회사 반도체 기판용 세정액 조성물, 이를 이용한 세정 방법 및도전성 구조물의 제조 방법
KR20050110470A (ko) * 2004-05-19 2005-11-23 테크노세미켐 주식회사 반도체 기판용 세정액 조성물, 이를 이용한 반도체 기판세정방법 및 반도체 장치 제조 방법
KR100634401B1 (ko) * 2004-08-03 2006-10-16 삼성전자주식회사 반도체 제조공정의 기판 처리 방법
US20060063388A1 (en) * 2004-09-23 2006-03-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method for using a water vapor treatment to reduce surface charge after metal etching
CN101163776A (zh) * 2004-11-19 2008-04-16 霍尼韦尔国际公司 用于半导体应用的选择性去除化学物质,其制备方法和用途
KR20060104531A (ko) * 2005-03-30 2006-10-09 삼성에스디아이 주식회사 발광표시장치의 제조방법
CN102981377B (zh) 2005-06-07 2014-11-12 高级技术材料公司 金属和电介质相容的牺牲性抗反射涂层清洗及去除组合物
KR100734274B1 (ko) * 2005-09-05 2007-07-02 삼성전자주식회사 기판 세정용 조성물을 이용한 게이트 형성 방법
JP4734090B2 (ja) * 2005-10-31 2011-07-27 株式会社東芝 半導体装置の製造方法
US7534753B2 (en) * 2006-01-12 2009-05-19 Air Products And Chemicals, Inc. pH buffered aqueous cleaning composition and method for removing photoresist residue
CN101815811A (zh) * 2007-09-06 2010-08-25 Ekc技术公司 用来处理铜表面的组合物和方法
EP2110462B8 (en) * 2008-04-19 2012-09-05 Cognis IP Management GmbH Compositions for degreasing metal surfaces
US8398779B2 (en) 2009-03-02 2013-03-19 Applied Materials, Inc. Non destructive selective deposition removal of non-metallic deposits from aluminum containing substrates
US9481937B2 (en) * 2009-04-30 2016-11-01 Asm America, Inc. Selective etching of reactor surfaces
US8101561B2 (en) 2009-11-17 2012-01-24 Wai Mun Lee Composition and method for treating semiconductor substrate surface
US8921295B2 (en) 2010-07-23 2014-12-30 American Sterilizer Company Biodegradable concentrated neutral detergent composition
JP2012252070A (ja) * 2011-06-01 2012-12-20 Panasonic Corp 剥離剤組成物
US9536730B2 (en) 2012-10-23 2017-01-03 Air Products And Chemicals, Inc. Cleaning formulations
KR102356356B1 (ko) * 2017-05-31 2022-01-28 에스케이하이닉스 주식회사 세정 조성물 및 이를 이용하는 전자 장치의 제조방법
EP3721297B1 (en) * 2017-12-08 2024-02-07 Henkel AG & Co. KGaA Photoresist stripper compostion

Family Cites Families (105)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4165295A (en) 1976-10-04 1979-08-21 Allied Chemical Corporation Organic stripping compositions and method for using same
FR2372904A1 (fr) 1976-11-19 1978-06-30 Ibm Composition de decapage du silicium polycristallin contenant de l'hydroxyde de tetramethylammonium et procede d'application
US4269654A (en) 1977-11-18 1981-05-26 Rca Corporation Silicon nitride and silicon oxide etchant
US4215005A (en) 1978-01-30 1980-07-29 Allied Chemical Corporation Organic stripping compositions and method for using same
US4221674A (en) 1979-03-09 1980-09-09 Allied Chemical Corporation Organic sulfonic acid stripping composition and method with nitrile and fluoride metal corrosion inhibitor system
US4198262A (en) 1979-03-29 1980-04-15 Atlantic Richfield Company Solar cell manufacture
US4276186A (en) 1979-06-26 1981-06-30 International Business Machines Corporation Cleaning composition and use thereof
US4275100A (en) 1980-01-04 1981-06-23 Rca Corporation Video disc processing
US4330419A (en) 1980-10-20 1982-05-18 Halliburton Company Method of and solvent for removing inorganic fluoride deposits
DE3048083C2 (de) 1980-12-19 1983-09-29 Ludwig 8900 Augsburg Fahrmbacher-Lutz Verfahren zur chemischen Entfernung von Oxidschichten von Gegenständen aus Titan oder Titanlegierungen
SE8206447L (sv) 1981-11-24 1983-05-25 Occidental Chem Co Avmetalliseringskomposition och -forfarande
US4567946A (en) 1982-02-08 1986-02-04 Union Oil Company Of California Increasing the permeability of a subterranean reservoir
JPS58139430A (ja) 1982-02-15 1983-08-18 Toray Ind Inc レジストの剥離法
US4395304A (en) 1982-05-11 1983-07-26 Rca Corporation Selective etching of phosphosilicate glass
FR2539140A1 (fr) 1983-01-07 1984-07-13 Ugine Kuhlmann Stabilisation de solutions aqueuses contenant du peroxyde d'hydrogene, de l'acide fluorhydrique et des ions metalliques
US4498953A (en) 1983-07-27 1985-02-12 At&T Bell Laboratories Etching techniques
JPS6066825A (ja) 1983-09-22 1985-04-17 Toshiba Corp 半導体装置の製造方法
US4508591A (en) 1984-03-08 1985-04-02 Hewlett-Packard Company Polymethyl methacrylate compatible silicon dioxide complexing agent
US4517106A (en) 1984-04-26 1985-05-14 Allied Corporation Soluble surfactant additives for ammonium fluoride/hydrofluoric acid oxide etchant solutions
US4620934A (en) 1984-04-26 1986-11-04 Allied Corporation Soluble fluorinated cycloalkane sulfonate surfactant additives for NH4
US4552783A (en) 1984-11-05 1985-11-12 General Electric Company Enhancing the selectivity of tungsten deposition on conductor and semiconductor surfaces
US4569722A (en) 1984-11-23 1986-02-11 At&T Bell Laboratories Ethylene glycol etch for processes using metal silicides
US4738747A (en) 1986-07-22 1988-04-19 Westinghouse Electric Corp. Process for etching zirconium metallic objects
US4847004A (en) 1986-11-26 1989-07-11 Mcleod Harry L Aqueous cleaning solution containing chelating agents and surfactants
US4721548A (en) 1987-05-13 1988-01-26 Intel Corporation Semiconductor planarization process
US4759823A (en) 1987-06-02 1988-07-26 Krysalis Corporation Method for patterning PLZT thin films
US4802990A (en) 1987-07-30 1989-02-07 Inskeep Jr Eugene L Solution and method for dissolving minerals
US5181985A (en) 1988-06-01 1993-01-26 Wacker-Chemitronic Gesellschaft Fur Elektronik-Grundstoffe Mbh Process for the wet-chemical surface treatment of semiconductor wafers
US5250471A (en) 1988-12-26 1993-10-05 The Furukawa Electric Co. Method for manufacturing compound semiconductor devices including a step where the semiconductor is etched without exposure to light
US5129955A (en) 1989-01-11 1992-07-14 Dainippon Screen Mfg. Co., Ltd. Wafer cleaning method
US5238500A (en) 1990-05-15 1993-08-24 Semitool, Inc. Aqueous hydrofluoric and hydrochloric acid vapor processing of semiconductor wafers
US4921572A (en) 1989-05-04 1990-05-01 Olin Corporation Etchant solutions containing hydrogen fluoride and a polyammonium fluoride salt
JPH069195B2 (ja) 1989-05-06 1994-02-02 大日本スクリーン製造株式会社 基板の表面処理方法
JP2787788B2 (ja) 1990-09-26 1998-08-20 インターナショナル・ビジネス・マシーンズ・コーポレーション 残留物除去方法
US5556482A (en) * 1991-01-25 1996-09-17 Ashland, Inc. Method of stripping photoresist with composition containing inhibitor
US5496491A (en) * 1991-01-25 1996-03-05 Ashland Oil Company Organic stripping composition
US5415811A (en) 1991-04-09 1995-05-16 E And R Investments Cleaning composition and method for utilizing same
US5219791A (en) 1991-06-07 1993-06-15 Intel Corporation TEOS intermetal dielectric preclean for VIA formation
US5326406A (en) 1991-07-31 1994-07-05 Kawasaki Steel Corporation Method of cleaning semiconductor substrate and apparatus for carrying out the same
JP3217116B2 (ja) 1992-03-06 2001-10-09 日産化学工業株式会社 低表面張力洗浄用組成物
TW263531B (ko) 1992-03-11 1995-11-21 Mitsubishi Gas Chemical Co
US5236552A (en) * 1992-04-13 1993-08-17 At&T Bell Laboratories Photoresist stripping method
US5514526A (en) 1992-06-02 1996-05-07 Mitsubishi Chemical Corporation Fluorine-containing composition for forming anti-reflection film on resist surface and pattern formation method
US5225034A (en) 1992-06-04 1993-07-06 Micron Technology, Inc. Method of chemical mechanical polishing predominantly copper containing metal layers in semiconductor processing
US5277715A (en) 1992-06-04 1994-01-11 Micron Semiconductor, Inc. Method of reducing particulate concentration in process fluids
US5308400A (en) 1992-09-02 1994-05-03 United Microelectronics Corporation Room temperature wafer cleaning process
DE69418458T2 (de) 1993-02-04 2000-01-27 Daikin Ind Ltd Nassaetzungsverbindung fuer halbleiter mit ausgezeichneten befeuchtungseigenschaften
US5389194A (en) 1993-02-05 1995-02-14 Lsi Logic Corporation Methods of cleaning semiconductor substrates after polishing
US5320709A (en) 1993-02-24 1994-06-14 Advanced Chemical Systems International Incorporated Method for selective removal of organometallic and organosilicon residues and damaged oxides using anhydrous ammonium fluoride solution
DE9304878U1 (ko) 1993-03-31 1993-06-09 Hoechst Ag, 6230 Frankfurt, De
JP2586304B2 (ja) 1993-09-21 1997-02-26 日本電気株式会社 半導体基板の洗浄液および洗浄方法
US5656097A (en) 1993-10-20 1997-08-12 Verteq, Inc. Semiconductor wafer cleaning system
US5340370A (en) 1993-11-03 1994-08-23 Intel Corporation Slurries for chemical mechanical polishing
JP3326644B2 (ja) 1993-11-16 2002-09-24 ソニー株式会社 シリコン系材料層の加工方法
JP3264405B2 (ja) 1994-01-07 2002-03-11 三菱瓦斯化学株式会社 半導体装置洗浄剤および半導体装置の製造方法
US5427709A (en) 1994-01-14 1995-06-27 The United States Of America As Represented By The Secretary Of The Navy Environmentally safe, ready-to-use, non-toxic, non-flammable, inorganic, aqueous cleaning composition
JPH07216392A (ja) 1994-01-26 1995-08-15 Daikin Ind Ltd 洗浄剤及び洗浄方法
JP3074634B2 (ja) 1994-03-28 2000-08-07 三菱瓦斯化学株式会社 フォトレジスト用剥離液及び配線パターンの形成方法
TW332322B (en) 1994-03-31 1998-05-21 Furontec Kk Manufacturing method for etchant and electronic element of conductive semiconductor
US5466389A (en) 1994-04-20 1995-11-14 J. T. Baker Inc. PH adjusted nonionic surfactant-containing alkaline cleaner composition for cleaning microelectronics substrates
US5498293A (en) 1994-06-23 1996-03-12 Mallinckrodt Baker, Inc. Cleaning wafer substrates of metal contamination while maintaining wafer smoothness
JP2760418B2 (ja) 1994-07-29 1998-05-28 住友シチックス株式会社 半導体ウエーハの洗浄液及びこれを用いた半導体ウエーハの洗浄方法
JP2914555B2 (ja) 1994-08-30 1999-07-05 信越半導体株式会社 半導体シリコンウェーハの洗浄方法
US5486266A (en) 1994-09-01 1996-01-23 Taiwan Semiconductor Manuf. Company Method for improving the adhesion of a deposited metal layer
US5478436A (en) 1994-12-27 1995-12-26 Motorola, Inc. Selective cleaning process for fabricating a semiconductor device
JP2659088B2 (ja) 1995-03-15 1997-09-30 工業技術院長 シリコン表面の処理方法
US5681398A (en) 1995-03-17 1997-10-28 Purex Co., Ltd. Silicone wafer cleaning method
US5571447A (en) 1995-03-20 1996-11-05 Ashland Inc. Stripping and cleaning composition
JPH08264500A (ja) 1995-03-27 1996-10-11 Sony Corp 基板の洗浄方法
US5637185A (en) 1995-03-30 1997-06-10 Rensselaer Polytechnic Institute Systems for performing chemical mechanical planarization and process for conducting same
US5695661A (en) 1995-06-07 1997-12-09 Micron Display Technology, Inc. Silicon dioxide etch process which protects metal
US5654238A (en) 1995-08-03 1997-08-05 International Business Machines Corporation Method for etching vertical contact holes without substrate damage caused by directional etching
KR0147659B1 (ko) 1995-08-18 1998-08-17 김광호 반도체 장치의 세정에 사용되는 세정액 및 이를 이용한 세정방법
US5681397A (en) 1995-09-12 1997-10-28 Micron Technology, Inc. Methods for high temperature water rinsing and drying of silicon wafers after being cleaned in hydrofluoric acid
US5601656A (en) 1995-09-20 1997-02-11 Micron Technology, Inc. Methods for cleaning silicon wafers with an aqueous solution of hydrofluoric acid and hydriodic acid
JP3236220B2 (ja) * 1995-11-13 2001-12-10 東京応化工業株式会社 レジスト用剥離液組成物
US5783495A (en) 1995-11-13 1998-07-21 Micron Technology, Inc. Method of wafer cleaning, and system and cleaning solution regarding same
US5603849A (en) 1995-11-15 1997-02-18 Micron Technology, Inc. Methods and compositions for cleaning silicon wafers with a dynamic two phase liquid system with hydrofluoric acid
US5851928A (en) 1995-11-27 1998-12-22 Motorola, Inc. Method of etching a semiconductor substrate
US5700383A (en) 1995-12-21 1997-12-23 Intel Corporation Slurries and methods for chemical mechanical polish of aluminum and titanium aluminide
JP3690619B2 (ja) 1996-01-12 2005-08-31 忠弘 大見 洗浄方法及び洗浄装置
US5645737A (en) 1996-02-21 1997-07-08 Micron Technology, Inc. Wet clean for a surface having an exposed silicon/silica interface
US5670019A (en) 1996-02-26 1997-09-23 Taiwan Semiconductor Manufacturing Company Ltd. Removal process for tungsten etchback precipitates
KR0183826B1 (ko) 1996-03-04 1999-05-01 김광호 연마공정 후처리용 세정 용액 및 그를 이용하는 세정 방법
US5716535A (en) 1996-03-05 1998-02-10 Micron Technology, Inc. Methods and etchants for etching oxides of silicon with low selectivity
JPH09246222A (ja) * 1996-03-07 1997-09-19 Kawasaki Steel Corp 半導体装置の洗浄剤および半導体装置の製造方法
KR100207469B1 (ko) 1996-03-07 1999-07-15 윤종용 반도체기판의 세정액 및 이를 사용하는 세정방법
JPH1055993A (ja) * 1996-08-09 1998-02-24 Hitachi Ltd 半導体素子製造用洗浄液及びそれを用いた半導体素子の製造方法
US6030932A (en) * 1996-09-06 2000-02-29 Olin Microelectronic Chemicals Cleaning composition and method for removing residues
US5855811A (en) 1996-10-03 1999-01-05 Micron Technology, Inc. Cleaning composition containing tetraalkylammonium salt and use thereof in semiconductor fabrication
US5709756A (en) 1996-11-05 1998-01-20 Ashland Inc. Basic stripping and cleaning composition
US5698503A (en) * 1996-11-08 1997-12-16 Ashland Inc. Stripping and cleaning composition
JP2962250B2 (ja) 1996-11-12 1999-10-12 日本電気株式会社 半導体記憶装置の製造方法
US5843322A (en) 1996-12-23 1998-12-01 Memc Electronic Materials, Inc. Process for etching N, P, N+ and P+ type slugs and wafers
US5968848A (en) * 1996-12-27 1999-10-19 Tokyo Ohka Kogyo Co., Ltd. Process for treating a lithographic substrate and a rinse solution for the treatment
JP2001508239A (ja) * 1997-01-09 2001-06-19 アドバンスド ケミカル システムズ インターナショナル,インコーポレイテッド 水性フッ化アンモニウムおよびアミンを用いた、半導体ウエハ洗浄組成物および方法
KR100234541B1 (ko) 1997-03-07 1999-12-15 윤종용 반도체장치 제조용 웨이퍼의 세정을 위한 세정조성물 및 그를 이용한 세정방법
US5817569A (en) 1997-05-08 1998-10-06 Texas Instruments Incorporated Method of reducing wafer particles after partial saw
JPH1116882A (ja) * 1997-06-19 1999-01-22 Toray Fine Chem Co Ltd フォトレジスト剥離用組成物
US5824601A (en) 1997-06-30 1998-10-20 Motorola, Inc. Carboxylic acid etching solution and method
JPH1167632A (ja) * 1997-08-18 1999-03-09 Mitsubishi Gas Chem Co Inc 半導体装置用洗浄剤
JP3773227B2 (ja) * 1997-10-16 2006-05-10 東京応化工業株式会社 レジスト用剥離液組成物およびこれを用いたレジスト剥離方法
US5837662A (en) 1997-12-12 1998-11-17 Memc Electronic Materials, Inc. Post-lapping cleaning process for silicon wafers
EP1070157A4 (en) * 1998-04-06 2003-02-12 Arch Spec Chem Inc METHOD FOR REMOVING A PHOTORESIST AND PLASMA ENGRAVING RESIDUES
JP2000181083A (ja) * 1998-10-05 2000-06-30 Nagase Denshi Kagaku Kk レジスト剥離剤組成物及びその使用方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200094510A (ko) * 2019-01-30 2020-08-07 동우 화인켐 주식회사 폴리이미드 제거용 조성물

Also Published As

Publication number Publication date
US6248704B1 (en) 2001-06-19
WO2000066697A1 (en) 2000-11-09
TWI237659B (en) 2005-08-11
HK1041020A1 (en) 2002-06-28
JP2002543272A (ja) 2002-12-17
AU4980300A (en) 2000-11-17
EP1177275A1 (en) 2002-02-06
HK1041020B (zh) 2006-09-22
ATE331020T1 (de) 2006-07-15
DE60028962T2 (de) 2006-12-28
KR100561178B1 (ko) 2006-03-15
EP1177275B1 (en) 2006-06-21
DE60028962D1 (de) 2006-08-03

Similar Documents

Publication Publication Date Title
KR100561178B1 (ko) 반도체 장치용의 유기 및 플라즈마 에칭된 잔사의 세척조성물
EP1212150B1 (en) Lactam compositions for cleaning organic and plasma etched residues for semiconductor devices
JP4959095B2 (ja) 半導体デバイスの有機及びプラズマエッチング残さの洗浄用組成物
JP6339555B2 (ja) 高いwn/w選択率を有するストリッピング組成物
US7456140B2 (en) Compositions for cleaning organic and plasma etched residues for semiconductor devices
EP1381663B1 (en) Cleaning compositions
EP1688798B1 (en) Aqueous based residue removers comprising fluoride
US20030181342A1 (en) pH buffered compositions useful for cleaning residue from semiconductor substrates
JP2011503899A (ja) 半導体基板から金属ハードマスクエッチング残留物を除去するための組成物
EP1610185A2 (en) Composition and method using same for removing residue from a substrate
JP5801594B2 (ja) 洗浄組成物、これを用いた洗浄方法及び半導体素子の製造方法
EP3599633B1 (en) Post etch residue cleaning compositions and methods of using the same
US20050089489A1 (en) Composition for exfoliation agent effective in removing resist residues
KR102321217B1 (ko) 에칭 후 잔여물 세정 조성물 및 이의 사용 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20090225

Year of fee payment: 4

LAPS Lapse due to unpaid annual fee