JP2002540548A - 反応性イオンビームエッチング方法及び当該方法を使用して製造された薄膜ヘッド - Google Patents

反応性イオンビームエッチング方法及び当該方法を使用して製造された薄膜ヘッド

Info

Publication number
JP2002540548A
JP2002540548A JP2000608372A JP2000608372A JP2002540548A JP 2002540548 A JP2002540548 A JP 2002540548A JP 2000608372 A JP2000608372 A JP 2000608372A JP 2000608372 A JP2000608372 A JP 2000608372A JP 2002540548 A JP2002540548 A JP 2002540548A
Authority
JP
Japan
Prior art keywords
ion beam
plasma
etching
layer
ion
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Ceased
Application number
JP2000608372A
Other languages
English (en)
Inventor
カート・イー・ウイリアムズ
ボリス・エル・ドラズ
ダニエル・エス・ハインズ
ジョン・エフ・ロンドノ
Original Assignee
ビーコ・インストゥルーメンツ・インコーポレーション
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ビーコ・インストゥルーメンツ・インコーポレーション filed Critical ビーコ・インストゥルーメンツ・インコーポレーション
Publication of JP2002540548A publication Critical patent/JP2002540548A/ja
Ceased legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F4/00Processes for removing metallic material from surfaces, not provided for in group C23F1/00 or C23F3/00
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11BINFORMATION STORAGE BASED ON RELATIVE MOVEMENT BETWEEN RECORD CARRIER AND TRANSDUCER
    • G11B5/00Recording by magnetisation or demagnetisation of a record carrier; Reproducing by magnetic means; Record carriers therefor
    • G11B5/127Structure or manufacture of heads, e.g. inductive
    • G11B5/31Structure or manufacture of heads, e.g. inductive using thin films
    • G11B5/3163Fabrication methods or processes specially adapted for a particular head structure, e.g. using base layers for electroplating, using functional layers for masking, using energy or particle beams for shaping the structure or modifying the properties of the basic layers

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Drying Of Semiconductors (AREA)
  • Magnetic Heads (AREA)
  • Treatments Of Macromolecular Shaped Articles (AREA)

Abstract

(57)【要約】 イオン源内及び基板上の炭素質付着物(例えば、ポリマー)の形成を制御するのにイオン源に含まれるプラズマに酸化剤を使用する反応性イオンビームエッチング方法。炭素質付着物形成種を有するプラズマによってイオン源を動作した後で、イオン源の動作中に、酸化剤(種)を含むプラズマがイオン源内に生成される。好ましくは、イオン源内に、炭素質付着物形成種が存在する時間と酸化剤が存在する時間の間は本質的に継続的にプラズマが維持される。酸化種を有するプラズマを含むイオン源から抽出された反応性イオンビームは、試料基板構造の炭素質(例えば、ポリマー)付着物を形成可能な種を有するイオンビームを使用した以前の反応性イオンビームエッチング(RIBE)プロセッシングステップから形成される場合があったように、試料上に形成された炭素質材料付着物(例えば、ポリマー)を除去(即ち、エッチング)するために、試料基板に衝突可能となる。好ましくは、酸化種を含む反応性イオンビームは試料に、イオンビームが衝突する他の材料よりも炭素質付着物(例えば、ポリマー)のエッチングの選択性を高める角度で入射する。薄膜磁気ヘッドは、以前のフッ化炭素ベースRIBEステップ中に形成されるいかなる炭素質材料(例えば、ポリマー)付着物をも除去するのに酸化種を有するRIBEを使用するポールトリミングプロセスによって製造される。

Description

【発明の詳細な説明】
【0001】発明の属する技術分野 本発明は、一般にイオンビームエッチングに係り、特に炭素質種及び酸化種の
両方を使用した反応性イオンビームエッチング方法と当該反応性イオンビームエ
ッチング方法を使用して製造された薄膜磁気ヘッドに関する。
【0002】発明の背景 イオンビームエッチング(IBE)及び反応性イオンビームエッチング(RI
BE)技術は過去15年乃至20年に亘って研究及び多数のニッチェ(nich
e)用途に使用されてきた。過去5年、「イオンミリング」技術はデータ記憶装
置業界において薄膜磁気ヘッド(TFMH)の製造に広く利用されてきた。他の
エッチング技術に対するイオンミリングの重要な長所は、優れたエッチング均一
性とエッチング形状輪郭の制御である。
【0003】 ヘッド(スライダ)形状ファクターの縮小と高感度磁気抵抗読み出し素子の導
入を含む薄膜ヘッド業界の近年の傾向は、高いスループット、再現性(反復可能
性)及び歩留りに結びついた、高い選択性及び/又はエッチング制御を有する異
方性エッチング技術の需要を惹起してきた。近年まで、RIBEプロセスの開発
と高いスループットの製造動作は、特に、高濃度の反応ガスを伴う動作時の、標
準的なカウフマン型イオン源の短いフィラメント寿命と信頼性の問題によって阻
害されてきた。これらの問題は、無線周波数誘導結合プラズマ(RF−ICP)
イオン源などのフィラメントのないイオン源によって除去されてきた。
【0004】 最も競争的な代替技術は、半導体業界で広く利用されている反応性イオンエッ
チング(RIE)である。RIEでは、基板はプラズマリアクターの内部に載置
され、方向性エッチングが電気的バイアスを基板に印加することによって達成さ
れる。原則として、これは、反応性プラズマを使用して高いエッチング速度と選
択性を与える。被エッチング面が化学種とプラズマ内で反応してシステム外に排
気される揮発性反応生成物を形成すると、RIEプロセスにとって最適な結果が
得られる。しかし、データ記憶装置材料には余り高い反応性はなく、これらの材
料に対して発見された反応ガスの化学的性質は揮発性反応生成物を簡単に製造し
ない。酸化/金属エッチングの選択性は、典型的に、10:1のオーダーである
が、幾つかの有益なRIEガスはフォトレジストも攻撃するために、マスクに抵
抗するエッチング選択性の低下をもたらす。また、エッチング異方性も低下する
場合があり、未エッチング残部の作成はエッチングされた粗面と性能問題をもた
らし得る。TFMH材料をエッチングするために、IBE又はRIBEは優れた
エッチング輪郭を与え、RIBEの選択性とエッチング速度はRIEと競争可能
となり得る。
【0005】 「イオンミリング」又はIBEの長所は文献に述べられており、イオンビーム
エネルギーと電流密度の独立した制御と、プラズマ及び基板の分離条件を含む。
即ち、基板は、イオンを生成するプラズマの外に配置され、独立したエッチング
プロセスとプラズマ生成プロセスの最適化を可能にする。基板に最適入射角で広
域高密度ビームとしてもたらされる高エネルギー、高方向性のイオンは、高い異
方性エッチング輪郭を生成する。プラズマからのウェハの分離のために、IBE
プロセス圧力は、平行板RIEシステムのそれら以下の典型的に2つのオーダー
の大きさになる。これらの低いプロセス圧力は、最大異方性のためにイオンエネ
ルギーの損失のない輸送を基板まで確保し、エッチングされた材料をウェハへの
再付着を制限する。
【0006】 「純粋な」イオンビームエッチングプロセスは、エッチングイオンの源として
アルゴンなどの不活性ガスを使用し、純粋に物理的なエッチングプロセスとみな
すことができる。この手法の長所は、優先的なエッチングのために残部なしに、
いかなる材料もエッチングすることができるということであり、複数のコンポー
ネント材料をエッチングすることができるということである。反応性プロセスが
何ら関与していないので、IBEエッチングの反復可能性は十分に制御可能であ
り、性能はウェハ作成等の変数に敏感ではない。それに対応して、化学的エッチ
ング部がないので、IBEによって得られた選択性とエッチング速度も制限する
ことができる。
【0007】 あるエッチング条件下では、(フェンスとしても知られる)側壁最付着の受け
入れられないレベルがIBEに起こる場合がある。これは、エッチング金属が特
に貴金属及びパーマロイなどの合金である場合に、特に問題となる。同様の問題
は、上述したように、大きな物理的エッチング部を使用する需要があるために、
これらの材料のRIEに観察される。実際、この効果は法線入射(RIE構成)
で悪化され、IBEプロセスでは法線から離れたエッチング角が(例えば、「オ
ーバーエッチ」段階で)側壁から再付着材料を除去するのに使用可能である。本
方法により、製造デバイスが無視できる側壁の付着と高い歩留まりで製造可能と
なることが示されてきた。今のところ、IBEは、薄膜磁気ヘッド業界において
、パーマロイポールチップをパターン化するのに使用される好ましい方法である
【0008】 RIBE方法においては、反応ガスが不活性ガスの代わりに又はこれと共にイ
オン源から供給される。これは物理的なエッチングプロセスを補助するために化
学的エッチング部を与える。RIBEは、RIE及びIBEの特徴を結合するも
のとみなすことができる。RIEに比較されると、RIBEは、物理に対する化
学的なエッチング特長のより大きな制御を与える。IBEのように、それは、側
壁輪郭に適応したり、エッチング選択性を調節したりするためにエッチング角を
簡単に調整することができる。
【0009】 上述したように、近年まで、本技術は、殆どのカウフマンイオン源がプラズマ
を生成するのに直流放電を使用するという事実のために、製造環境の有益性が制
限されていた。H.R.カウフマン著「ブロードビーム・イオン・ソース:プレ
ゼント・ステイタス・アンド・フューチャー・ディレクションズ」、ジャーナル
・オブ・バキューム・サイエンス・テクノロジー・A、第4巻、第3号、第76
4頁(1986年)。広域ビーム直流イオン源は、必要な電子を生成するのに熱
フィラメント又はホローカソードを使用する。熱フィラメント又はホローカソー
ドは、腐食性又は還元性のガス環境において極端に短寿命である。50%以上の
反応ガスで直流放電源の動作は、不安定性とカソード即時劣化のために短期間で
さえも通常は可能ではない。その結果、報告されたRIBEのエッチング選択性
も制限されてきた。V・カナロブ、A・ヘイズ、R・イエブツクホフ、B・ビン
ヂンスキー及びA・ネイビー著、「サーティ・ファイブ・センチメーター・ダイ
アメター・ラジオ・フリークエンシー・イオンビーム・ソース」、リバイス・サ
イエンス・インストルーメンツ、第69巻、第847頁(1998年)に記載さ
れているように、これらの問題はRF誘導結合プラズマ(RF−ICP)イオン
ビーム源には発生しなかった。プラズマ内にフィラメント又はカソードがないの
で、保守動作間の時間は、直流放電イオン源と比較して、不活性ガス動作に対し
てでさえ、大幅に延長された。
【0010】 それにもかかわらず、RIBEによってある種の材料をエッチングするのに有
益となり得るRF−ICP内の種は、イオン源内に(例えば、例示的にポリマー
構造を有する場合がある炭素質材料の)意図しない付着をもたらす場合がある。
また、かかるRF−ICPから抽出されたイオンビームは、反応性イオンビーム
によって処理されている基板構造に意図しない付着をもたらす場合がある。イオ
ン源内の意図しない付着は、イオン源を動作する際の安定性と信頼性をなくし、
従って、当該イオン源を使用してプロセスされるデバイスの信頼性をなくす場合
がある(例えば、デバイス特徴及び/又は性能における変動の増加、デバイス歩
留まりの悪化、独立して処理された基板又は基板のバッチに対する反復可能性の
低下など)。プロセスされた構造への故意でない付着は、それ(例えば、側壁の
ポリマー形成)が異方性エッチングを容易にするかもしれないが、プロセスされ
たデバイスの信頼性をなくし、構造を破損(例えば、ピット作成又は意図しない
エッチング)せずにそれを完全に除去することが困難となる場合がある。そこで
、かかる意図しない付着の有害な効果は、(例えば、エッチング速度及び/又は
エッチング選択性に関して)その他の点では様々な材料及びデバイスをプロセス
するのに好ましい、あるRIBEエッチング種の使用を制限又は阻害し得るため
に、これらの種を使用して実現される(例えば、エッチング速度、エッチング選
択性等の)長所も制限又は阻害する。一例として、その他の点では所望の種の使
用がどの程度制限されるかに関し、かかる有害な効果を回避することは、化学的
に不活性な種よりも低濃度の種を使用することを要する、及び/又は、その他の
点で所望の種の全属性を与えない付加的な化学反応性の種を使用することを要す
る場合がある。
【0011】 従って、RIBEプロセス中に、イオン源内及び/又はプロセスされている基
板上への意図しない付着を制御、排除及び/又は除去するための更なる発展と改
良がRIBEプロセスに求められていることが理解される。また、薄膜磁気ヘッ
ドの製造プロセスの改良が、特に、高いスループット、歩留まり、信頼性及び再
現性と共に、高い選択性及び/又はエッチング制御を有する異方性エッチング技
術を使用したポールチップトリミングプロセスに必要とされている。
【0012】発明の要約 本発明は、イオン源内、及び/又は、第1のプラズマから抽出されたイオンビ
ームによって処理された試料上に炭素質付着物を形成する場合がある化学反応性
の種を有する第1のプラズマを選択的に含み、イオン源内及び/又は第1のプラ
ズマによって処理された試料上に形成される場合のあった炭素質付着物を制御、
緩和又は除去するための酸化種又は剤を有する第2のプラズマを選択的に含むイ
オン源を使用した反応性イオンビームプロセッシング方法を提供することによっ
て上述の目的及びその他の制限を解決している。従って、かかる反応性イオンビ
ームプロセッシング方法は、化学反応性の種を含むイオンビームを使用する薄膜
磁気ヘッド製造方法も提供する。
【0013】 本発明の一側面によれば、イオン源の動作中に、炭素質付着物形成種を有する
プラズマでイオン源を動作した後に、酸化剤(種)を含むプラズマがイオン源内
に生成される。好ましくは、イオン源内で、プラズマは、炭素質付着物形成種が
存在する時間と酸化剤が存在する時間の間は本質的に連続して維持される。例え
ば、炭素質付着物形成種に対する源ガスはイオン源に依然として流れている間に
酸化種に対する源ガスがイオン源に導入され得る。その後、酸化種の源ガスが流
れ続けている間に、炭素質付着物形成種の源ガスは停止され得る。代替的に、炭
素質付着物形成種に対する源ガスフローは、酸化種の源ガスがイオン源内に導入
される前に停止されることができるが、介在時間中のプラズマは維持される。例
えば、炭素質付着物形成種の源ガスフローが停止される前は何時でも、(化学的
に不活性又は反応性の種を形成する)第2の源ガスもイオン源に導入され、イオ
ン源へのそのフローは、酸化種の源ガスがイオン源に導入されるまで(プラズマ
を維持するために)継続される。第2の源ガスフローは、酸化種の源ガスがイオ
ン源に導入された後は何時でも、酸化種の源ガスフローが停止された後でさえ、
停止されることができる。
【0014】 本発明の別の側面によれば、酸化種を有するプラズマを含むイオン源から抽出
された反応性イオンビームは、試料基板構造に炭素質付着物を形成する場合があ
る種を有するイオンビームを使用した以前のRIBEプロセッシングステップか
ら形成され得るように、試料に形成されたいかなるポリマーをも除去(即ち、エ
ッチング)するために試料基板に衝突されることができる。好ましくは、酸化種
を含む反応性イオンビームは、イオンビームが衝突する他の材料によりもエッチ
ングする炭素質付着物の選択性を高める角度で試料に入射する。即ち、異なる材
料に対してエッチングする酸化イオンビームエッチングの角度依存性における差
異は、所与のプロセスに対する選択性を高めたり、最適化したりするのに使用さ
れる。
【0015】 本発明の更に別の側面によれば、パーマロイよりもAを選択的にエッチ
ングするCHFベースの種を含むイオンビームを好ましくは使用するポールト
リミングプロセス中に形成されるいかなる炭素質付着物をも除去するためにRI
BEを酸化種とを共に使用するポールトリミングプロセスによって薄膜磁気ヘッ
ドが製造される。
【0016】 本発明の更なる側面、特徴及び長所は、本発明が添付図面に関連してなされた
以下の説明に照らして考慮された場合に、理解されて直ちに明らかになるであろ
う。
【0017】発明の詳細な説明 図1は、本発明によるプロセスを実行するのに使用可能な無線周波数誘導結合
プラズマ(RF−ICP)イオン源システム1の概略断面図である。RF−IC
Pイオン源システム1は、RF−ICPイオン源10、低圧プロセスチャンバー
20及びロードロックチャンバー30を含んでいる。プラズマは、プロセスガス
吸入口12を介してイオン源10へ注入されたプロセスガス(又はガス混合物)
の誘導結合RF誘起(RF源及びコイルは図示せず)を介してイオン源10内で
生成可能である。光学的に整列したグリッド14に印加される電位(即ち、ビー
ム電圧)は、イオン源10内で形成されたプラズマから平行化されたイオンビー
ム16を抽出する。当業界で既知のように、グリッド14は様々な方法で実施可
能であり、例えば、プラズマと接触してその電位を制御する(第1の)「スクリ
ーン」(アノード)グリッドと、(図示しない)調節可能な負の高電圧供給源に
よって典型的に駆動される(第2の)「加速器」グリッドと、典型的に接地され
た(第3の)「減速器」とを有する。プロセスチャンバー20内に配置されたニ
ュートラライザー18は、光学的に整列したグリッド14の付近に配置され、平
行イオンビーム16に関連付けられた正味の中性電荷フラックスを与えるために
電子フラックスを平行イオンビーム16に発する。本発明により使用可能な例示
的なRF−ICPイオン源は、V・カナロブ、A・ヘイズ、R・イエブツクホフ
、B・ビンヂンスキー及びA・ネイビー著、「サーティ・ファイブ・センチメー
ター・ダイアメター・ラジオ・フリークエンシー・イオンビーム・ソース」、リ
バイス・サイエンス・インストルーメンツ、第69巻、847頁(1998年)
に記載されている。
【0018】 プロセスチャンバー20は、基板表面への法線に対する平行イオンビーム16
の入射角がプロセス中にインサイチューで(即ち、プロセス中に真空を破壊せず
に)調節可能なように、回転可能に載置された基板ホルダ22を含む。基板ホル
ダ22は、単一の基板を保持及び回転するように、又は、2以上の基板を、例え
ば、遊星運動で保持及び移動するように実施可能である。また、基板ホルダ22
は、温度制御装置と協同して載置された基板の制御された加熱又は冷却も提供す
る。平行イオンビーム16が基板ホルダ22及びそれに載置されたいかなる基板
にも衝突することを防止するために、回転可能に載置されたシャッター26を平
行イオンビーム16と基板ホルダ22との間に選択的に配置してもよい。好まし
くは、プロセスチャンバー20は、IBE又はRIBEプロセッシングの前、最
中及び後の様々な所望の時に、(例えば、非プラズマ、非IBEプロセッシング
の効果をあげるために、又は、IBEプロセッシングを強めるために)、直接に
プロセスチャンバー20へのプロセスガスの選択的導入を可能にする下流プロセ
スガス供給口24も含んでいる。
【0019】 ロードロックチャンバー30は、ロードロックゲートバルブ34によってプロ
セスチャンバー20から隔離され、多数の基板を取り扱うためのカセットローデ
ィング機構32を有している。また、プロセス及びロードロックチャンバー間の
ゲートバルブ34隔離に結びついて、(図示しない)真空ポンプシステムがプロ
セスチャンバー20内で基板を同時処理しながらロードロックチャンバー30内
にウェハをロード及びアンロードすることを可能にすることが理解される。更に
、(図示しない)ウェハ処理移動機構は、プロセスチャンバー20とロードロッ
クチャンバー30間でウェハを移動することを可能にする。
【0020】 図1に示す例示的なRF−ICPイオン源システムは、2以上の別個のイオン
源によって基板の同時発生的なイオン衝撃を与えるために、付加的なイオン源(
例えば、RF−ICP及び/又はカウフマン)を含むように変形可能であること
も理解される。各々のかかる付加的な源は、基板表面の所与の固定姿勢に対して
調整可能な入射角を提供するポート/フィードスルーに(例えば、ベローを使用
して)載置可能である。
【0021】 多数のイオンビームエッチングプロセスにおいて、図1に示すようなイオン源
では、イオン源内に形成されたプラズマは、イオン源内(例えば、グリッド上及
び/又は内壁上)に炭素質付着形成をもたらす一以上の種(例えば、ニュートラ
ル、ラジカル、イオン)を含む場合がある。また、プラズマから抽出されて基板
に入射する種は、エッチングされている基板の一部(例えば、側壁)に炭素質付
着形成ももたらす場合がある。イオン源内の炭素質材料付着は、イオン源を動作
する際の信頼性なくし、従って、当該イオン源を使用してプロセスされたデバイ
スの信頼性をなくす。プロセスされた構造上の炭素質付着物形成は、それが異方
性エッチングを容易にするかもしれないが、プロセスされたデバイスの信頼性も
なくし、構造に破損(ピット作成又は意図しないエッチング)せずにそれを完全
に除去することは困難となる。そこで、かかる炭素質形成の有害な効果は、(例
えば、エッチング速度又はエッチング選択性に関して)その他の点では望ましい
、あるRIBEエッチング種の使用を制限したり(例えば、濃度を制限したり、
反応性種のみとして使用したり)、阻害したりする。
【0022】 本発明によれば、図1に示すように、イオン源の動作中に、炭素質付着物形成
種を有するプラズマによりイオン源を動作した後に、プラズマ形成酸化剤(種)
がイオン源内で生成される。好ましい実施の形態において、プラズマは、イオン
源内で、炭素質付着物形成種が存在する時間及び酸化剤が存在する時間の間は継
続して維持される。即ち、(異なる種が存在するかもしれないが、)プラズマを
有する全ての種によって熱力学的平衡に到達される限りにおいてプラズマ状態の
中断は本質的にない。例えば、酸化種のための源ガスは、炭素質付着物形成種に
対する源ガスがイオン源に未だに流れている間に、イオン源に導入可能である。
その後、炭素質付着物形成種の源ガスは、酸化種の源ガスが流れ続けている間に
停止され得る。代替的に、炭素質付着物形成種に対する源ガスのフローは、酸化
種の源ガスがイオン源に導入される前に停止され得るが、プラズマはその介在時
間中も維持される。例えば、炭素質付着物形成種の源ガスフローが停止される前
は何時でも、(化学的に不活性又は反応性の種を生成する)第2の源ガスもイオ
ン源に導入されてもよく、イオン源へのそのフローは(プラズマを維持するため
に)、酸化種の源ガスイオン源に導入されるまで、継続される。第2の源ガスフ
ローは、酸化種の源ガスがイオン源に導入された後は何時でも、酸化種の源ガス
フローが停止された後でさえ、停止され得る。
【0023】 本発明の別の実施形態によれば、酸化種を含むイオン源から抽出された反応性
イオンビームは、(例えば、以前のRIBEプロセスから形成された)試料に形
成された炭素質付着物を除去(即ち、エッチング)するために、試料基板に衝突
され得る。好ましい実施の形態においては、酸化種を含む反応性イオンビームは
、イオンビームが衝突する他の材料よりも炭素質付着物のエッチング選択性を高
める角度で試料に入射される。即ち、異なる材料に対する酸化イオンビームエッ
チングの角度依存性における差異は、所与のプロセスに対して選択性を高める又
は最適化するのに使用される。
【0024】 様々なデバイス製造プロセスを実行する目的と共に実施され得る、これらの実
施形態の各々において、酸化種を含むプラズマを有するイオン源の全動作時間は
、イオン源が、(即ち、最後の洗浄以来)炭素質付着物を生成する前駆体ガスと
共に起動された全時間の典型的に約1.5乃至約2.5倍になり得る。より具体
的には、この全洗浄時間は、炭素質付着物形成プラズマを所与のイオン源に導入
する介在ステップなしに、所与のイオン源で実行される連続洗浄ステップ(即ち
、基板及び/又はイオン源)の時間の合計である。しかし、この時間範囲は、酸
化前駆体ガスを使用することの有害な付随効果(例えば、圧力ゲージ、ポンプ、
プラズマブリッジニュートライザー等のシステム保守)を最小化又は減少しなが
らも十分な炭素付着物洗浄を与えることによって、信頼性及び再現性のあるプロ
セスを開発するための(TFMHプロセスを開発する際に行われた様々な実験に
基づいた)単なる指針である。より長い又は短い時間は、その様々なプロセッシ
ングパラメータ(例えば、ビーム電圧及び電流、加速器電圧、前駆体ガス、基板
温度、プロセスチャンバーガス等)と共に所与の製造プロセス全体に依存して、
好ましい場合がある。
【0025】 また、これらの実施の形態において、Oに対するArの比は典型的に約2:
1乃至約1:4の範囲にある。この範囲は、その薄片化と断片及びマクロ粒子の
形成をもたらしながら、純粋な酸素プラズマがイオン源内の炭素質付着物の不均
一な除去をもたらすことを示す実験的観察に基づいた例示的指針である。他方、
低酸素率で効果的洗浄を達成することは、典型的な製造プロセスにあまり適して
いない長時間を必要とした。しかし、Oに対するArの前駆体ガス混合物フロ
ー速度をこの推薦された範囲内に維持すると、上述の断片及びマクロ粒子の形成
を完全に防止しながら、製造プロセスに十分適した時間内で、有効な層から層の
沈殿物除去を示した。
【0026】 イオン源内及び基板上から炭素質付着物を除去する当該方法は、図2(a)乃
至2(k)を参照して説明される、以下の例示的な部分的なポールトリミングプ
ロセスなど、多数のプロセスで有利に実行可能である。本プロセス及びここで使
用される材料は、本発明が適用可能なプロセス及び材料の単なる例示であること
が理解される。
【0027】 より特定的に、図2(a)は、磁気ヘッドデバイスを形成するためにプロセス
されている多層構造のポール部を介した縦断面を示す。下部パーマロイ(即ち、
Ni:Fe)ポール層58は、パーマロイシード層54の下にあるアルミナ(即
ち、Al)ギャップ層56の下にある。所望のトラック幅で定義されたパ
ターン化された上部ポール層52は、パーマロイシード層54の選択部の上にあ
る。本実施形態においては、パターン化された上部ポールは、パターン化された
選択的な電気メッキ(例えば、フォトレジストフレームメッキ)によってシード
層に形成される。代替的に、例えば、パターン化された上部ポール層は、直接に
アルミナギャップ層へのパーマロイのブランケット真空付着(例えば、スパッタ
リング)と、その後の、上部ポールを形成するのにパターン化されたフォトレジ
スト層をマスクとして使用するIBEと、その後の、フォトレジストの除去(例
えば、湿的化学溶解、プラズマアッシング又はRIBE)によって形成されても
よい。上部ポール層を定義するための更に別の代替例は、真空付着されたパーマ
ロイのリフトオフによるものである。下部パーマロイポール層、アルミナギャッ
プ層、パーマロイシード層及びパターン化された上部ポール層の例示的な公称厚
さは、それぞれ、3μm、0.25μm、0.05μm及び3μmである。ポー
ルトリミング処理の目的は、パターン化された上部ポール層材料の除去量を最小
にしながら、ギャップ材料を介して異方性エッチングすることである。
【0028】 基板ホルダ22上で載置されて回転する図2(a)の構造により、イオン源か
ら抽出されたアルゴン(Ar)イオンビームは、IBEによりシード層54を除
去するために、低入射角(例えば、0度又はより一般には約0度乃至約45度の
範囲内)で構造に衝突される(図2(b))。一例として、Arイオンビームエ
ネルギー及び電流密度は、それぞれ、500乃至1000eV及び0.5乃至1
.5mA/cmの範囲内になり得る。
【0029】 シード層54の除去に続いて、CHF/Ar RIBEが、側壁からの再付
着を除去しながらも、ギャップ層56を異方性エッチングするために行われる。
より特定的には、好ましくは約125nm(即ち、全厚さの約1/2)のアルミ
ナギャップ層56をエッチングするために、まず、CHF/Arイオンビーム
が低角度(例えば、0度乃至20度又はより一般には約0度乃至約45度の範囲
内)で基板に指向される(図2(c))。その後、基板は、CHF/Arイオ
ンビームから遮断され、高入射角(例えば、60度乃至90度)に回転され、高
入射角(例えば、60度乃至90度)でCHF/Arイオンビームに晒され、
以前の低角度CHF/Arエッチングステップ中に側壁構造に再付着されたエ
ッチングデブリを除去する(図2(d))。再付着除去に続いて、基板はイオン
ビームから遮断され、低入射角で再度回転され、低角度でCHF/Arイオン
ビームに晒され、ギャップ層56の残りの厚さをエッチングする(図2(e))
。その後、基板は、CHF/Arイオンビームから再度遮断され、高入射角に
回転され、高入射角でCHF/Arイオンビームに晒され、以前のステップ中
で生成された側壁のいかなる再付着をも除去する(図2(f))。一例として、
これらのステップの各々に対して、3:1 CHF:Ar体積比がイオン源に
流れ、イオンビームは700eVのビームエネルギーと1.1mA/cmの電
流密度を有する。
【0030】 本発明に実施の形態によれば、ギャップ層56を実質的にエッチングした後で
、基板上の(いかなる炭素質付着形成をも含む)側壁再付着を洗浄するために、
酸化種を有するRIBEが行われる。特に、ギャップ層56エッチングを終了す
ると、基板がCHF/Arイオンビームから遮断され、イオン源へのCHF ガスフローがイオン源に含まれるプラズマ中に酸化種を生み出すガスに置換され
る。本実施形態においては、酸素(O)が酸化種用の源ガスとして使用される
。CHF/Ar源ガス混合物からプラズマを供給するO/Arベースの源ガ
ス混合物へ変換する際に、Arガスはプラズマ状態が維持されるイオン源に流れ
続ける。O/Arベースのプラズマをイオン源内に設定すると、O/Ar反
応性(即ち、酸化)イオンビームがイオン源から抽出され、図2(g)に示すよ
うに高角度で基板に衝突され、側壁構造からの再付着を防止する。以前のAr/
CHF RIBEステップの後に、このO/Ar RIBEも(下部ポール5
2の下にはない)下部ポール58上に残るアルミナのいかなる意図又は意図しな
い(例えば、再付着)薄い連続又は非連続層をも除去することが理解され得る。
一例として、このステップのために、1:1 O:Arの体積比がイオン源に
流れ、イオンビームはビームエネルギー700eVと電流密度1.1mA/cm を有する。
【0031】 基板のO/Ar RIBEを終了時に、シャッターがイオン源と基板との間
に配置され、及び/又は、加速器グリッド14に印加される電位が調節され(例
えば、接地され)、イオン源からイオンビーム抽出を排除する。しかし、O
Arベースのプラズマは、本発明の更なる実施形態により、イオン源に存在し得
る残りの炭素質付着物を除去する期間中はイオン源内で維持される。
【0032】 部分的なポールトリミングプロセスを終了するために、Ar IBEが下部ポ
ール58の一部を除去するのに使用される。好ましくは、除去される合計厚さが
ギャップ層56の厚さの3倍に略等しくされ、(1)除去されるべき所望の合計
厚さの約1/3をエッチングするために、低角度(例えば、約0度乃至約45度
、但し、約0度乃至約30度の範囲が好ましいかもしれない)での基板のAr
IBE(図2(h))及び(2)側壁構造からいかなる再付着をも除去するため
に、高角度(例えば、60度乃至90度、より好ましくは、約60度乃至約80
度)での基板のAr IBE(図2(i))という2つのステップを3回繰り返
すことによって合計厚さは除去される。これらの2つのステップを反復実行する
際に、入射角が変化する時のステップ間で、好ましくは基板はイオンビームから
遮断される。所望の合計厚さをエッチングすると、シャッターはイオンビームと
基板との間に配置され、イオン源は遮断される。図2(j)は、部分的なポール
トリミングプロセスを終了した後のTFMHポール構造の概略断面図を示す。
【0033】 以上の例示的なプロセスは、RF−ICPイオン源のプラズマ内及びイオン源
から抽出された反応性イオンビーム内で酸化種を使用することの炭素質付着物除
去属性のみならず、異なる材料(例えば、パーマロイ、アルミナ、及び、炭素質
ポリマー構造等の炭素質材料)に対する酸化種を含むイオンビームの角度的なエ
ッチング選択性依存性を有利に実行することを理解することができる。また、(
イオン源及び/又は基板から)炭素質付着物(例えば、ポリマー)除去属性は、
別個のエッチング属性(例えば、ある材料に対する選択性及びエッチング速度)
を有しえるが、他の点では、例えば、基板上及び/又はイオン源内に炭素質付着
物(例えば、ポリマー)が形成されるために商業的プロセスにとって実用的では
ないプラズマ種(例えば、得られたCHF)及び反応性イオンビームを使用す
ることを可能にすることが理解される。
【0034】 不活性Arイオンビームを用いた多数角度エッチングと同様に、CHF及び
によって得られたイオンビームを用いた多数角度エッチングを使用して、以
上の部分的なポールトリミングプロセスを開発及び実行する際に、パーマロイ、
酸化アルミニウム、及び、フォトレジストのRIBE及び不活性イオンビームエ
ッチングが調査された。これまで述べた実施の形態による部分的なポールトリミ
ングプロセスを開発及び実行する際に得られた試験結果の以下の実施例を、本発
明を限定するものとして解釈されてはならず、本発明の特質及び特徴を示す一例
としてのみ提供する。
【0035】
【実施例】実施例1 反応性及び非反応性イオンビームに対する酸化アルミニウム、パーマロイ及び
フォトレジストのエッチング速度の角度依存性がプロセッシング条件の範囲下で
測定された。後述の結果は、プロセスチャンバー圧力3.5x10−4Torr
、ビーム電圧700eV、ビーム電流密度1.1mA/cm、26sccm未
満の合計フロー速度を有する3:1 CHF:Ar体積フロー比、及び、28
sccm未満の合計フロー速度を有する1:1 O:Ar体積フロー比という
公称条件に対して測定された。
【0036】 反応性及び非反応性イオンビームに対する酸化アルミニウム、パーマロイ及び
フォトレジストのエッチング速度の測定された角度依存性を図3乃至5に示し、
以下の表に、CHF/Arガス源混合物を使用したこれらの材料のRIBEエ
ッチングに対する結果をまとめる。
【表1】
【0037】 図3に見られるように、酸化アルミニウムエッチング速度は、不活性Ar I
BEのみを使用した場合に比較して、CHF/Arの化学的性質を使用した場
合には2乃至3のファクターだけ増加する。他方、パーマロイに対する速度は鋭
い減少を示し、これはエッチングプロセス中に基板への炭素質(例えば、ポリマ
ー)付着物の形成に起因すると考えられる(図4)。図5は、フォトレジストに
対するエッチング速度も同様に、不活性Ar IBEプロセスと比較すると、C
HF/Ar RIBEプロセスと共に減少する旨を示している。不活性Ar I
BEプロセスを使用することに比較して、CHF/Arベースの反応性イオン
ビームに対するこれらの結果は、パーマロイに対するアルミナのエッチング選択
性の増加を表すのみならず、フォトレジストに対するアルミナのエッチング選択
性の増加をも表し、予期されなかった結果である。図6は、以下の表によって要
約されるように、CHF/ArベースのRIBEに対する、パーマロイに対す
るアルミナとフォトレジストに対するアルミナの選択性の計算された角度依存性
を示す。
【表2】
【0038】 理解されるように、法線入射付近及び入射角の余角の角度は優れた選択性を与
える。注目すべきことは、純粋なAr IBEに対する約0.4:1に比較して
、法線入射ではCHF/Ar化学的性質に対する酸化アルミニウム−パーマロ
イ選択性は20:1以上であり、これは4倍以上のエッチング選択性強化に相当
する。
【0039】 図3及び5に示すO/Ar RIBEエッチングデータは、ポリマー材料は
、10:1のオーダーで(アルミナに対する)選択性でエッチング可能であると
いうことを示しており、化学的に不活性なAr IBEを使用することに比較し
て、相当の強化であり、炭素質付着物(例えば、ポリマー)洗浄に対する重要な
特徴である。
【0040】実施例2 これらの結果から得られた情報が、図2(a)乃至2(j)においてこれまで
述べてきた実施形態による多数角度RIBE TFMH製造プロセスを開発及び
実行するのに使用された。TiC及びアルミナ基板に形成された初期構造は40
0nmアルミナギャップ層、100nmパーマロイシード層、及び、選択的な電
気メッキにより所望のトラック幅でパターン化された、上にあるパーマロイ上部
ポール層を有する。下表は、ギャップ層及び部分的なポールトリミングプロセス
の各ステップにおいて使用された典型的なプロセッシングパラメータと同様に、
使用されるプロセッシングステップのシーケンスをまとめたものである。
【表3】
【0041】 各IBE又はRIBEステップに対して、公称ビーム電圧は約700V、公称
ビーム電流は約1100mA/cm、グリッド加速器電圧は約−400V、K
ファクターは約0.6であった。イオン源洗浄中は、グリッドは接地されていた
。プロセッシングチャンバーにおいて、約10−7Torrのベース圧力が設定
され、プロセス圧力が、一般に、例えば、プロセスガス混合物成分、フロー速度
、エッチングプロセスの生成物に依存して、ステップによって変化された。プラ
ズマをプロセスステップに依存して変化するのに必要なRFパワーは、典型的に
約800乃至1000ワットの範囲内にあった。
【0042】 この部分的なポールトリミングプロセスにおいて、一の低角度及び一の高角度
Ar/CHF RIBEのみがアルミナギャップ層のエッチング時に使用され
、低角度Ar IBE及び高角度Ar IBEの4回の繰り返しが下部ポールを約
1μmの深さまで部分的にエッチングするのに使用された。エッチングプロセス
の特徴付けで得られた上述の結果に一致して、アルミナギャップエッチング中、
上部パーマロイポールに対する20:1以上の選択性が観察された。走査型電子
顕微鏡は略垂直な側壁を示し、(酸化種を有するイオンビームによりプロセスさ
れていない試料上で観察された)側壁フェンス又は再付着は示さず、プロセス中
で形成された炭素質付着(例えば、ポリマー)を除去する際の高選択性のO
ースのRIBEプロセスの効能を確認した。下部パーマロイポールをエッチング
するのに使用された多数角度Ar IBEは略垂直な側壁と実質的に再付着なし
も達成し、プロセッシングパラメータは高エッチング速度(即ち、約90nm/
分)を生み出した。本プロセスは、製品品質の空間均一性(即ち、エッチング速
度)及び(例えば、同一の公称RIBEプロセッシングパラメータで独立した実
施に対する測定されたエッチング速度に関しての)再現性を示した。
【0043】実施例3 実施例2のそれと同様に、TFMHギャップ層及び部分的なポールトリミング
に対するウェハは、イオンビームシステムに移転され、クランプされ、例えば、
裏側ガスを使用して、冷却された。それは、当初、物理的シャッターによってプ
ラズマ及びイオンから保護された。アルゴンプラズマが最初に生成され、アルゴ
ンフロー約18sccm、RFパワー入力約800ワット、第1のグリッドに7
00ボルト、第2のグリッドに−400Vという条件下でシード層を除去するた
めに、ビームがステージ傾斜角度−10度(ここで、0°=基板に垂直なイオン
ビームで基板は垂直で負の角度は基板が地面に対して傾斜した場合に得られる)
で約2分間抽出された。アルミナギャップ層(例えば、300nmアルミナ)を
除去するために、アルゴンとCHFの混合物がイオン源に導入され、パーマロ
イのエッチング速度を減少させながらアルミナのエッチング速度を増加した。C
HF対アルゴンガス混合物は1:3に設定され、動作条件は、アルゴンフロー
約7sccm、CHFフロー約21sccm、RFパワー入力約1000ワッ
ト、第1のグリッドに700ボルト、第2のグリッドに−400ボルトであった
。プラズマを源内に与えてプラズマからイオンビームを生成した後で、現実の基
板処理は0°で約3分間、−70°で約1分間続いた。このプロセスが一旦終了
すると、第1のグリッドに形成された沈殿物を除去するために洗浄処理が開始さ
れた。シャッターが閉口されてウェハがローディング位置に持ってこられた後で
、ガス混合物は源内の沈殿物をイオン抽出なしに除去するためにアルゴン及び酸
素に変化された。パラメータは、グリッド電圧0、アルゴンフロー約13scc
m、酸素フロー13sccm、RFパワー入力約1000ワットで8分間であっ
た。行われた最終ステップは、約0.5ミクロンの底部ポール材料を除去し、多
数の基板傾斜角度(全エッチング時間の約50乃至66%に対して0°及び全エ
ッチング時間の約33−50%に対して−70°)でアルゴン18sccmを使
用し、第1のグリッドに700ボルト、第2のグリッドに−400ボルトとして
、実行された一連のアルゴンエッチングであった。このステップは約15乃至2
0分続いた。
【0044】 本プロセスの使用は、約20:1(アルミナ:パーマロイ)のエッチング選択
性と、約100nm/分の平均アルミナ反応性イオンビームエッチング速度と、
3.0%未満の8.5”対角以上のエッチング速度空間不均一性を生み出した。
200以上の独立した実施の中で不均一性と速度再現性は各々3.5%未満であ
った。より特定的には、関係パラメータ(例えば、エッチング速度、エッチング
された合計厚さ)に対して、不均一性と再現性は、Hを最高値でLを最低値とす
ると、各々(H−L)/(H+L)を表す。
【0045】実施例4 本実施例は、洗浄処理以外は前例と同様であるので、重複を避けるために洗浄
処理のみを詳細に説明する。アルミナギャップ除去の終了後に、ウェハは−70
度固定角度に移動され、ガス混合物は源内の第1及び第2のグリッド上の沈殿物
を除去するためにアルゴン及び酸素に変更された。パラメータは、アルゴンフロ
ー約13sccm、酸素フロー約13sccm、RFパワー入力約800ワット
、第1のグリッドに700ボルト、第2のグリッドに−400ボルトで約2分間
であった。その後、シャッターが閉口され、第1及び第2のグリッド並びに源壁
へ(即ち、使用されたRIBEシステムにおける石英ボールの内部)電圧はゼロ
にされた。残りのプロセスパラメータは、アルゴンフロー約13sccm、酸素
フロー約13sccm、及び、RFパワー入力約1000ワットで約8分間であ
った。
【0046】 本プロセスは、約20:1(アルミナ:パーマロイ)の選択性と、アルミナ反
応性イオンビームエッチング速度約100nm/分と、3.0%未満の8.5”
対角以上の空間不均一性と、250回以上の実施に対して各々3.5%未満の空
間不均一性及びエッチング速度再現性とを生み出した空間不均一性をもたらした
【0047】 上述したように、上述の実施の形態及び実施例は、本発明の単なる例示であっ
てそれを限定するものではない。例えば、本発明によれば、他の源(前駆体)ガ
ス混合物が有利なエッチング性質を有する(が炭素質(例えば、ポリマー)付着
物を生成し得る)種を与えるのに使用可能であり、他の前駆体ガス(例えば、N O、NO及びO)がイオン源内から及び試料から炭素質付着物を除去する
ための酸化種を与えるのに使用可能であり、他の材料(例えば、絶縁体、導体及
び半導体を含む磁性及び非磁性材料)が、他の点では使用可能な源ガスとして排
除されるかもしれない炭素含有源ガスを有利に使用するデバイス製造プロセスを
与えるためにかかるRIBEイオンビームによって(例えば、プラズマ及び/又
はイオンビーム内で炭素質付着物形成種又は酸化種を生み出す前駆体ガスに基づ
いて)プロセス可能であることが理解される。
【0048】 一例として、有益なエッチング特徴を生み出すが炭素質付着物をもたらし得る
他の前駆体ガスは、他のハロゲン化炭化水素又は炭化水素と同様に、CF、C 、CH、CHF、C等の他のフッ化炭素前駆体ガスを含む
。(ここで使用されているように、フッ化炭素は炭素及びフッ素のみを含むガス
に限定されず、他の要素(例えば、水素)も同様に含んでもよいことにガス留意
すべきである。ここで使用されるように、同様のことが、必要に応じて変更を加
えて、ハロゲン化炭化水素及び炭化水素の用語の使用にも適用される。従って、
例えば、CHFは、より特定的には、フッ化炭化水素と同様に、フッ化炭素、
ハロゲン化炭化水素及び炭化水素である。)かかる前駆体ガスは、化学反応性種
を生成する単一の前駆体ガスとして(例えば、不活性前駆体ガスと結合されて)
個々に使用可能であるか、若しくは、相互に結合されて、及び/又は、それら及
び/又は基板と反応し得る他のガスと結合されて使用可能である。例えば、CF 及びCHF(及びAr)の混合物は本発明を実施するのに使用可能である。
また、当業者に理解されるように、例えば、フッ化炭素及び炭素を含まない水素
含有(例えば、H、NH)前駆体ガス混合物(例えば、CF及びHの混
合物)又は炭化水素及び炭素を含まないフッ素含有(例えば、F、HF)前駆
体ガス混合物(例えば、CF及びFの混合物)も使用可能であり、かかる混
合物も化学反応前駆体ガスのみのようにCHFを使用して得られるもののよう
に、与えられるように化学反応種を生成することができる。
【0049】 一例として、本発明によりプロセスされるデバイスに実施可能で、特に、かか
るフッ化炭素ベースのイオンビーム(例えば、アルミナに対するエッチング速度
に対して)に対して低い又は無視可能なエッチング速度も示す例示的な磁性材料
は、NiFe(81:19、即ち、パーマロイ)、NiFe(50:50)、F
eN、FeZn、FeTaN及びCZT(即ち、CoZrTiO、コバルトジ
ルコニウムチタネート)を含む。また、磁性材料から形成されるデバイス素子(
例えば、ポール)は構成上同質の単一層である必要はなく、これらの材料又はこ
れらの材料の一以上に結合された合成材料を一以上の層内に含む多数ポールが実
現可能である。本発明によりプロセスされた装置において実施可能で、特に、デ
バイスマクロファブリケーションに十分適したCHFベースのRIBEエッチ
ング特徴(例えば、磁性材料に対する選択性及びエッチング速度)も示した例示
的な代替的絶縁層は、SiO、Si、AlN、LiNO、及び、強誘
電体(例えば、バリウムストロンチウムチタネート、BST(BaSrl−x TiO)、鉛ジルコニウムチタネート、PZT(PBZrl−xTiO
、鉛ランタンジルコニウムチタネート、PLZT等)を含む。
【0050】 実際、かかる他の絶縁材料のRIBEを研究する際に、様々なフッ化炭素前駆
体ガス(混合物を含む)に基づいたRIBEは、様々なポリマー、フォトレジス
ト、ポリイミド、及び、炭素同素体(例えば、ダイアモンド状のアモルファス/
ガラス質)と同様に、殆どの金属(即ち、Mn、Fe、Co、Ni、Cr、Ti
、W、Ta、Pt及びその他の溶解しにくい金属等の磁性体及び非磁性体)、幾
つかの半導体(例えば、GaAs、GaN、AlGaAs、結晶Si、アモルフ
ァスSi)に対して優れた選択性を与える。例えば、30:1の選択性は、50
0eV、垂直入射で0.85mA/cm CHFベースのRIBEで処理さ
れた構造内のアモルファスSiに対して、SiOに対して示された。明らかに
、本システムのかかる選択性は、例えば、自己整列マイクロエレクトロニックデ
バイス(例えば、薄膜トランジスタ)を製造するのに有益である。
【0051】 同様に、上述のエッチング特徴(例えば、選択性、エッチング輪郭)は単に例
示的であり、所与の材料及び/又は構造に対するエッチング特徴は、源ガス混合
物組成、源ガスフロー速度、ビームエネルギー、ビーム電流及び入射角などの多
くのパラメータに依存可能であることが理解される。一例として、上述されたの
と本質的に同一のエッチング条件であるが300eVビームエネルギーと0.5
mA/cmビーム電流密度を使用した条件の下で、CHF/Arエッチング
がNiFeに対するAlエッチングの無限大の選択性を与える(即ち、A
層のエッチング中にエッチングされたNiFeは認められなかった)こ
とが観察された。かかる結果は、NiFeの物理的なエッチング(即ち、スパッ
タリング)の速度を減少するのに低ビームエネルギーを使用し、それが低ビーム
エネルギーでさえAlを(例えば、化学的及び/又は物理的に)エッチン
グするCHF/Arイオンビームによっては化学的にエッチングされなかった
一例である。本実施例においては、Alエッチング速度は減少し、より長
いAr/Oエッチングプロセスは炭素質付着物(例えば、ポリマー)の除去を
可能にして、部分的なポール構造に対してプロセッシング時間を増加する(即ち
、スループットを減少させる)ことが必要であるが、かかる無限大の選択性は、
あるデバイス構造(例えば、極薄NiFe層及び/又はAl層が使用され
ているポール構造)を処理するのに望ましく、有利で、及び/又は、必要となり
得る。
【0052】 一般に、デバイスの小型化が進んで、RIEに適しない新材料が必要となるに
つれて、高いエッチング選択性を物理的なイオンビームエッチングのパワーに結
合するためのRIBE固有の弾力性が、マイクロファブリケーション技術にとっ
てますます重要なツールになり、本発明は、RIBEの可能なエッチング化学的
性質を広げると共にその信頼性を向上することによってRIBEの広い適用を容
易にしている。
【0053】 上述の説明は、多くの特殊性を与えているが、これらの実施可能な詳細は本発
明の範囲を限定するものとして解釈されてはならず、本発明は多くの変形、適合
及び均等な実施が可能であることを、その範囲から逸脱せずに、かつ、その付随
する長所を狭めずに、当業者は直ちに理解するであろう。従って、本発明は開示
された実施の形態に限定されず、特許請求の範囲に従って画定されなければなら
ないことが企図されている。
【図面の簡単な説明】
【図1】 本発明によるプロセスを実行するのに使用可能な無線周波数誘導結合
プラズマイオン源システムの概略横断面図である。
【図2】 図2(a)は、本発明の実施の形態による、部分的なポールトリミン
グプロセスを経験する構造のポール部を介した概略縦断面図である。図2(b)
乃至2(h)は、順に、本発明の実施の形態による、部分的なポールトリミング
プロセスのイオンビームエッチング及び反応性イオンビームエッチングステップ
の手順を経験する図2に示す構造を概略的に示す。図2(j)は、本発明の実施
の形態による、図2(b)乃至2(h)に示す部分的なポールトリミングプロセ
スの終了後のTFMH構造のポール部を介した概略縦断面図である。
【図3】 本発明による、様々なガス混合物を使用して入射角の関数としてアル
ミナに対して測定されたエッチング速度を示す。
【図4】 本発明による、様々なガス混合物を使用して入射角の関数としてパー
マロイに対して測定されたエッチング速度を示す。
【図5】 本発明による、様々なガス混合物を使用して入射角の関数としてフォ
トレジストに対して測定されたエッチング速度を示す。
【図6】 本発明による、CHF/Arガス混合物に対して図3乃至5に示す
測定結果により計算されるように、アルミナ:パーマロイ及びアルミナ:フォト
レジストに対して入射角の関数としての選択性を示す。
───────────────────────────────────────────────────── フロントページの続き (81)指定国 EP(AT,BE,CH,CY, DE,DK,ES,FI,FR,GB,GR,IE,I T,LU,MC,NL,PT,SE),OA(BF,BJ ,CF,CG,CI,CM,GA,GN,GW,ML, MR,NE,SN,TD,TG),AP(GH,GM,K E,LS,MW,SD,SL,SZ,TZ,UG,ZW ),EA(AM,AZ,BY,KG,KZ,MD,RU, TJ,TM),AE,AG,AL,AM,AT,AU, AZ,BA,BB,BG,BR,BY,CA,CH,C N,CR,CU,CZ,DE,DK,DM,EE,ES ,FI,GB,GD,GE,GH,GM,HR,HU, ID,IL,IN,IS,JP,KE,KG,KP,K R,KZ,LC,LK,LR,LS,LT,LU,LV ,MA,MD,MG,MK,MN,MW,MX,NO, NZ,PL,PT,RO,RU,SD,SE,SG,S I,SK,SL,TJ,TM,TR,TT,TZ,UA ,UG,UZ,VN,YU,ZA,ZW (72)発明者 ダニエル・エス・ハインズ アメリカ合衆国ニューヨーク州マウントキ スコ、オールドローリングブルックロード 160、 (72)発明者 ジョン・エフ・ロンドノ アメリカ合衆国ニューヨーク州ミネオラ、 ラッセルドライブ11、アパートメントE30 Fターム(参考) 5D033 DA08 DA31 5F004 AA05 BA11 BA12 BA20 BB13 BB24 DA00 DA01 DA02 DA03 DA15 DA16 DA23 DA26 DA27 DA28 DB01 DB03 DB07 DB08 DB12 DB14 DB19 DB20 DB21 DB23 DB25 DB26 EA03 EA28 【要約の続き】 る角度で入射する。薄膜磁気ヘッドは、以前のフッ化炭 素ベースRIBEステップ中に形成されるいかなる炭素 質材料(例えば、ポリマー)付着物をも除去するのに酸 化種を有するRIBEを使用するポールトリミングプロ セスによって製造される。

Claims (66)

    【特許請求の範囲】
  1. 【請求項1】 下部磁気ポール層と、当該下部磁気ポール層の上にあるギャ
    ップ層と、当該ギャップ層上のパターン化された上部磁気ポール層とを有する構
    造を形成するステップと、 前記構造に、第1のイオン源から抽出された第1のイオンビームで衝撃を与え
    るステップであって、前記第1のイオンビームは前記ギャップ層をエッチングす
    るのに有効で、前記構造の少なくとも一部に炭素質付着物を形成することができ
    る種を含むステップと、 前記構造に、前記構造に指向されている前記第1のイオンビームから形成可能
    にされた炭素質付着物を実質的に除去するための酸化種を含む第2のイオンビー
    ムで衝撃を与えるステップとを有する薄膜磁気ヘッドの製造プロセス。
  2. 【請求項2】 前記パターン化された上部磁気ポール層は、前記第1のイオ
    ンビームによる前記ギャップ層のエッチング中は、マスクとして動作する請求項
    1記載のプロセス。
  3. 【請求項3】 前記パターン化された上部磁気ポール層の上にあるパターン
    化されたフォトレジスト層は、前記第1のイオンビームによる前記ギャップ層の
    エッチング中は、マスクとして動作する請求項1記載のプロセス。
  4. 【請求項4】 前記第1のイオンビームによる前記ギャップ層のエッチング
    の前に、前記ギャップ層の上にあると共に前記パターン化された上部磁気層の下
    にあるシード層をエッチングするステップを更に有する請求項1記載のプロセス
  5. 【請求項5】 前記第1のイオンビームにより前記ギャップ層をエッチング
    する前記ステップは前記ギャップ層の初期厚さの一部をエッチングし、前記第2
    のイオンビームで前記構造に衝撃を与える前記ステップの後に、少なくとも前記
    ギャップ層の厚さ全体がエッチングされるまで、前記ギャップ層をエッチングす
    るステップを繰り返して、その後、前記第2のイオンビームで前記構造に衝撃を
    与えるステップを更に有する請求項1記載のプロセス。
  6. 【請求項6】 前記第1のイオンビームで前記ギャップ層をエッチングする
    前記ステップは、前記第2のイオンビームで前記構造に衝撃を与える前に、前記
    ギャップ層の厚さ全体をエッチングする請求項1記載のプロセス。
  7. 【請求項7】 パターン化されたギャップ層を与えるために前記ギャップ層
    の実質的に厚さ全体のエッチングの後に前記下部磁気ポール層の一部をエッチン
    グするように不活性イオンビームで前記構造に衝撃を与えるステップを更に有す
    る請求項1記載のプロセス。
  8. 【請求項8】 前記下部磁気ポール層を不活性イオンビームでエッチングす
    る前記ステップは、(a)前記下部磁気ポール層の所望の合計厚さの一部をエッ
    チングするために前記構造に低入射角で指向された第1の不活性イオンビームに
    よって前記構造に衝撃を与えるステップと、(b)側壁構造から再付着を除去す
    るために前記構造に高入射角で指向された第2の不活性イオンビームによって前
    記構造に衝撃を与えるステップとを繰り返すことによって、前記下部磁気ポール
    層の所望の合計厚さをエッチングするために実行される請求項7記載のプロセス
  9. 【請求項9】 前記第1の不活性及び第2の不活性イオンビームは単一のイ
    オン源を使用して生成される請求項8記載のプロセス。
  10. 【請求項10】 前記第1の不活性及び前記第2の不活性イオンビームは別
    個のイオン源により生成される請求項8記載のプロセス。
  11. 【請求項11】 前記第1の不活性及び第2の不活性イオンビームは、重複
    期間中は、前記構造に衝突する請求項10記載のプロセス。
  12. 【請求項12】 前記第2のイオンビームは前記第1のイオン源を使用して
    生成される請求項1記載のプロセス。
  13. 【請求項13】 前記第1のイオンビームは、フッ化炭素前駆体ガスを使用
    して生成された第1のプラズマを使用して生成され、前記第2のイオンビームは
    、前記フッ化炭素前駆体ガスが排除される間に酸化ガスを前記第1のプラズマに
    導入することによって生成された第2のプラズマを使用して生成される請求項1
    2記載のプロセス。
  14. 【請求項14】 前記第1のプラズマは、不活性前駆体ガスに混合されたフ
    ッ化炭素前駆体ガスを使用して生成される請求項13記載のプロセス。
  15. 【請求項15】 前記第1のプラズマは、他の前駆体ガスを除いて前記フッ
    化炭素前駆体ガスを使用して生成される請求項13記載のプロセス。
  16. 【請求項16】 前記第1のイオンビームは、不活性前駆体ガスに混合され
    たフッ化炭素前駆体ガスを使用して生成された第1のプラズマを使用して生成さ
    れ、前記第2のイオンビームは、前記不活性前駆体ガスから得られた種を有して
    前記フッ化炭化水素前駆体ガスから得られた種が実質的にない残存プラズマに酸
    化ガスを導入することによって生成される第2のプラズマを使用して生成される
    請求項12記載のプロセス。
  17. 【請求項17】 前記第2のイオンビームエッチングステップが終了した後
    で、前記第1のイオン源内に前記酸化ガスから得られた酸化種を有するプラズマ
    を維持するステップを更に有する請求項16記載の方法。
  18. 【請求項18】 前記第2のイオンビームは前記第1のイオン源とは独立の
    第2のイオン源を使用して生成される請求項1記載のプロセス。
  19. 【請求項19】 前記第1及び第2のイオンビームは、重複期間中は、前記
    構造に衝突する請求項18記載のプロセス。
  20. 【請求項20】 前記第1のイオンビームの終了後に前記第1のイオン源内
    に酸化前駆体ガスからプラズマを生成するステップを更に有する請求項18記載
    の方法。
  21. 【請求項21】 前記第1のイオンビームは前記構造表面への法線に対して
    第1の入射角で指向され、前記第2のイオンビームは前記試料法線に対して第2
    の入射角で指向され、前記第2の入射角は前記第1の入射角に等しくない請求項
    1記載のプロセス。
  22. 【請求項22】 前記第1の入射角は前記試料法線に対して約45度未満で
    あり、前記第2の入射角は前記試料法線に対して約60度よりも大きい請求項2
    1記載の方法。
  23. 【請求項23】 前記第1のイオンビームは、前記構造表面の法線に対して
    第1の入射角で指向され、前記第1の入射角は前記パターン化された上部磁気ポ
    ール層よりも前記ギャップ層をエッチングする選択性を高めるように選択される
    請求項1記載の方法。
  24. 【請求項24】 前記第2のイオンビームは、前記試料法線に対して第2の
    入射角で指向され、前記第2の入射角は前記ギャップ層をエッチングするよりも
    前記炭素質付着物を除去する選択性を高めるように選択される請求項1記載の方
    法。
  25. 【請求項25】 前記上部及び下部磁気ポール層は、各々、パーマロイを有
    し、前記ギャップ層はアルミナを有する請求項1記載のプロセス。
  26. 【請求項26】 前記第1のイオンビームはフッ化炭素を使用して生成され
    る請求項25記載のプロセス。
  27. 【請求項27】 前記アルミナをエッチングする際の前記第1のイオンビー
    ムの速度は前記パーマロイをエッチングする速度の少なくとも約15倍大きい請
    求項26記載のプロセス。
  28. 【請求項28】 前記フッ化炭素はCHFである請求項27記載のプロセ
    ス。
  29. 【請求項29】 前記パーマロイをエッチングする速度は前記アルミナをエ
    ッチングする速度に比較して無視可能である請求項28記載のプロセス。
  30. 【請求項30】 前記第1のイオンビームは、前記ギャップ層のエッチング
    に対して、前記パターン化された上部磁気ポール層の無視可能な物理的エッチン
    グを与えるように選択されたビームエネルギーを有する請求項29記載のプロセ
    ス。
  31. 【請求項31】 前記第1のイオンビームは、約300エレクトロンボルト
    のビームエネルギーを有する請求項30記載の方法。
  32. 【請求項32】 前記第2のイオンビームは、不活性ガスと酸素含有ガスと
    を含む前駆体ガスの混合物から生成されるプラズマから生成される請求項1記載
    のプロセス。
  33. 【請求項33】 前記不活性ガス及び前記酸素含有ガスは、不活性ガスの酸
    素に対するマスフロー速度比が約1:4乃至約2:1の範囲にある請求項32記
    載のプロセス。
  34. 【請求項34】 前記第2のイオンビームは、O、NO、NO、O 及びその混合物からなるグループから選択された前駆体ガスを含む一以上の前駆
    体ガスから生成されるプラズマから抽出される請求項1記載のプロセス。
  35. 【請求項35】 前記第1のイオンビームは、CF、CHF、CH 、CHF、C、C及びその混合物からなるグループから選択さ
    れた前駆体ガスを含む一以上の前駆体ガスから生成されるプラズマから抽出され
    る請求項1記載のプロセス。
  36. 【請求項36】 炭素質付着物形成種を有する第1のプラズマを有するイオ
    ン源から抽出された第1のイオンビームで試料に衝撃を与えるステップと、 前記第1のイオンビームエッチングステップが開始された後に、前記イオン源
    内に第3のプラズマを与えるために前記イオン源の残留プラズマに酸素含有ガス
    を導入するステップとを有し、前記第3のプラズマは前記酸素含有ガスから得ら
    れた酸化種を有し、前記イオン源は前記第1のプラズマと前記残留プラズマとの
    間に時間的に連続してプラズマを含むイオンビームエッチング方法。
  37. 【請求項37】 前記炭素質付着物形成種は、前記第1のプラズマを形成す
    るのに使用される一以上のフッ化炭素前駆体ガスから得られる請求項36記載の
    方法。
  38. 【請求項38】 前記一以上のフッ化炭素前駆体ガスは、CF、CHF 、CH、CHF、C、C及びその混合物からなるグループ
    から選択された前駆体ガスを含む請求項37記載のプロセス。
  39. 【請求項39】 前記残留プラズマは前記第1のプラズマである請求項36
    記載の方法。
  40. 【請求項40】 前記導入ステップ中、前記炭素質付着物形成種は前記残留
    プラズマから排除される請求項39記載の方法。
  41. 【請求項41】 前記第1のプラズマは不活性ガス種を含み、前記導入ステ
    ップの実行前に、前記炭素質付着物形成種の実質的になく前記不活性ガスを有す
    る前記残留プラズマを与えるために、前記第1のプラズマから前記炭素質付着物
    形成種を排除するステップを更に有する請求項36記載の方法。
  42. 【請求項42】 請求項36記載の方法を含むプロセスにより製造された薄
    膜磁気ヘッド。
  43. 【請求項43】 前記酸化種を有する前記第3のプラズマを含む前記イオン
    源から抽出された第2のイオンビームで前記試料に衝撃を与える請求項36記載
    の方法。
  44. 【請求項44】 前記第1のイオンビームは、それによってエッチングされ
    た試料に対して第1の入射角で指向し、前記第2のイオンビームは前記試料に対
    する第2の入射角で指向し、前記第2の入射角は前記第1の入射角に等しくない
    請求項43記載の方法。
  45. 【請求項45】 前記試料は第1の材料と第2の材料を含み、前記第1の入
    射角は前記第1の材料に対して前記第2の材料の選択性エッチングを高めるよう
    に選択される請求項44記載の方法。
  46. 【請求項46】 前記第2の入射角は、前記第1のイオンビームによる前記
    衝撃中に前記試料に形成される場合がある炭素質付着物の前記選択的除去を高め
    るように選択される請求項44記載の方法。
  47. 【請求項47】 前記第1の入射角は試料法線に対して約45度未満であり
    、前記第2の入射角は前記試料法線に対して約60度よりも大きい請求項44記
    載の方法。
  48. 【請求項48】 前記第2のイオンビームエッチングステップが終了した後
    に、前記イオン源内で前記酸化種を有するプラズマを維持するステップを更に有
    する請求項43記載の方法。
  49. 【請求項49】 酸化種を含むプラズマを有する第2のイオン源から抽出さ
    れた第2のイオンビームで前記試料に衝撃を与えるステップを更に有する請求項
    36記載の方法。
  50. 【請求項50】 前記第1のイオンビーム及び第2のイオンビームは、重複
    期間中は、前記試料に衝撃を与える請求項49記載の方法。
  51. 【請求項51】 前記第3のプラズマは、前記第1のプラズマが前記イオン
    源に残存する期間よりも約1.5乃至約2.5大きなファクターである期間だけ
    前記イオン源内に維持される請求項36記載の方法。
  52. 【請求項52】 前記試料は第1の材料と第2の材料を含み、前記第1のイ
    オンビームの前記ビームエネルギーは、前記第2の材料に対する前記第2の材料
    の選択的エッチングを高めるように選択され、前記第1のイオンビームは、前記
    第2の材料に化学反応し、前記第1の材料に実質的に化学反応しない種を有する
    請求項36記載の方法。
  53. 【請求項53】 第1の層と第2の層を有する構造を有する基板のイオンビ
    ームエッチングのためのプロセスであって、 イオン源内で炭素性付着物を形成可能な種を含むプラズマを前記イオン源内に
    生成するステップと、 前記第1の層をエッチングする速度の約2倍よりも大きな速度で前記第2の層
    を選択的にエッチングするために、前記プラズマから抽出されたイオンビームで
    前記基板に衝撃を与えるステップであって、前記イオンビームは前記第2の層に
    含まれた材料と化学反応する種を有するステップとを有し、 前記選択性は前記プロセスの独立した実行に際し実質的に反復可能であるプロ
    セス。
  54. 【請求項54】 前記プラズマは、少なくとも一のフッ化炭素ガスを含む前
    駆体ガス又は前駆体ガス混合物から形成される請求項53記載のプロセス。
  55. 【請求項55】 前記プラズマは、フッ化炭素ガスと炭素を含まない水素含
    有ガスとを含む前駆体ガス混合物から形成される請求項54記載のプロセス。
  56. 【請求項56】 前記プラズマは、炭化水素ガス及び炭素を含まないフッ素
    含有ガスを含む前駆体ガス混合物から形成される請求項53記載のプロセス。
  57. 【請求項57】 前記第1の層は、NiFe、FeN、FeZn、FeTa
    N、CZT、Mn、Fe、Co、Ni、Cr、Ti、W、GaAs、GaN、A
    lGaAs、結晶Si、アモルファスSi、フォトレジスト、ポリイミド、ポリ
    マー及び元素的炭素同素体からなるグループから選択された材料を含む請求項5
    3記載のプロセス。
  58. 【請求項58】 前記第2の層は、アルミナ、SiO、Si、Al
    N、LiNO及び強誘電体からなるグループから選択された材料を含む請求項
    53記載のプロセス。
  59. 【請求項59】 前記プラズマは、少なくともその一つがフッ化炭素である
    一以上の前駆体ガスから形成され、前記第1の層はパーマロイを含み、前記第2
    の層はアルミナを含む請求項53記載のプロセス。
  60. 【請求項60】 前記フッ化炭素はCHFである請求項59記載のプロセ
    ス。
  61. 【請求項61】 前記第1の層はアモルファスシリコンであり、前記第2の
    層はSiOである請求項53記載のプロセス。
  62. 【請求項62】 前記フッ化炭素ベースの源ガスはCHFを含み、前記第
    1のイオンビームは前記SiOを、前記第1のイオンビームが前記アモルファ
    スシリコンをエッチングする速度よりも約20倍大きい速度で選択的にエッチン
    グする請求項61記載のプロセス。
  63. 【請求項63】 前記第1の層はPtであり、前記第2の層はPZTである
    請求項53記載のプロセス。
  64. 【請求項64】 前記イオンビームは、前記第1の層のエッチングに対する
    前記第2の層のエッチングの選択性を実質的に最適化するように選択された入射
    角で前記試料に衝突する請求項53記載のプロセス。
  65. 【請求項65】 前記プラズマによって前記イオン源内に形成された炭素質
    付着物を除去するために前記イオン源内に第2のプラズマを形成するステップを
    更に有し、前記第2のプラズマは酸化種を含む請求項53記載のプロセス。
  66. 【請求項66】 前記第2のプラズマは、その少なくとも一つがO、N O、NO、O、及びその混合物からなるグループから選択された一以上の前
    駆体ガスから形成される請求項65記載のプロセス。
JP2000608372A 1999-03-30 2000-03-30 反応性イオンビームエッチング方法及び当該方法を使用して製造された薄膜ヘッド Ceased JP2002540548A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/281,663 1999-03-30
US09/281,663 US6238582B1 (en) 1999-03-30 1999-03-30 Reactive ion beam etching method and a thin film head fabricated using the method
PCT/US2000/008400 WO2000058953A2 (en) 1999-03-30 2000-03-30 Reactive ion beam etching method and a thin film head fabricated using the method

Publications (1)

Publication Number Publication Date
JP2002540548A true JP2002540548A (ja) 2002-11-26

Family

ID=23078272

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000608372A Ceased JP2002540548A (ja) 1999-03-30 2000-03-30 反応性イオンビームエッチング方法及び当該方法を使用して製造された薄膜ヘッド

Country Status (7)

Country Link
US (1) US6238582B1 (ja)
EP (1) EP1183684B1 (ja)
JP (1) JP2002540548A (ja)
AT (1) ATE399363T1 (ja)
AU (1) AU4047500A (ja)
DE (1) DE60039293D1 (ja)
WO (1) WO2000058953A2 (ja)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011096316A (ja) * 2009-10-29 2011-05-12 Ulvac Japan Ltd 磁気記録ヘッドの製造方法
JP2012531520A (ja) * 2009-06-23 2012-12-10 インテバック・インコーポレイテッド プラズマグリッド注入装置及びイオン注入方法
JP2013503414A (ja) * 2009-08-26 2013-01-31 ビーコ・インスツルメンツ・インコーポレーテッド 磁気記録媒体上にパターンを製造するためのシステム
US9318332B2 (en) 2012-12-19 2016-04-19 Intevac, Inc. Grid for plasma ion implant
US9324598B2 (en) 2011-11-08 2016-04-26 Intevac, Inc. Substrate processing system and method
WO2017019334A1 (en) * 2015-07-27 2017-02-02 Varian Semiconductor Equipment Associates, Inc. Apparatus and method for carbon film deposition profile control
WO2017082373A1 (ja) * 2015-11-13 2017-05-18 東京エレクトロン株式会社 被処理体をエッチングする方法
JP2017098521A (ja) * 2015-11-13 2017-06-01 東京エレクトロン株式会社 被処理体をエッチングする方法
JP2021503709A (ja) * 2017-10-30 2021-02-12 フェイスブック・テクノロジーズ・リミテッド・ライアビリティ・カンパニーFacebook Technologies, Llc 高屈折率材料のh2補助傾斜エッチング
JP2021509490A (ja) * 2017-12-26 2021-03-25 エルジー・ケム・リミテッド ファラデーケージを用いたプラズマエッチング方法

Families Citing this family (217)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2764110B1 (fr) * 1997-05-28 1999-08-20 Univ Paris Curie Dispositif et procede de gravure par ions
JP2001284252A (ja) * 2000-03-30 2001-10-12 Sanyo Electric Co Ltd 半導体装置及びその製造方法
TW504713B (en) * 2000-04-28 2002-10-01 Motorola Inc Magnetic element with insulating veils and fabricating method thereof
US6723252B1 (en) * 2000-06-16 2004-04-20 International Business Machines Corporation Magnetic head P1 magnetic pole notching with reduced polymer deposition
US6574854B1 (en) * 2000-06-27 2003-06-10 International Business Machines Corporation Method for reducing magnetic head write gap curvature of a plated write gap
US6503406B1 (en) * 2000-08-07 2003-01-07 International Business Machines Corporation Method for forming the air bearing surface of a slider using nonreactive plasma
US6676843B2 (en) * 2001-04-26 2004-01-13 Hewlett-Packard Development Company, L.P. Magnetically patterning conductors
JP2005527101A (ja) * 2001-08-21 2005-09-08 シーゲイト テクノロジー エルエルシー 炭素ベースのガスを用いる磁気薄膜のイオンビームエッチング選択性の向上
US6783629B2 (en) 2002-03-11 2004-08-31 Yuri Glukhoy Plasma treatment apparatus with improved uniformity of treatment and method for improving uniformity of plasma treatment
AU2003211027A1 (en) * 2002-03-27 2003-10-13 Nanoink, Inc. Method and apparatus for aligning patterns on a substrate
US20030200835A1 (en) * 2002-04-02 2003-10-30 Snecma Services Diffusion-brazing filler powder for parts made of an alloy based on nickel, cobalt or iron
US20040014327A1 (en) * 2002-07-18 2004-01-22 Bing Ji Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
US7357138B2 (en) * 2002-07-18 2008-04-15 Air Products And Chemicals, Inc. Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
US20040011380A1 (en) * 2002-07-18 2004-01-22 Bing Ji Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
US20040045671A1 (en) * 2002-09-10 2004-03-11 Ed Rejda Selective etching device
KR100898924B1 (ko) * 2002-10-24 2009-05-26 엘지전자 주식회사 초소형 렌즈의 비구면 가공방법 및 그를 이용한 초소형렌즈의제조방법
KR100484949B1 (ko) * 2002-12-27 2005-04-22 엘지.필립스 엘시디 주식회사 배향막을 형성하기 위한 이온빔 조사 장치
KR100478498B1 (ko) * 2003-01-30 2005-03-28 동부아남반도체 주식회사 반도체 소자의 금속 배선 형성 방법
JP4155093B2 (ja) * 2003-03-04 2008-09-24 株式会社島津製作所 イオン源およびイオンビーム装置
AT412719B (de) * 2003-06-16 2005-06-27 Eckelt Glas Gmbh Verfahren und vorrichtung zum bereichsweisen entschichten von glasscheiben
JP4111274B2 (ja) * 2003-07-24 2008-07-02 キヤノンアネルバ株式会社 磁性材料のドライエッチング方法
US7879201B2 (en) * 2003-08-11 2011-02-01 Veeco Instruments Inc. Method and apparatus for surface processing of a substrate
US9206500B2 (en) * 2003-08-11 2015-12-08 Boris Druz Method and apparatus for surface processing of a substrate using an energetic particle beam
US7055263B2 (en) * 2003-11-25 2006-06-06 Air Products And Chemicals, Inc. Method for cleaning deposition chambers for high dielectric constant materials
US7039300B2 (en) * 2003-12-19 2006-05-02 Carrier Corporation Identification of electric heater capacity
US7563381B2 (en) * 2004-04-30 2009-07-21 Hitachi Global Storage Technologies Netherlands B.V. High milling resistance write pole fabrication method for perpendicular recording
US7186348B2 (en) * 2004-06-30 2007-03-06 Hitachi Global Storage Technologies Netherlands B.V. Method for fabricating a pole tip in a magnetic transducer
KR100653701B1 (ko) * 2004-08-20 2006-12-04 삼성전자주식회사 반도체 소자의 작은 비아 구조체 형성방법 및 이를 사용한상변화 기억 소자의 제조방법
DE212005000055U1 (de) * 2004-11-19 2007-08-23 Vetrotech Saint-Gobain (International) Ag Vorrichtung zum streifen- und flächenförmigen Bearbeiten von Oberflächen von Glasscheiben
JP4634874B2 (ja) * 2005-06-28 2011-02-16 株式会社東芝 磁気記録媒体の製造方法
JPWO2007032379A1 (ja) * 2005-09-13 2009-03-19 キヤノンアネルバ株式会社 磁気抵抗効果素子の製造方法及び製造装置
US20070084564A1 (en) * 2005-10-13 2007-04-19 Varian Semiconductor Equipment Associates, Inc. Conformal doping apparatus and method
JP2008016084A (ja) * 2006-07-03 2008-01-24 Toshiba Corp 磁気記録媒体の製造方法
US7615385B2 (en) 2006-09-20 2009-11-10 Hypres, Inc Double-masking technique for increasing fabrication yield in superconducting electronics
JP4296204B2 (ja) * 2007-03-26 2009-07-15 株式会社東芝 磁気記録媒体
JP2008282512A (ja) * 2007-05-14 2008-11-20 Toshiba Corp 磁気記録媒体及び磁気記録再生装置
JP4382843B2 (ja) * 2007-09-26 2009-12-16 株式会社東芝 磁気記録媒体およびその製造方法
US20090273859A1 (en) * 2008-05-02 2009-11-05 Flint Eric W Forming a pole tip topography
US8501629B2 (en) * 2009-12-23 2013-08-06 Applied Materials, Inc. Smooth SiConi etch for silicon-containing films
FR2957454B1 (fr) * 2010-03-09 2013-05-17 Essilor Int Procede de conditionnement d'un canon a ions
US8343363B1 (en) 2010-03-10 2013-01-01 Western Digital (Fremont), Llc Method and system for fabricating a cavity in a substrate of a magnetic recording head
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
WO2012127963A1 (ja) * 2011-03-18 2012-09-27 電気化学工業株式会社 電子銃又はイオン銃の取扱方法および収容体
US8597528B1 (en) * 2011-03-30 2013-12-03 Western Digital (Fremont), Llc Method and system for defining a read sensor using an ion mill planarization
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US8533937B1 (en) 2011-10-18 2013-09-17 Western Digital (Fremont), Llc Method of forming a fully wrapped-around shielded PMR writer pole
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
CN104584196B (zh) * 2012-06-29 2017-02-22 佳能安内华股份有限公司 离子束处理方法和离子束处理装置
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
JP5575198B2 (ja) * 2012-09-25 2014-08-20 株式会社東芝 磁気抵抗効果素子の製造方法及び磁気抵抗効果素子の製造装置
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9899227B2 (en) * 2013-02-20 2018-02-20 Lam Research Corporation System, method and apparatus for ion milling in a plasma etch chamber
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US10515777B2 (en) * 2013-07-29 2019-12-24 Hitachi High-Technologies Corporation Ion milling device and processing method using the ion milling device
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9934981B2 (en) 2013-09-26 2018-04-03 Varian Semiconductor Equipment Associates, Inc. Techniques for processing substrates using directional reactive ion etching
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9135930B1 (en) 2014-03-06 2015-09-15 Western Digital (Fremont), Llc Method for fabricating a magnetic write pole using vacuum deposition
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
KR101943553B1 (ko) 2014-11-25 2019-04-18 삼성전자주식회사 좌우 대칭의 이온 빔을 이용한 패턴 형성 방법, 이를 이용한 자기 기억 소자의 제조방법, 및 좌우 대칭의 이온 빔을 발생시키는 이온 빔 장비
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10008384B2 (en) * 2015-06-25 2018-06-26 Varian Semiconductor Equipment Associates, Inc. Techniques to engineer nanoscale patterned features using ions
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9984889B2 (en) 2016-03-08 2018-05-29 Varian Semiconductor Equipment Associates, Inc. Techniques for manipulating patterned features using ions
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9886973B2 (en) * 2016-06-30 2018-02-06 Western Digital Technologies, Inc. Surface treatment of magnetic recording heads for improving the robustness thereof
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10229832B2 (en) 2016-09-22 2019-03-12 Varian Semiconductor Equipment Associates, Inc. Techniques for forming patterned features using directional ions
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR101939481B1 (ko) * 2017-07-27 2019-01-16 성균관대학교산학협력단 이온빔 식각 장치
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10684407B2 (en) 2017-10-30 2020-06-16 Facebook Technologies, Llc Reactivity enhancement in ion beam etcher
EP3477347A1 (en) * 2017-10-30 2019-05-01 Facebook Technologies, LLC Reactivity enhancement in ion beam etcher
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10914954B2 (en) 2018-08-03 2021-02-09 Facebook Technologies, Llc Rainbow reduction for waveguide displays
US10761330B2 (en) 2018-01-23 2020-09-01 Facebook Technologies, Llc Rainbow reduction in waveguide displays
US10845596B2 (en) 2018-01-23 2020-11-24 Facebook Technologies, Llc Slanted surface relief grating for rainbow reduction in waveguide display
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10649119B2 (en) 2018-07-16 2020-05-12 Facebook Technologies, Llc Duty cycle, depth, and surface energy control in nano fabrication
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11137536B2 (en) 2018-07-26 2021-10-05 Facebook Technologies, Llc Bragg-like gratings on high refractive index material
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11150394B2 (en) 2019-01-31 2021-10-19 Facebook Technologies, Llc Duty cycle range increase for waveguide combiners
US11391950B2 (en) 2019-06-26 2022-07-19 Meta Platforms Technologies, Llc Techniques for controlling effective refractive index of gratings
US11226446B2 (en) 2020-05-06 2022-01-18 Facebook Technologies, Llc Hydrogen/nitrogen doping and chemically assisted etching of high refractive index gratings
US20230031722A1 (en) * 2021-07-23 2023-02-02 Taiwan Semiconductor Manufacturing Co., Ltd. Voltage Control for Etching Systems

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6037130A (ja) * 1983-08-10 1985-02-26 Comput Basic Mach Technol Res Assoc 薄膜のパタ−ニング方法
JPS62256208A (ja) * 1986-04-28 1987-11-07 Tdk Corp 薄膜磁気ヘツドのギヤツプ部の構造
JPS641215A (en) * 1987-06-23 1989-01-05 Hitachi Ltd Manufacture of magnetic thin film
JPH04102211A (ja) * 1990-08-21 1992-04-03 Hitachi Ltd 薄膜磁気ヘツド
JPH05102101A (ja) * 1991-02-15 1993-04-23 Fujitsu Ltd 半導体装置の製造方法
JPH05267256A (ja) * 1992-01-27 1993-10-15 Siemens Ag 反応室の洗浄方法
JPH07262519A (ja) * 1994-03-09 1995-10-13 Internatl Business Mach Corp <Ibm> Mr複合ヘッド及びその製造方法
JPH10112007A (ja) * 1996-10-04 1998-04-28 Nec Corp 磁気抵抗効果型複合ヘッド及びその製造方法
JPH11273027A (ja) * 1998-01-30 1999-10-08 Read Rite Corp 複合型薄膜磁気ヘッド及び磁気抵抗ヘッド構造の製造方法、複合型薄膜磁気ヘッド
JP2000251221A (ja) * 1999-02-26 2000-09-14 Sumitomo Metal Ind Ltd 薄膜磁気ヘッドの製造方法及びその装置

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3913320A (en) 1974-11-13 1975-10-21 Ion Tech Inc Electron-bombardment ion sources
US4142958A (en) 1978-04-13 1979-03-06 Litton Systems, Inc. Method for fabricating multi-layer optical films
JPS60133516A (ja) 1983-12-22 1985-07-16 Hitachi Ltd 薄膜磁気ヘツドの製造方法
US4490229A (en) 1984-07-09 1984-12-25 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Deposition of diamondlike carbon films
US4739214A (en) 1986-11-13 1988-04-19 Anatech Ltd. Dynamic electron emitter
DE3862797D1 (de) 1987-04-22 1991-06-20 Siemens Ag Kolbenpumpe fuer ein medikamentendosiergeraet.
US4778561A (en) 1987-10-30 1988-10-18 Veeco Instruments, Inc. Electron cyclotron resonance plasma source
GB8905073D0 (en) 1989-03-06 1989-04-19 Nordiko Ltd Ion gun
EP0416774B1 (en) 1989-08-28 2000-11-15 Hitachi, Ltd. A method of treating a sample of aluminium-containing material
US5198634A (en) 1990-05-21 1993-03-30 Mattson Brad S Plasma contamination removal process
US5274306A (en) 1990-08-31 1993-12-28 Kaufman & Robinson, Inc. Capacitively coupled radiofrequency plasma source
US5455081A (en) * 1990-09-25 1995-10-03 Nippon Steel Corporation Process for coating diamond-like carbon film and coated thin strip
JPH0677181A (ja) * 1992-08-26 1994-03-18 Matsushita Electric Ind Co Ltd 化合物半導体の微細構造形成方法
US5508368A (en) 1994-03-03 1996-04-16 Diamonex, Incorporated Ion beam process for deposition of highly abrasion-resistant coatings
US5607599A (en) 1994-11-17 1997-03-04 Kabushiki Kaisha Toshiba Method of processing a magnetic thin film
US5916424A (en) * 1996-04-19 1999-06-29 Micrion Corporation Thin film magnetic recording heads and systems and methods for manufacturing the same
US5874010A (en) * 1996-07-17 1999-02-23 Headway Technologies, Inc. Pole trimming technique for high data rate thin film heads
JP3349925B2 (ja) 1996-09-10 2002-11-25 アルプス電気株式会社 薄膜磁気ヘッドの製造方法
TW373268B (en) 1997-02-21 1999-11-01 Applied Materials Inc Low temperature etch process utilizing power splitting between electrodes in AN RF plasma reactor
US5878481A (en) 1997-04-28 1999-03-09 Headway Technologies, Inc. Pole trimming method for fabricating a magnetic transducer structure
US5867890A (en) 1997-12-17 1999-02-09 International Business Machines Corporation Method for making a thin film merged magnetoresistive read/inductive write head having a pedestal pole tip

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6037130A (ja) * 1983-08-10 1985-02-26 Comput Basic Mach Technol Res Assoc 薄膜のパタ−ニング方法
JPS62256208A (ja) * 1986-04-28 1987-11-07 Tdk Corp 薄膜磁気ヘツドのギヤツプ部の構造
JPS641215A (en) * 1987-06-23 1989-01-05 Hitachi Ltd Manufacture of magnetic thin film
JPH04102211A (ja) * 1990-08-21 1992-04-03 Hitachi Ltd 薄膜磁気ヘツド
JPH05102101A (ja) * 1991-02-15 1993-04-23 Fujitsu Ltd 半導体装置の製造方法
JPH05267256A (ja) * 1992-01-27 1993-10-15 Siemens Ag 反応室の洗浄方法
JPH07262519A (ja) * 1994-03-09 1995-10-13 Internatl Business Mach Corp <Ibm> Mr複合ヘッド及びその製造方法
JPH10112007A (ja) * 1996-10-04 1998-04-28 Nec Corp 磁気抵抗効果型複合ヘッド及びその製造方法
JPH11273027A (ja) * 1998-01-30 1999-10-08 Read Rite Corp 複合型薄膜磁気ヘッド及び磁気抵抗ヘッド構造の製造方法、複合型薄膜磁気ヘッド
JP2000251221A (ja) * 1999-02-26 2000-09-14 Sumitomo Metal Ind Ltd 薄膜磁気ヘッドの製造方法及びその装置

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9741894B2 (en) 2009-06-23 2017-08-22 Intevac, Inc. Ion implant system having grid assembly
JP2012531520A (ja) * 2009-06-23 2012-12-10 インテバック・インコーポレイテッド プラズマグリッド注入装置及びイオン注入方法
US8997688B2 (en) 2009-06-23 2015-04-07 Intevac, Inc. Ion implant system having grid assembly
US9303314B2 (en) 2009-06-23 2016-04-05 Intevac, Inc. Ion implant system having grid assembly
JP2013503414A (ja) * 2009-08-26 2013-01-31 ビーコ・インスツルメンツ・インコーポレーテッド 磁気記録媒体上にパターンを製造するためのシステム
JP2011096316A (ja) * 2009-10-29 2011-05-12 Ulvac Japan Ltd 磁気記録ヘッドの製造方法
US9875922B2 (en) 2011-11-08 2018-01-23 Intevac, Inc. Substrate processing system and method
US9324598B2 (en) 2011-11-08 2016-04-26 Intevac, Inc. Substrate processing system and method
US9583661B2 (en) 2012-12-19 2017-02-28 Intevac, Inc. Grid for plasma ion implant
US9318332B2 (en) 2012-12-19 2016-04-19 Intevac, Inc. Grid for plasma ion implant
WO2017019334A1 (en) * 2015-07-27 2017-02-02 Varian Semiconductor Equipment Associates, Inc. Apparatus and method for carbon film deposition profile control
US10280512B2 (en) 2015-07-27 2019-05-07 Varian Semiconductor Equipment Associates, Inc. Apparatus and method for carbon film deposition profile control
WO2017082373A1 (ja) * 2015-11-13 2017-05-18 東京エレクトロン株式会社 被処理体をエッチングする方法
JP2017098521A (ja) * 2015-11-13 2017-06-01 東京エレクトロン株式会社 被処理体をエッチングする方法
JP2021503709A (ja) * 2017-10-30 2021-02-12 フェイスブック・テクノロジーズ・リミテッド・ライアビリティ・カンパニーFacebook Technologies, Llc 高屈折率材料のh2補助傾斜エッチング
JP7285251B2 (ja) 2017-10-30 2023-06-01 メタ プラットフォームズ テクノロジーズ, リミテッド ライアビリティ カンパニー 高屈折率材料のh2補助傾斜エッチング
JP2021509490A (ja) * 2017-12-26 2021-03-25 エルジー・ケム・リミテッド ファラデーケージを用いたプラズマエッチング方法

Also Published As

Publication number Publication date
EP1183684B1 (en) 2008-06-25
WO2000058953A3 (en) 2001-04-26
EP1183684A2 (en) 2002-03-06
DE60039293D1 (de) 2008-08-07
ATE399363T1 (de) 2008-07-15
US6238582B1 (en) 2001-05-29
WO2000058953A2 (en) 2000-10-05
AU4047500A (en) 2000-10-16

Similar Documents

Publication Publication Date Title
JP2002540548A (ja) 反応性イオンビームエッチング方法及び当該方法を使用して製造された薄膜ヘッド
US6893893B2 (en) Method of preventing short circuits in magnetic film stacks
JP4579611B2 (ja) ドライエッチング方法
US6833325B2 (en) Method for plasma etching performance enhancement
US8679358B2 (en) Plasma etching method and computer-readable storage medium
US5607599A (en) Method of processing a magnetic thin film
US6069092A (en) Dry etching method and semiconductor device fabrication method
US20100224587A1 (en) Plasma etching method, plasma etching apparatus and computer-readable storage medium
US6838389B2 (en) High selectivity etching of a lead overlay structure
US7510976B2 (en) Dielectric plasma etch process with in-situ amorphous carbon mask with improved critical dimension and etch selectivity
US8642482B2 (en) Plasma etching method, control program and computer storage medium
JP2004519838A (ja) 窒化チタンをエッチングする方法
JPS60105235A (ja) アルミニウムおよびアルミニウム合金の反応性イオンエッチング法
JP4911936B2 (ja) プラズマアッシング方法
TWI420588B (zh) Plasma etching method
JPH10116824A (ja) 高いポリシリコン選択性を有するメタルシリサイドエッチング方法
Jung et al. High rate dry etching of Ni 0.8 Fe 0.2 and NiFeCo
JP2757546B2 (ja) Feを含む物質のエッチング方法およびエッチング装置
US20030181056A1 (en) Method of etching a magnetic material film stack using a hard mask
Bell et al. Polysilicon gate etching in high density plasmas. III. X‐ray photoelectron spectroscopy investigation of sidewall passivation of silicon trenches using an oxide hard mask
US6069035A (en) Techniques for etching a transition metal-containing layer
Kojima et al. Dual-frequency superimposed RF capacitive-coupled plasma etch process
Pu Plasma Etch Equipment
US20040084407A1 (en) Method for surface preparation to enable uniform etching of polycrystalline materials
Nguyen Directional Nanoscale Silicon Etching using SF6 and O2 Plasma

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070322

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20090202

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090210

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20090430

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20090609

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20090526

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20090629

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090709

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090915

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20091207

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20091214

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100113

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100120

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100212

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100219

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100310

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100615

A045 Written measure of dismissal of application [lapsed due to lack of payment]

Free format text: JAPANESE INTERMEDIATE CODE: A045

Effective date: 20101026