CN104584196B - 离子束处理方法和离子束处理装置 - Google Patents

离子束处理方法和离子束处理装置 Download PDF

Info

Publication number
CN104584196B
CN104584196B CN201380044482.4A CN201380044482A CN104584196B CN 104584196 B CN104584196 B CN 104584196B CN 201380044482 A CN201380044482 A CN 201380044482A CN 104584196 B CN104584196 B CN 104584196B
Authority
CN
China
Prior art keywords
substrate
ion beam
aperture plate
rotation
case
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201380044482.4A
Other languages
English (en)
Other versions
CN104584196A (zh
Inventor
小平吉三
竹内功
中村美保子
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Canon Anelva Corp
Original Assignee
Canon Anelva Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Canon Anelva Corp filed Critical Canon Anelva Corp
Publication of CN104584196A publication Critical patent/CN104584196A/zh
Application granted granted Critical
Publication of CN104584196B publication Critical patent/CN104584196B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02002Preparing wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/305Electron-beam or ion-beam tubes for localised treatment of objects for casting, melting, evaporating or etching
    • H01J37/3053Electron-beam or ion-beam tubes for localised treatment of objects for casting, melting, evaporating or etching for evaporating or etching
    • H01J37/3056Electron-beam or ion-beam tubes for localised treatment of objects for casting, melting, evaporating or etching for evaporating or etching for microworking, e.g. etching of gratings, trimming of electrical components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/04Arrangements of electrodes and associated parts for generating or controlling the discharge, e.g. electron-optical arrangement, ion-optical arrangement
    • H01J37/08Ion sources; Ion guns
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67282Marking devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/544Marks applied to semiconductor devices or parts, e.g. registration marks, alignment structures, wafer maps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/245Detection characterised by the variable being measured
    • H01J2237/24571Measurements of non-electric or non-magnetic variables
    • H01J2237/24578Spatial variables, e.g. position, distance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • H01J2237/3345Problems associated with etching anisotropy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • H01J2237/3347Problems associated with etching bottom of holes or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/544Marks applied to semiconductor devices or parts
    • H01L2223/54426Marks applied to semiconductor devices or parts for alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/544Marks applied to semiconductor devices or parts
    • H01L2223/54493Peripheral marks on wafers, e.g. orientation flats, notches, lot number
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N50/00Galvanomagnetic devices
    • H10N50/01Manufacture or treatment

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Drying Of Semiconductors (AREA)
  • General Engineering & Computer Science (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)

Abstract

本发明的目的是提供即使对于精细图案也可以抑制再沉积膜的沉积的离子束处理方法和离子束处理装置。根据本发明的一个实施方式,进行离子束处理,以使得从基板上所形成的图案槽的延伸方向侧入射的离子束的蚀刻量大于从其它方向侧入射的离子束的蚀刻量。由此,抑制了再沉积膜沉积在精细图案的槽的底部上,以使得能够对精细图案进行加工。

Description

离子束处理方法和离子束处理装置
技术领域
本发明涉及离子束处理装置。特别地,本发明涉及适合于针对半导体存储器等的精细图案的加工的离子束蚀刻装置。
背景技术
离子束蚀刻(以下还缩写为“IBE”)技术已广泛用于半导体存储器、记录装置和磁头等的加工(例如,专利文献1)。IBE技术通过向放电部输入电力来形成等离子体,然后通过向栅网施加电压以从该等离子体引出离子来形成离子束。使该离子束入射到基板上,并且该离子束主要以物理方式蚀刻基板上的材料。
现有技术文献
专利文献
专利文献1:日本特开2008-218829
发明内容
在上述的IBE中,由于物理蚀刻元素所涉及的比例大,因此蚀刻通过使被蚀刻材料从基板飞散来进行。由于该原因,在根据通过光刻法所形成的图案进行IBE的情况下,所飞散的被蚀刻材料在一些情况下再沉积在图案的侧壁上。为了去除这些再沉积膜,使用通过使基板相对于离子束的行进方向倾斜来进行IBE的方法。
另一方面,要求针对诸如MRAM和RRAM(注册商标)等的所谓的下一代存储器的加工对非常精细的图案进行加工,以提高记录密度。在通过IBE对这些精细图案进行加工时,沿相对于基板倾斜的方向入射的离子束难以到达图案槽的底部附近的区域。以下通过使用图1来具体说明该现象。图1示出沉积在基板11上的膜的图案化的状态。离子束I沿相对于基板11倾斜的方向入射到基板11上。如果基板11的图案化如图1所示进行,则元件110之间所形成的槽T变得如此深而使得槽T的底部附近的区域相对于离子束I被邻接元件110遮挡。由于该原因,难以充分去除再沉积膜R。另外,由于离子束I难以入射到槽T的底部,因此难以进行蚀刻。
本发明是为了解决上述问题而作出的,并且目的在于提供即使针对精细图案也能够抑制再沉积膜的沉积的处理方法和离子束处理装置。
为了解决上述问题的本发明是一种离子束处理方法,用于通过使用利用栅网从等离子体源所引出的离子束来对基板保持件上载置的基板进行处理,所述离子束处理方法包括以下步骤:在使位置相对于所述栅网倾斜的所述基板沿所述基板的面内方向转动的同时对所述基板进行离子束蚀刻的情况下,进行离子束处理,从而使从所述基板上所形成的图案槽的延伸方向侧入射的离子束的蚀刻量大于从其它方向侧入射的离子束的蚀刻量。
此外,本申请的发明是一种离子束处理装置,包括:等离子体源;栅网,其被配置为从所述等离子体源引出离子束;基板保持件,其能够使基板相对于所述栅网倾斜而载置,并且能够沿所述基板的面内方向转动;控制部,其被配置为控制所述基板保持件上的所述基板的转动;以及位置检测部,其被配置为检测所述基板的转动位置,其中,所述控制部基于所述位置检测部所获得的检测结果,使所述基板保持件的转动速度在所述栅网位于所述基板上所形成的图案槽的延伸方向侧的情况下慢于其它情况。
尽管通过使用传统的IBM利用倾斜入射已难以对精细图案的槽的底部进行加工,但使用本发明使得能够在抑制再沉积膜沉积在这些底部上的情况下,对这些精细图案进行加工。
附图说明
图1是示意性示出传统的IBE加工方法中的精细图案的图。
图2是示出根据本发明的一个实施方式的离子束蚀刻装置的图。
图3是用于说明根据本发明的一个实施方式的栅网的结构和功能的图。
图4是示出根据本发明的一个实施方式的控制装置的框图。
图5是示出基板上的矩形图案的排列方向的图。
图6是用于说明根据本发明的一个实施方式的栅网和基板之间的位置关系以及基板的相位的图。
图7A是根据本发明的一个实施方式的对基板转动的转动速度进行控制并且基板(基板保持件)连续转动的情况的说明图。
图7B是根据本发明的一个实施方式的对基板转动的转动速度进行控制并且基板(基板保持件)非连续转动的情况的说明图。
图8是示意性示出离子束入射到基板上的状态的图。
图9A是示意性示出蚀刻加工之前的基板上的光致抗蚀剂的图。
图9B是示意性示出MRAM所用的TMR元件的排列的图。
图10是示出根据本发明的一个实施方式的控制装置的框图。
图11A是根据本发明的一个实施方式的对等离子体生成部的输入电力进行控制并且基板(基板保持件)连续转动的情况的说明图。
图11B是根据本发明的一个实施方式的对等离子体生成部的输入电力进行控制并且基板(基板保持件)非连续转动的情况的说明图。
图12是示出根据本发明的一个实施方式的控制装置的框图。
图13A是根据本发明的一个实施方式的对栅网的施加电压进行控制并且基板(基板保持件)连续转动的情况的说明图。
图13B是根据本发明的一个实施方式的对栅网的施加电压进行控制并且基板(基板保持件)非连续转动的情况的说明图。
图14是用于说明可应用本发明的基板上所形成的图案的示例的图。
图15是用于说明可应用本发明的基板上所形成的图案的示例的图。
图16A是示意性示出本发明的一个实施方式中的离子束入射到基板上的状态的图。
图16B是示意性示出本发明的一个实施方式中的离子束入射到基板上的状态的图。
图17A是用于说明基板上的离子束的投影线的图。
图17B是用于说明基板上的图案槽的延伸方向的图。
图17C是用于说明基板上的图案槽的延伸方向的图。
图18是用于说明根据本发明的一个实施方式的栅网和基板之间的位置关系、基板的相位以及基板的转动速度这三者之间的关系的图。
图19是用于说明根据本发明的一个实施方式的栅网和基板之间的位置关系、基板的相位、基板的转动速度以及基板相对于栅网的倾斜角这四者之间的关系的图。
图20是用于说明可应用本发明的基板上所形成的图案的示例的图。
图21是用于说明根据本发明的一个实施方式的栅网和基板之间的位置关系、基板的相位以及基板的转动停止时间这三者之间的关系的图。
具体实施方式
以下参考附图来说明本发明的实施方式。本发明不限于这些实施方式,而是可以在没有背离本发明的精神的情况下根据需要进行改变。在以下所述的附图中,向具有相同功能的赋予相同的附图标记,并且在一些情况下省略重复说明。
第一实施方式
图2示出等离子体处理装置的示意图。离子束蚀刻装置100包括处理空间1和作为等离子体源的等离子体生成部2。处理空间1设置有排气泵3。等离子体生成部2设置有钟罩4、气体导入部5、RF天线6、匹配器7和电磁体8,并且在与处理空间1的边界处还设置有栅网9。
栅网9包括多个电极。在本发明中,如图3所示,例如,栅网9包括三个电极。在从钟罩4侧观看的情况下顺次设置有第一电极70、第二电极71和第三电极72。向第一电极施加正电压并且向第二电极施加负电压,使得由于电位差而导致离子加速。第三电极72还被称为接地电极并且接地。对第二电极71和第三电极72之间的电位差进行控制,以使得可以通过使用静电透镜效应将离子束的直径控制在预定数值范围内。利用中和器13使离子束中和。该栅网9优选由耐工艺气体的材料制成。作为栅网所用的材料,可以列举钼、钛、碳化钛或热解石墨。作为代替,栅网9可以通过由除以上所列举的材料以外的材料构成本体、然后向该本体的表面涂敷钼、钛或碳化钛来获得。
在处理空间1内设置有基板保持件10,并且未示出的ESC电极连接至基板保持件10。利用ESC电极使安装在基板保持件10上的基板11静电吸附并固定。作为其它基板固定部件,可以使用诸如夹紧支撑体等的各种固定部件。可以通过从气体导入部5导入工艺气体并且向RF天线6施加高频率来在等离子体生成部2内产生蚀刻气体的等离子体。然后,向栅网9施加DC(直流)电压以采用束的形式引出等离子体生成部2内的离子。使离子束照射基板11以进行基板11的处理。所引出的离子束由中和器13进行电气中和,然后照射基板11。
基板保持件10能够使基板11沿面内方向转动(自转)。基板保持件10包括用于控制基板的转动速度、基板的转动次数和基板保持件10相对于栅网9的倾斜的转动控制部件以及用于检测基板的转动位置的部件。另外,基板保持件10还可以包括用于检测基板的转动开始位置的部件。在本实施方式中,基板保持件10设置有作为位置检测部件的位置传感器14,并且能够检测基板11的转动位置。作为位置传感器14,使用旋转编码器。作为位置传感器14,可以使用任何结构,只要该结构能够如上述旋转编码器那样检测转动中的基板11的转动位置即可。
尽管本实施方式采用诸如位置传感器14等的传感器通过直接检测基板保持件10或基板11的转动位置来检测基板保持件10所保持的基板11的转动位置的结构,但可以使用任何结构,只要该结构能够检测基板11的转动位置即可。例如,可以以诸如根据基板保持件10的转动速度和转动时间进行计算等的间接方式来获得基板11的转动位置。
通过检测基板的定向平面或缺口来获得基板的转动开始位置。作为代替,可以通过检测基板所设置的对准标记或图案阵列来更精确地获得转动开始位置。可以使用上述位置传感器14作为基板转动开始位置传感器,或者除位置传感器14以外,还可以设置用于检测对准标记或图案阵列的检测部件。作为检测部件,可以在未示出的输送路径上设置原子力显微镜或者光学测量或扫描型电子显微镜等,或者可以设置配备有上述测量器的测量装置并以邻接离子束蚀刻装置100的方式安装该测量装置。
基板11在保持水平的状态下保持在基板保持件10的载置面上。作为基板11所用的材料,例如使用圆盘状的硅晶圆。然而,材料不限于此。基板保持件10能够相对于离子束以任何角度倾斜。
图5示出可应用本发明的基板11的示例。图5放大示出基板11上所形成的图案的一部分。在基板11上形成较大数量的元件J。本发明的特征在于:载置在基板保持件上的基板被定位成相对于栅网倾斜,并且通过改变基板保持件的转动速度来使来自图5所示的图案槽的延伸方向D侧的离子束照射量相比其它情况变大。
通过使用图17A~17C来说明来自图案槽的延伸方向D侧的离子束的蚀刻量和来自其它方向侧的离子束的蚀刻量之间的比较。
首先,如图17A所示,考虑通过将利用栅网9引出的离子束投影到包含基板11的表面的面上所获得的线段P。然后,如图17B所示,将所投影的线段P分解成沿图案槽的延伸方向D其中之一的分量和沿两个方向D之间的中间方向MD的分量,并且将线段P的这些分量彼此进行比较,以求出沿方向D和方向MD的分量中的哪个分量较大。这样,可以将来自图案槽的延伸方向D的离子束的蚀刻量和来自其它方向的离子束的蚀刻量彼此进行比较。
在本实施方式中,如图17B所示,图案槽的延伸方向D是从0°到180°的方向、从180°到0°的方向、从90°到270的方向和从270°到90°的方向。另一方面,作为两个方向D之间的中间方向的方向MD是从45°到225°的方向、从225°到45°的方向、从135°到315°的方向和从315°到135°的方向。
通过使用图17C来说明更具体的示例。这里,考虑相对于基板11沿角度100°的方向入射的离子束a和相对于基板11沿角度120°的方向入射的离子束b。离子束a相对于方向D所形成的角度为10°,并且相对于方向MD所形成的角度为35°。在比较离子束a的沿方向D的分量和沿方向MD的分量时,由于cos10°:cos35°≈0.98:0.82,因此沿方向D的分量较大。
另一方面,离子束b相对于方向D所形成的角度为30°,并且相对于方向MD所形成的角度为15°。在比较离子束b的沿方向D的分量和沿方向MD的分量时,由于cos30°:cos15°≈0.87:0.97,因此沿方向MD的分量较大。因此,可以认为:离子束a是从图案槽延伸方向入射的离子束,而离子束b是从中间方向入射的离子束。
换句话说,如果通过将离子束投影到包含基板11的表面的面上所获得的线段P相比图案槽的延伸方向D之间的中间方向MD更靠近图案槽的延伸方向D,则相对于图案槽的延伸方向D的蚀刻量占主导。因而,如果针对离子束的所投影的线段P相比中间方向MD更靠近图案槽的延伸方向D,则可以认为该离子束是从图案槽的延伸方向侧入射的。
然后,使基板11相对于栅网9倾斜定位,这具体意味着使栅网9和基板11位于基板11的中心法线相对于栅网9的中心法线以预定角度交叉的位置处。更具体地,这意味着将基板11相对于栅网9的角度设置在0°~90°(不包含0°和90°)的范围内,其中:0°是在栅网9和基板11彼此平行的情况下、在栅网9的中心法线和基板11的中心法线之间所形成的角度;并且90°是在基板11的中心法线和栅网9的中心法线以垂直角度彼此交叉的情况下所形成的角度。作为如此设置的角度,优选使用的角度在主要目的是对图案槽的底部进行蚀刻的情况下为10°~40°,并且在主要目的是去除元件的侧壁等上的再沉积膜或对侧壁进行蚀刻的情况下为30°~80°。
在本发明中,如上所述,在栅网9和基板11彼此平行的状态下,将基板11相对于栅网9的倾斜角度定义为0°。此外,基板11相对于基板11的面内的中心点对称,并且绕该中心点转动。由于该原因,在基板11相对于倾斜角度为0°的状态倾斜了预定角度的情况下,该角度在所有倾斜方向上均等同。更具体地,在倾斜角度为0°的状态下将某个方向定义为+并将相反方向定义为-的情况下,+30°的倾斜等同于-30°的倾斜。
因而,在本申请的说明书中,原则上将角度的值定义为正值。
注意,栅网9的中心法线是从圆形的栅网的中心点起沿垂直方向延伸的线。通常,基板11载置在基板11的中心法线与栅网9的中心法线交叉的位置。在栅网9具有例如正六边形或正八边形等的圆形以外的形状的情况下,中心点是连接对角的对角线的中心交叉点。在正五边形或正七边形的情况下,中心点是从各个顶点向相对边延伸的垂直线的交叉点。此外,在基板11相对于栅网9的中心法线偏移的情况下,栅网9的中心点也与基板11的偏移量相对应地偏移。
换言之,本发明中的栅网9的中心法线是沿着栅网9所引出的离子束的行进方向的线段。
这里,无需说明,上述的栅网9和基板11的中心点可以在偏差几乎不会对基板11的处理步骤产生影响的非常小的范围内改变。
从图案槽的延伸方向照射离子束使得可以减轻邻接图案的阴影的影响,由此在去除图案槽的底部上的再沉积膜的同时对精细图案进行加工。
接着,参考图4来说明本实施方式的离子束蚀刻装置100中所包括的并且被配置为控制上述各组成元件的控制装置20。图4是示出本实施方式中的控制装置的框图。
本实施方式中的控制装置20例如包括一般的计算机和各种驱动器。更具体地,控制装置20包括执行诸如计算、控制和判断等的各种处理操作的CPU(未示出)以及用以存储CPU要执行的各种控制程序的ROM或HDD(未示出)等。另外,控制装置20包括用以临时存储诸如利用CPU的处理操作中的数据和输入数据等的数据的诸如RAM、闪速存储器或SRAM等的非易失性存储器等(未示出)。利用该结构,控制装置20根据上述ROM等中所存储的给定程序或来自上位装置的命令来执行离子束蚀刻。根据这些命令来控制诸如放电时间、放电电力、向栅网的施加电压、工艺压力、以及基板保持件10的转动和倾斜等的各种工艺条件。此外,还可以获取诸如用于测量离子束蚀刻装置100内的压力的压力计(未示出)和作为用于检测基板的转动位置的位置检测部件的位置传感器14等的传感器的输出值,以使得可以根据装置的状态来控制离子束蚀刻。
此外,控制装置20包括保持件转动控制部21作为用于根据位置传感器14所检测到的转动位置来控制基板11的转动速度的转动控制部件。保持件转动控制部21包括目标速度计算部21a和驱动信号生成部21b,并且具有用于基于基板11的转动位置和栅网9之间的位置关系、通过根据基板的转动位置控制基板保持件10的转动部的转动来控制基板11的转动速度的功能。控制装置20被配置为从位置传感器14接收与基板11的转动位置有关的信息。在控制装置20接收到上述与转动位置有关的信息的情况下,目标速度计算部21a基于从检测基板11的转动位置的位置传感器14输出的当前转动位置的值来计算针对基板11的该当前转动位置的目标转动速度。例如,通过预先将基板11的转动位置和目标转动速度之间的对应关系存储为映射,可以进行目标转动速度的值的计算。基于目标速度计算部21a所计算出的目标转动速度,驱动信号生成部21b生成用于将转动速度调整为目标转动速度的驱动信号,并且将该驱动信号输出至转动驱动机构30。控制装置20被配置为将驱动信号生成部21b所生成的驱动信号发送至转动驱动机构30。
在图4的示例中,转动驱动机构30包括:诸如马达等的保持件转动驱动部31,其被配置为驱动基板保持件10;以及反馈控制部32,其被配置为基于目标值和从位置传感器14输出的实际值(转动位置或转动速度)之间的偏差来确定保持件转动驱动部31的操作值。转动驱动机构30通过使用伺服机构来驱动基板保持件10。然而,反馈控制不是本发明的必须组成,并且马达可以是DC马达和AC(交流)马达其中之一。转动驱动机构30通过基于从控制装置20接收到的驱动信号驱动保持件转动驱动部31来使基板保持件10转动。
接着,说明图2所示的本实施方式的离子束蚀刻装置100的作用和通过使用该装置所实现的离子束蚀刻方法。
作为根据本实施方式的离子束蚀刻装置100要处理的基板,如图5所示,准备如下基板,其中在该基板中,例如矩形图案以这些矩形图案的纵横两端彼此对齐的状态按一定间隔呈矩阵形式来形成。利用未示出的输送部件、例如邻接的真空输送室所配备的操作机器人,将基板11经由基板输送口16载置在处理空间1内的基板保持件10上。基板输送口16包括未示出的闸阀,并且该闸阀被配置为使处理空间1和邻接的真空输送室彼此隔离,使得这两者的环境不会彼此混合。对于所载置的基板11,通过使用缺口或定向平面来检测基板的转动开始位置。作为代替,以利用光学照相机等读取基板11所设置的对准标记的方式来检测转动开始位置。该转动开始位置可以是在基板11载置在基板保持件10上之前进行检测的,或者可以是在基板11载置在基板保持件10上之后进行检测的。在随后的离子束蚀刻中,基于基板11的转动开始位置的检测结果来进行针对与栅网9和基板11之间的位置关系相对应的基板11的转动速度的控制。
随后,将诸如Ar(氩)等的放电所用的气体从气体导入部5导入等离子体生成部2的内部。在进行反应离子束蚀刻的情况下,将诸如醇气体、烃类气体或碳氧化物气体等导入等离子体生成部2的内部。
之后,放电用电源12供给高频率电力,并且等离子体生成部2进行放电。然后,通过向栅网9施加电压,栅网9从等离子体生成部2引出离子以形成离子束。利用中和器13将栅网9所引出的离子束中和为电气中性。使呈中性的离子束照射基板保持件10上的基板11以进行离子束蚀刻。
在基板11载置在基板保持件10上的情况下,ESC电极进行工作以通过静电吸附使该基板固定。载置在基板保持件10上的基板11针对处理位置适当倾斜、例如相对于栅网9以20°倾斜。作为倾斜角度,通过考虑基板的图案状况、工艺气体、工艺压力和等离子体密度等来确定预定角度。
在载置有基板11的基板保持件10相对于栅网9倾斜之后,基板保持件10开始沿基板11的面内方向转动。位置传感器14检测基板11的转动位置,并且保持件转动控制部21根据所检测到的转动位置来进行控制,由此根据位置传感器14所检测到的转动位置来控制基板11的转动速度。
以下更详细地说明基板11的转动速度的控制。图6是用于说明本实施方式中的栅网9和基板11之间的位置关系以及基板11的相位的图。图7A是示出根据本实施方式的离子束蚀刻方法中的基板的转动速度的控制映射的说明图。
通过使用图5和6来说明本实施方式中的栅网9和基板11的转动位置之间的关系。基板11载置在可转动的基板保持件10上,并且在离子束蚀刻期间使基板保持件10相对于栅网9倾斜。这里,如图5所示,考虑矩形图案以这些图案的纵横两端彼此对齐的状态按一定间隔排列。更具体地,假定纵轴分别表示与从基板11的缺口15起穿过基板11的中心的线平行的轴,则这些图案以矩形图案的长边与纵轴对齐的状态排列在基板上。然后,如图6所示,使用缺口15作为基点来定义基板的转动相位(转动角)θ。具体地,离子束沿着图案的长边在槽的延伸方向上入射的转动相位θ在缺口15侧为0°,并且在相对侧为180°。另外,将离子束沿着图案的短边方向在槽的延伸方向上入射的转动相位θ从缺口15侧起沿顺时针方向定义为90°和270°。为了便于说明,这里定义了基板的转动起点、图案形状和图案排列方向,但这些不限于此。
在使用根据本实施方式的装置的离子束蚀刻方法的示例中,对基板的转动速度y进行控制,以使得如图7A和以下公式(1)所示,转动速度相对于基板的转动相位θ可以是正弦波。
y=Asin(4(θ-α))+B···(1)
A=a·B···(2)
更具体地,作为本发明的转动控制部件的保持件转动控制部21基于上述公式(1),将转动速度计算为相当于基板11的转动角θ的四倍周期的正弦函数。这里,A表示转动速度的大小,并且如公式(2)所示是基准速度B和变化率a的乘积。另外,α表示相位差。可以通过改变变化率a和相位差α来针对各离子束入射角使基板面内的蚀刻量和锥角的分布最优化。这里,基板的转动相位θ的范围为0°≤θ<360°。
在图7A的示例中,在将基准速度B设置为ω0、将变化率a设置为0以上的任意数值并且将相位差α设置为22.5°的情况下,示出相对于基板转动相位θ的基板转动速度y。在这种情况下,在基板11的缺口15位于0°、90°、180°和270°的位置的情况下,基板的转动频率(转动速度)变为最低。
这里,通过使用图7A以及图9A和9B来说明通过使转动速度根据转动相位改变所产生的具体作用和效果。
在图9A中,41表示光致抗蚀剂,并且42表示构成通过离子束蚀刻要处理的金属多层膜的最上面的上部电极。这里,41并非必须是光致抗蚀剂,而且可以在离子束蚀刻的工艺中能够用作掩模的任何事物。这里,考虑如图9B所示、通过离子束蚀刻从图9A的状态形成长方体形状的TMR元件40的情况。
这里,如图7A所示,在基板在沿着图案的长边的凹槽的延伸方向上的转动位置处(即,在缺口15所处的0°的转动位置处)与栅网9相对的情况下,基板转动速度下降。因而,离子束沿着图案的长边入射并且对图案之间的槽进行充分蚀刻。然后,基板的转动速度在基板转动了角度180°的位置处以相同方式降低。这样,蚀刻沿着图案的长边方向向下均匀地行进至图案槽的底部。对于作为图案槽的其它延伸方向的图案的短边方向,在转动位置为90°和270°的情况下转动速度降低,由此可以在抑制沉积物的同时,沿着图案向下执行蚀刻,直至槽的底部为止。因而,矩形图案的槽在沿着图案的槽的四个方向上暴露至离子束。结果,对矩形的外周的槽向下蚀刻直至底部。在该工艺中,如果在长边侧的槽和短边侧的槽之间蚀刻量改变、例如如果存在长边侧的槽浅而短边侧的槽深的形状差,则可以进一步降低长边侧的转动速度以增加离子束的入射量。结果,使槽的深浅变均匀并且可以均匀地对精细图案的形状进行加工。
在本实施方式中,可以将图7A所示的控制映射预先存储在控制装置20中所包括的诸如ROM等的存储器中。在如上所述将控制映射预先存储在存储器中的情况下,目标速度计算部21a可以按照如下进行工作。具体地,在从位置传感器14接收到与基板11的转动位置有关的信息的情况下,目标速度计算部21a参考图7A所示的并且存储在存储器中的上述控制映射,提取与基板11的当前转动角θ相对应的转动速度以获取目标转动速度,并且将所获取到的目标转动速度输出至驱动信号生成部21b。因而,在基板11以转动角θ为0°、90°、180°和270°与栅网9相对、并且从图案槽的延伸方向暴露至离子束的情况下,可以将基板11的转动速度控制得变为最低。另一方面,在基板11以转动角θ为45°、135°、225°和315°的状态配置并且从图案槽的非延伸方向暴露至离子束的情况下,可以将基板11的转动速度控制得变为最高。
可以以除图7A所示的正弦函数以外的方式改变基板保持件10的转动速度。例如,如图18所示,可以通过使用表示第一速度和比第一速度高的第二速度的两个值来改变转动速度。具体地,在基板11的转动角在0°~22.5°、67.5°~112.5°、157.5°~202.5°、247.5°~292.5°和337.5°~360°的范围内的情况下,将基板的转动速度设置为第一速度。另一方面,在基板11的转动角在22.5°~67.5°、112.5°~157.5°、202.5°~247.5°和292.5°~337.5°的范围内的情况下,将基板的转动速度设置为第二速度。
作为代替,可以逐级地改变转动速度,以使得基板11的转动速度可以在θ为0°、90°、180°和270°处变为最低,并且可以在θ为45°、135°、225°和315°处变为最高。
如上所述,在本实施方式中,重要的是可以通过使载置在基板保持件10上的基板11定位成相对于栅网9倾斜、并且通过降低基板的转动速度以使得来自图案槽的延伸方向的离子束照射量可以变大。为了使形状的均匀性良好,优选针对相对于基板11对称的转动位置(例如,在135°和315°处)设置相等的转动速度。图8示出从图案槽的延伸方向照射离子束的状态的示例。位于所排列的图案的最外周的图案相比内侧的图案往往蚀刻程度更大。为了进一步提高图案形状的均匀性,可以在图案的最外周形成虚设图案。
实施例1
图9A和9B是用于示出MRAM要使用的并且各自包括上下电极的TMR元件的说明图。如图9B所示,TMR元件40的基本层结构包括上部电极42、磁化自由层43、隧道势垒层44、磁化固定层45、反铁磁性层46和下部电极47。例如,磁化固定层由铁磁性材料制成,隧道势垒层由金属氧化物(氧化镁或氧化铝等)绝缘材料制成,并且磁化自由层由铁磁性材料制成。
通过以下步骤形成TMR元件40:通过诸如溅射等的沉积方法在基板上堆叠上述金属膜;如图9A所示,在所堆叠的金属膜(在这种情况下,最上层是上部电极42)上对光致抗蚀剂41进行图案化;并且使该图案化转印至金属膜,由此如图9B所示,通过离子束蚀刻对TMR元件进行加工。使用本实施方式的离子束蚀刻装置和离子束蚀刻方法使得能够通过抑制蚀刻产物再沉积在图案的底部上来进行与密集排列的TMR元件的精细图案的元件分离。
第二实施方式
在第一实施方式中,如上所述,调整从栅网9向基板11的离子束的入射角度,并且将基板保持件10的转动速度控制得变低,以使得来自图案槽的延伸方向的离子束照射量可以变大。基板保持件10的转动方法可以是连续转动或非连续脉冲转动。在本实施方式中,说明非连续脉冲转动的模式。
图7A是根据第一实施方式的基板保持件10连续转动并且控制基板保持件10的转动速度的情况的说明图。图7B是根据本实施方式的基板保持件10非连续转动并且控制基板转动的转动停止时间的情况的说明图。
在基板保持件10连续转动的情况下,保持件转动控制部21根据公式(1)生成驱动信号,其中如图7A所示,该信号用于连续改变基板11的转动速度(角速度ω),以使得在基板11(在一个周期内)进行一次转动期间,可以对基板11的转动速度进行四周期调制。换句话说,保持件转动控制部21控制基板保持件10的转动,以使得基板11可以连续转动。在图7A中,f0表示来自栅网9的离子束的基准照射量,并且ω0表示基准角速度。
另一方面,在基板11(基板保持件10)非连续(按时钟形式)转动的情况下,保持件转动控制部21如图7B所示控制转动停止时间s。更具体地,保持件转动控制部21控制基板保持件10的转动,以使得例如基板11可以停止以多个预定转动角的转动、并且基板保持件10的转动部可以以除预定转动角以外的转动角按固定角速度(转动速度)进行转动。在这种控制下,对基板11的转动速度进行控制,以使得使基板11非连续转动。顺便提及,基板保持件10的转动部的转动速度如上所述可以是固定的,或者可以改变。这里,在纵轴上设置转动速度(角速度ω)并且在横轴上设置时间t的情况下,利用“转动停止时间s”来表示角速度为0的时间段。换句话说,转动停止时间s表示在基板保持件10非连续转动的情况下基板保持件10的转动停止的时间段。这里,S0是基准转动停止时间。
此外,在本实施方式中,与第一实施方式相同,本质特征是使载置在基板保持件上的基板定位成相对于栅网9倾斜,并且使来自图案槽的延伸方向的离子束照射量变大。如上所述,在栅网9位于图案槽的延伸方向侧的情况下,使基板的转动停止时间变长,由此可以获得与第一实施方式的效果相同的效果。在本实施方式中,在基板11(基板保持件10)进行一次转动期间,以正弦方式对在栅网9位于沿着图案的长边侧延伸的方向侧以及沿着图案的短边侧延伸的方向侧的情况下的转动停止时间进行四周期调制,由此使图案槽的延伸方向侧(基板转动位置为0°、90°、180°和270°)的转动停止时间变长。另一方面,使栅网9位于图案槽的非延伸方向侧的情况下的停止时间变短。因而,使来自图案槽的延伸方向侧的离子束照射量相比来自图案槽的非延伸方向的离子束照射量变大。如果在长边方向的槽和短边方向的槽之间蚀刻量改变、例如如果存在长边侧的槽浅而短边侧的槽深的形状差,则使长边侧的转动停止时间进一步变长以增加离子束的照射量。结果,使槽的深度变均匀并且可以对精细图案的形状进行均匀加工。为了使形状的均匀性良好,优选将以基板11为中心呈对称的转动位置(例如,在135°和315°处)处的转动停止时间设置成相等。
第三实施方式
在第一实施方式和第二实施方式中,说明了对基板保持件10的转动速度进行控制的模式。作为代替,在本实施方式中,通过控制从放电用电源12向等离子体生成部件的供给电力以控制向着基板的离子束的入射量,来对精细图案之间的槽进行加工。具体地,在离子束蚀刻中,使离子束的照射量与等离子体生成部2所生成的等离子体的等离子体密度相关,并且可以通过改变向等离子体生成部件的供给电力来改变等离子体生成部2的等离子体密度。因而,可以根据基板11的角度相位来改变离子束的照射量。
此外,在本实施方式中,与第一实施方式相同,本质特征是使载置在基板保持件上的基板定位成相对于栅网9倾斜,并且使来自图案槽的延伸方向的离子束照射量变大。
图10是根据本实施方式的控制装置20的框图。在本实施方式中,控制装置20包括作为电力控制部件的功率控制部60,其中该电力控制部件用于根据位置传感器14所检测到的转动位置来控制要供给至等离子体生成部件的功率(电力)。功率控制部60包括目标功率计算部60a和输出信号生成部60b。功率控制部60具有用以基于基板11的转动位置和栅网9之间的位置关系来控制向等离子体生成部件的功率(电力)的功能。
控制装置20被配置为从位置传感器14接收与基板保持件10的转动位置有关的信息。在控制装置20接收到与转动位置有关的信息的情况下,目标功率计算部60a基于从检测基板保持件10的转动位置的位置传感器14输入的基板保持件10的当前转动位置的值来计算针对该位置的目标功率(目标电力)。例如,通过预先将基板保持件10的转动位置和目标功率之间的对应关系作为映射存储到控制装置20中所包括的存储器等中,可以进行目标功率的值的计算。基于目标功率计算部60a所计算出的目标功率,输出信号生成部60b生成用于调整为该目标功率的输出信号,并且将该输出信号输出至电源12。控制装置20被配置为将输出信号生成部60b所生成的输出信号发送至电源12。
注意,在图10的示例中,电源12包括:功率输出部12b,其被配置为向等离子体生成部件供给电力;以及反馈控制部12a,其被配置为基于目标值和从位置传感器14输出的实际值(转动位置或转动速度)之间的偏差来确定功率输出部12b的操作值。然而,反馈控制不是本发明的必要组成。
此外,在本实施方式中,基板保持件的转动方法可以与第一实施方式相同是连续转动、或者可以与第二实施方式相同是非连续脉冲转动。
图11A是根据本实施方式的对等离子体生成部件的供给电力进行控制并且基板(基板保持件)连续转动的情况的说明图。图11B是根据本实施方式的对等离子体生成部件的供给电力进行控制并且基板(基板保持件)非连续转动的情况的说明图。在基板的非连续转动的情况下,可以在向等离子体生成部件的供给电力固定的情况下,通过改变转动停止时间来进行与转动角θ相对应的离子束照射量的控制。
在根据图11A和11B的实施方式中,功率控制部60能够通过使用与公式(1)相同的四倍周期正弦函数,来计算与基板11的转动角θ相对应的放电用功率。更具体地,功率控制部60在基板11(基板保持件10)(在一个周期内)进行一次转动期间,生成用于对等离子体生成部件的供给电力进行四周期调制的输出信号。在该处理中,等离子体生成部件的供给电力可以平滑且连续地改变,或者可以逐级地改变。如图11A和11B所示,功率控制部60可以控制放电用电源12,以使得以栅网9与图案槽的延伸方向侧相对的转动角θ=0°、90°、180°和270°所供给的功率(电力)可以达到最大值以使基板11的离子束入射量最大,而使得以除上述转动角以外的某些转动角所供给的功率(电力)可以达到最小值以使基板11的离子束入射量最小。
因而,在本实施方式中,可以通过使载置在基板保持件上的基板被定位成相对于栅网9倾斜、并且通过对放电用电源12进行控制以使得来自功率控制部60的供给电力增大而使来自图案槽的延伸方向的离子束照射量变大,来产生本发明的效果。另外,为了使形状的均匀性良好,优选将以基板11为中心呈对称的转动位置(例如,在135°和315°处)的施加电压设置成相等。
第四实施方式
在第三实施方式中,说明了通过控制等离子体生成部件的供给电力来提高被处理面的均匀性的方法。在本实施方式中,在改变束引出电压的情况下对精细图案之间的槽进行加工。在离子束蚀刻中,在等离子体生成部2中生成等离子体之后,通过利用施加至栅网9的电压引出等离子体生成部2的离子来形成束。在这方面,从等离子体生成部2中引出的离子束的能量依赖于束引出电压,因此在根据基板的转动相位改变电压的情况下对精细图案之间的槽进行加工。
图3示出图2中的栅网9的放大图。通过使用图3来说明本实施方式中的束引出电压。
在图3中,上侧是等离子体生成部2,并且下侧是处理空间1。栅网9包括从等离子体生成部2侧观看的情况下依次配置的第一电极70、第二电极71和第三电极72。图3示出利用电极从等离子体生成部2中所生成的等离子体引出离子并由此形成离子束的状态。利用第一电极用电源73向第一电极70施加正电压。利用第二电极用电源74向第二电极71施加负电压。由于向第一电极70施加正电压,因此由于与第一电极70的电位差而使离子加速。
第三电极72还被称为接地电极并且接地。通过对第二电极71和第三电极72之间的电位差进行控制,可以通过使用静电透镜效应将离子束的离子束直径控制在预定数值范围内。
在本实施方式中,基板保持件和第三电极通常处于接地电位。由于该原因,根据施加于第一电极的正电压来确定离子束能量。因此,在本实施方式中,施加于第一电极的电压是束引出电压。以下说明在通过改变施加至第一电极的电压来改变束引出电压的情况下的实施方式。
此外,在本实施方式中,与所有其它实施方式相同,必要特征是使载置在基板保持件10上的基板11被定位成相对于栅网9倾斜、并且使来自图案槽的延伸方向的离子束照射量变大。
图12是根据本实施方式的控制装置20的框图。在本实施方式中,控制装置20包括作为电压控制部件的施加电压控制部80,其中该电压控制部件用于根据位置传感器14所检测到的转动位置来控制要施加至第一电极70的电压(束引出电压)。施加电压控制部80包括目标电压计算部80a和输出信号生成部80b,并且具有用以基于基板11的转动相位和栅网9之间的位置关系来控制第一电极70的施加电压的功能。
控制装置20被配置为从位置传感器14接收与基板保持件10的转动位置有关的信息。在控制装置20接收到与转动位置有关的信息的情况下,目标电压计算部80a基于从检测基板保持件10的转动相位的位置传感器14所输入的基板保持件10的当前转动相位的值来计算针对该位置的目标电压。例如,通过将基板保持件10的转动位置和目标电压之间的对应关系作为映射预先存储在控制装置20中所包括的存储器等中,可以进行目标电压的值的计算。基于目标电压计算部80a所计算出的目标功率,输出信号生成部80b生成用于将施加电压调整为目标电压的输出信号,并且将该输出信号输出至第一电极用电源73。控制装置20被配置为将输出信号生成部80b所生成的输出信号发送至第一电极用电源73。
注意,在图12的示例中,第一电极用电源73包括:施加电压输出部73b,其被配置为向第一电极70施加电压;以及反馈控制部73a,其被配置为基于目标值和从位置传感器14输出的实际值(转动位置或转动速度)之间的偏差来确定施加电压输出部73b的操作值。然而,反馈控制不是本发明的必要构成。
此外,在本实施方式中,基板保持件的转动方法可以与第一实施方式相同是连续转动、或者可以与第二实施方式相同是非连续脉冲转动。
图13A是根据本实施方式的对束引出电压(即,第一电极70的施加电压)进行控制并且基板(基板保持件)连续转动的情况的说明图。图13B是根据本实施方式的对栅网9的施加电压进行控制并且基板(基板保持件)非连续转动的情况的说明图。在基板非连续转动的情况下,可以通过在栅网9的施加电压固定的状态下改变转动停止时间,来进行针对与转动角θ相对应的离子束照射量的控制。
在根据图13A和13B的实施方式中,施加电压控制部80能够通过使用与公式(1)相同的四倍周期正弦函数,来计算与基板11的转动角θ相对应的施加电压。更具体地,施加电压控制部80在基板11(基板保持件10)(在一个周期内)进行一次转动期间,生成用于对束引出电压进行四周期调制的输出信号。在该处理中,束引出电压可以平滑且连续地改变,或者可以逐级地改变。例如,如图13A和13B所示,施加电压控制部80可以按照如下控制第一电极用电源73。具体地,可以将栅网9位于图案槽的延伸方向侧的转动角θ=0°、90°、180°和270°处的向第一电极70施加的电压控制为达到最大值以使离子束能量最大,由此使来自图案槽的延伸方向的离子束入射量变大。另一方面,可以将在栅网9位于图案槽的非延伸方向侧的情况下向第一电极70施加的电压控制为达到最小值以使离子束能量最小。在使离子束能量最小的情况下,可以将要施加至栅网9的电压设置为零以停止向基板11的离子束的照射。
这样,在本实施方式中,可以通过使载置在基板保持件上的基板定位成相对于栅网9倾斜、并且通过施加电压控制部80控制第一电极用电源73的施加电压以使得可以使来自图案槽的延伸方向的离子束照射量变大,来产生本发明的效果。另外,为了使形状的均匀性良好,优选将以基板11为中心呈对称的转动位置(例如,在135°和315°处)的供给电力设置成相等。
在本实施方式中,通过改变要施加至第一电极的电压来改变束引出电压。然而,可以利用其它方法来改变束引出电压。例如,可以通过将比第一电极的正电压低的正电压施加至第三电极以使施加至第三电极的电压改变,来改变束引出电压。作为代替,可以通过改变要施加至基板保持件的电压来改变离子束入射到基板上时的能量。
此外,在本实施方式中,栅网9不必包括三个电极。这是因为,如上所述,本实施方式的本质是根据基板的转动相位来改变离子束的能量。
第五实施方式
可以将本发明的实施方式与另一蚀刻方法组合。以下说明将本发明与反应离子蚀刻(RIE)组合的示例。作为RIE的蚀刻部件,已知有使用平行平板电极所产生的电容耦合等离子体的蚀刻装置和使用天线线圈所产生的电感耦合等离子体的蚀刻装置。RIE的优点在于:不同于IBE,RIE没有限制离子的入射角,因此能够通过将离子引入精细图案之间的间隙来对被处理物体进行蚀刻。然而,在诸如MRAM所用的TMR元件等的上述包括金属膜的结构的情况下,离子的物理蚀刻往往相对于通过化学反应的蚀刻占主导。通过物理蚀刻所去除的磁性金属难以挥发,并且再沉积到TMR元件的侧壁上。由于该原因,由于与传统的IBE加工方法相同、蚀刻产物残留在图案槽的底部上,因此难以进行针对精细图案的RIE加工。
如果在RIE加工之后进行根据本发明的实施例方式的IBE加工,则可以通过裁切效果去除由于RIE而再沉积在图案的侧壁上的蚀刻产物,或者可以对难以加工的图案槽的底部进行加工。可以通过使用被配置为检测等离子体光的波长的发光分析装置进行端点检测来得知用于在RIE和IBE之间进行切换的时刻。在对于RIE和IBE使用不同装置的情况下,可以使用安装在不同场所处的装置来在进行RIE加工之后、利用根据本发明的实施方式的IBE对精细图案进行加工,或者可以使用共通的输送路径以在不存在破坏真空的状态下进行这些处理。
第六实施方式
通过使用本发明的实施方式,可以在均匀性良好的情况下对另一装置所处理的精细图案进行进一步裁切。可以通过改变供给至图2所示的电磁体8的电流来改变等离子体的密度分布。具体地,等离子体密度分布的调整使用螺线管作为电磁体8,并且该螺线管被安装成如图2所示围绕钟罩的外周。螺线管连接至未示出的DC电源。在电流流向螺线管的情况下,螺线管根据安培环路定律(Ampère's circuital law)来产生磁场,并且形成可以使电子呈同心圆状从等离子体生成部的中心向外侧飞散的磁力线。在向螺线管供给小电流的情况下,等离子体密度趋于在中心处变高。在流向螺线管的电流的值增加时,使等离子体密度分布以向外扩散的方式平坦化。通过使用原子力显微镜、光学测量或扫描电子显微镜等来针对基板面内的膜厚度分布趋势分析另一装置所加工的精细图案,并且基于该分析结果来调整流向电磁体8的电流。例如,考虑RIE加工后的精细图案在基板的中央部处的膜厚度大,并且在基板的外周部处的膜厚度小。在这种情况下,以中心处的等离子体密度可以较高而外周部处的等离子体密度可以较低的方式对流向电磁体的电流进行调整。由于与等离子体密度成比例地确定栅网9所引出的离子束中的粒子数量,因此离子密度高的中心部处的蚀刻速率高。这样,可以通过将本发明与使用其它蚀刻方法的蚀刻处理进行组合来校正精细图案的加工之后的偏差。图2所示的电磁体8是单独设置的。作为代替,可以向电磁体8的外侧添加电磁体,并且可以通过使用内侧和外侧的多个电磁体之间的相互作用来调整等离子体密度。
第七实施方式
在本发明的实施方式中,在改变入射角度的同时进行蚀刻。该蚀刻使得能够从多个方向去除再沉积膜,并且提高裁切效果。在本实施方式中,使载置在基板保持件上的基板11定位成相对于栅网9倾斜,以使得可以使离子束的入射角度与图案槽对准。另外,在使基板的倾斜角度改变(例如,倾斜角度从30°改变为20°)的同时,向该基板照射离子束。通过改变基板的倾斜角度,离子束的入射角度改变,从而使得更容易对图案的侧壁和槽的底部进行裁切。
通过使用图16A和16B来提供更详细说明。图16A示出离子束按预定倾斜入射到基板11上的状态。在图16B中,相比图16A的离子束,在更垂直于基板11的方向上进行离子束的照射。在更垂直的方向上照射离子束,使得能够以与图16A的离子束的角度不同的角度对元件J进行蚀刻。更具体地,在本实施方式中,在基板11保持处于第一倾斜角度(例如,图16A的状态)的情况下,开始离子束照射。然后,在基板11进行了预定次数的转动之后,基板改变为以不同于第一倾斜角度的第二倾斜角度进行保持(例如,图16B的状态),并且连续进行离子束照射。要切换的倾斜角度不限于两个,而且可以是三个以上。
此外,还进行来自更垂直的方向的离子束照射,以使得如上述实施方式那样,来自图案槽的延伸方向的离子束照射量可以变大。如图16B所示,这样使得能够对元件J的侧壁高效地照射离子束。更具体地,在图16A的状态下,离子束从几乎平行于元件J的侧壁的方向入射,并且利用邻接元件来限制从与元件J的侧壁垂直的方向的入射。另一方面,在图16B的状态下,离子束的入射角度更加垂直,因此可以增加来自与元件J的侧壁垂直的方向的离子束入射量。此外,通过增加来自图案槽的延伸方向的离子束的照射量,可以使来自与元件J的侧壁垂直的方向的离子束的入射量大于来自其它方向的离子束的入射量。结果,可以进行高效裁切。基板的倾斜在预定次数的转动期间可以是固定的,或者可以通过切换基板来按较短的间隔进行切换。
第八实施方式
上述第七实施方式示出在使基板11转动了预定次数以上之后改变基板1相对于栅网9的倾斜角度的模式。
相比之下,在本实施方式中,连同第一实施方式中的基板11的转动速度一起,改变基板11相对于栅网9的倾斜角度。以下通过使用图19来详细说明本实施方式。
图19示出基板11的转动速度根据其转动位置如何改变。另外,基板11相对于栅网9的倾斜角度Φ以40°作为基准在20°~60°的范围内改变。优选地,Φ在基板11的转动速度变为最低的情况下变为最大,并且在基板11的转动速度变为最高的情况下变为最小。通过进行这种控制,在离子束沿着基板11的图案槽入射的情况下,蚀刻可以高效地去除附着至元件的侧壁的再沉积膜等。另一方面,在难以进行离子束的入射的状态下,使离子束以更接近垂直的角度入射,由此可以在抑制邻接元件的阴影的影响的同时进行蚀刻。
第九实施方式
第二实施方式示出通过使转动停止时间相对于基板的相位以正弦函数的形式改变来使入射到基板上的离子束的能量以正弦函数的形式改变的情况。相比之下,在本实施方式中,仅在栅网9位于图案槽的延伸方向的附近的状态下才停止基板转动。
图21示出基板11的转动停止时间根据转动位置如何改变。在本实施方式中,基板转动以栅网9位于图案槽的延伸方向上的转动角0°、90°、180°和270°附近的预定转动角停止。在照射了固定时间段的离子束之后,再次开始基板转动。在真实情况中,元件分离之后的TMR元件的侧壁相对于基板具有特定倾斜角,并且入射到基板上的离子束发散。由于该原因,即使在实现本实施方式的情况下,也可以向元件的侧壁上的再沉积膜照射离子束。
可以进一步将仅在栅网9位于图案槽的延伸方向的情况下基板的转动的停止与如第三实施方式或第四实施方式所述的离子束的照射量或离子束的电压的变化组合。在这种情况下,仅在栅网9位于图案槽的延伸方向的情况下,使入射到基板上的离子束的能量变大,否则使离子束的能量变小。
此外,本实施方式可以与如第一实施方式那样的转动速度的变化相组合、或者可以与如第七实施方式或第八实施方式那样的基板的倾斜角度的变化相组合。
此外,在栅网9位于图案槽的延伸方向的附近的状态下,可以在略微改变基板保持件10的转动相位的同时进行离子束蚀刻。例如,在基板转动在转动角0°、90°、180°和270°附近的各个预定转动角处停止之后,可以在使基板保持件10的转动角在预定转动角的±10°的范围内进行振动的情况下向基板11照射离子束。伴随有基板保持件10的微小移动的这种处理使得能够对基板的表面进行更加均匀地加工。
可以在没有背离本发明的精神的范围内对以上本发明的各实施方式进行各种改变。
除以上所示的长方体形状的图案以纵横两端彼此对齐的状态排列、以使得图案槽以垂直角度彼此交叉以外,上述各实施方式还可应用于如图14所示的离散磁道介质或高宽比较大的线-空间形式、或者可应用于如图15所示的不仅采用正弦波形式还采用矩形波形式、三角波形式和梯形波形式等的任何形式的基板的处理面。
另外,上述各实施方式还可应用于如图20所示的以两端与倾斜方向对齐的状态排列的长方体形状的图案。在这种情况下,沿着图案槽的方向D以除垂直角度以外的预定角度彼此倾斜交叉。上述各实施方式不仅可用于长方体形状的图案而且还可用于圆柱形状的图案。
本发明的各实施方式除以上例示的MRAM所用的TMR元件以外,还可用于多个方面:诸如HDD用磁头、HDD用磁性记录介质、磁性传感器、薄膜太阳能电池、发光元件、压电元件和半导体元件的布线形成等。

Claims (8)

1.一种离子束处理方法,用于通过使用利用栅网从等离子体源所引出的离子束来对基板保持件上载置的基板进行处理,所述离子束处理方法包括以下步骤:
在使位置相对于所述栅网倾斜的所述基板沿所述基板的面内方向转动的同时对所述基板进行离子束蚀刻的情况下,进行离子束处理,从而使从所述基板上所形成的图案槽的延伸方向侧入射的离子束的蚀刻量大于从其它方向侧入射的离子束的蚀刻量。
2.根据权利要求1所述的离子束处理方法,其特征在于,使所述栅网位于所述基板上所形成的图案槽的延伸方向侧的情况下的所述基板的转动速度慢于所述栅网不位于所述基板上所形成的图案槽的延伸方向侧的情况下的所述基板的转动速度。
3.根据权利要求1所述的离子束处理方法,其特征在于,所述基板的转动涉及重复进行所述基板的转动和转动的停止,以及
使所述栅网位于所述基板上所形成的图案槽的延伸方向侧的情况下的所述基板的转动停止时间长于所述栅网不位于所述基板上所形成的图案槽的延伸方向侧的情况下的所述基板的转动停止时间。
4.根据权利要求1所述的离子束处理方法,其特征在于,通过控制要施加至所述栅网的电压,使所述栅网位于所述基板上所形成的图案槽的延伸方向侧的情况下的离子束的能量高于所述栅网不位于所述基板上所形成的图案槽的延伸方向侧的情况下的离子束的能量。
5.根据权利要求1所述的离子束处理方法,其特征在于,通过控制要施加至所述等离子体源的电力,使所述栅网位于所述基板上所形成的图案槽的延伸方向侧的情况下的离子束中的离子密度高于所述栅网不位于所述基板上所形成的图案槽的延伸方向侧的情况下的离子束中的离子密度。
6.根据权利要求1至5中任一项所述的离子束处理方法,其特征在于,使离子束从所述基板上所形成的图案槽的延伸方向侧入射的情况下的所述基板相对于所述栅网的倾斜角度大于离子束从其它方向侧入射的情况下的所述基板相对于所述栅网的倾斜角度。
7.一种离子束处理装置,包括:
等离子体源;
栅网,其被配置为从所述等离子体源引出离子束;
基板保持件,其能够使基板相对于所述栅网倾斜而载置,并且能够沿所述基板的面内方向转动;
控制部,其被配置为控制所述基板保持件上的所述基板的转动;以及
位置检测部,其被配置为检测所述基板的转动位置,
其中,所述控制部基于所述位置检测部所获得的检测结果,使所述栅网位于所述基板上所形成的图案槽的延伸方向侧的情况下的所述基板保持件的转动速度慢于所述栅网不位于所述基板上所形成的图案槽的延伸方向侧的情况下的所述基板保持件的转动速度。
8.根据权利要求7所述的离子束处理装置,其特征在于,
所述控制部基于所述位置检测部所获得的检测结果,使所述栅网位于所述基板上所形成的图案槽的延伸方向侧的情况下的所述基板相对于所述栅网的倾斜角度大于所述栅网位于其它方向侧的情况下的所述基板相对于所述栅网的倾斜角度。
CN201380044482.4A 2012-06-29 2013-03-14 离子束处理方法和离子束处理装置 Active CN104584196B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2012-146858 2012-06-29
JP2012146858 2012-06-29
PCT/JP2013/001724 WO2014002336A1 (ja) 2012-06-29 2013-03-14 イオンビーム処理方法およびイオンビーム処理装置

Publications (2)

Publication Number Publication Date
CN104584196A CN104584196A (zh) 2015-04-29
CN104584196B true CN104584196B (zh) 2017-02-22

Family

ID=49782545

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201380044482.4A Active CN104584196B (zh) 2012-06-29 2013-03-14 离子束处理方法和离子束处理装置

Country Status (8)

Country Link
US (2) US9984854B2 (zh)
JP (1) JP5932033B2 (zh)
KR (1) KR101654661B1 (zh)
CN (1) CN104584196B (zh)
DE (1) DE112013003293B4 (zh)
GB (1) GB2518085B (zh)
TW (1) TWI594309B (zh)
WO (1) WO2014002336A1 (zh)

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9773973B2 (en) 2012-11-26 2017-09-26 Canon Anelva Corporation Process for producing magnetoresistive effect element and device producing method
US9480140B2 (en) * 2014-11-21 2016-10-25 Applied Materials, Inc. Material modification by neutral beam source with selected collision angle
US9666792B2 (en) * 2015-08-12 2017-05-30 Qualcomm Incorporated Shadow-effect compensated fabrication of magnetic tunnel junction (MTJ) elements
JP6613207B2 (ja) * 2015-11-13 2019-11-27 東京エレクトロン株式会社 被処理体をエッチングする方法
US10381231B2 (en) * 2016-06-21 2019-08-13 Veeco Instruments Inc. Ion beam etching
KR102595286B1 (ko) * 2016-09-05 2023-10-31 삼성전자주식회사 시간에 따라 연속적으로 제어되는 파라미터를 포함하는 이온 빔 장비, 이를 이용한 패턴 형성 방법, 및 이를 이용한 자기 기억 소자의 제조방법
US10229832B2 (en) * 2016-09-22 2019-03-12 Varian Semiconductor Equipment Associates, Inc. Techniques for forming patterned features using directional ions
KR20180083027A (ko) 2017-01-11 2018-07-20 삼성전자주식회사 반도체 소자의 패턴 형성 방법
KR102491093B1 (ko) * 2017-08-21 2023-01-20 삼성전자주식회사 패턴 형성 방법
KR102248322B1 (ko) * 2017-11-10 2021-05-04 가부시키가이샤 알박 진공 장치, 흡착 장치, 도전성 박막 제조 방법
KR102595297B1 (ko) * 2018-02-23 2023-10-31 삼성전자주식회사 미세 패턴 형성 방법
US11227741B2 (en) * 2018-05-03 2022-01-18 Plasma-Therm Nes Llc Scanning ion beam etch
US20200176232A1 (en) * 2018-12-04 2020-06-04 Nanya Technology Corporation Etching device and operating method thereof
DE102019200761A1 (de) * 2019-01-22 2020-07-23 TRUMPF Hüttinger GmbH + Co. KG Verfahren zur Kompensation von Prozessschwankungen eines Plasmaprozesses und Regler für einen Leistungsgenerator zur Versorgung eines Plasmaprozesses
TWI810362B (zh) * 2019-09-09 2023-08-01 聯華電子股份有限公司 形成可變電阻式記憶體單元的方法
US11043632B2 (en) * 2019-09-17 2021-06-22 Headway Technologies, Inc. Ion beam etching process design to minimize sidewall re-deposition
WO2021108294A2 (en) 2019-11-27 2021-06-03 Applied Materials, Inc. Processing chamber with multiple plasma units
US11721542B2 (en) 2019-11-27 2023-08-08 Applied Materials, Inc. Dual plasma pre-clean for selective gap fill
CN113808935B (zh) * 2020-06-16 2023-12-15 中微半导体设备(上海)股份有限公司 耐腐蚀涂层形成方法和装置、等离子体零部件和反应装置
WO2022174187A2 (en) * 2021-02-15 2022-08-18 E.A. Fischione Instruments, Inc. System and method for uniform ion milling

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6238582B1 (en) * 1999-03-30 2001-05-29 Veeco Instruments, Inc. Reactive ion beam etching method and a thin film head fabricated using the method
US8133804B1 (en) * 2010-10-01 2012-03-13 Varian Semiconductor Equipment Associates, Inc. Method and system for modifying patterned photoresist using multi-step ion implantation

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5750436A (en) * 1980-09-12 1982-03-24 Fujitsu Ltd Manufacture of semiconductor device
JP2000243340A (ja) * 1999-02-22 2000-09-08 Hitachi Ltd イオンビーム加工方法及びその装置
US6677599B2 (en) * 2000-03-27 2004-01-13 Applied Materials, Inc. System and method for uniformly implanting a wafer with an ion beam
WO2005031838A1 (ja) * 2003-09-30 2005-04-07 Japan Aviation Electronics Industry Limited 固体表面の平坦化方法及びその装置
CN100440450C (zh) 2003-09-30 2008-12-03 日本航空电子工业株式会社 固体表面平坦化方法及其装置
US8481981B2 (en) 2006-10-30 2013-07-09 Japan Aviation Electronics Industry, Limited Method of smoothing solid surface with gas cluster ion beam and solid surface smoothing apparatus
JP2008218829A (ja) 2007-03-06 2008-09-18 Toshiba Corp 磁気抵抗素子及びその製造方法
JP5246474B2 (ja) * 2008-02-08 2013-07-24 Tdk株式会社 ミリング装置及びミリング方法
WO2009107485A1 (ja) * 2008-02-27 2009-09-03 キヤノンアネルバ株式会社 磁気抵抗効果素子の製造方法及び製造装置
JP5401089B2 (ja) * 2008-12-15 2014-01-29 東京エレクトロン株式会社 異物除去方法及び記憶媒体
CN102396052B (zh) * 2009-02-06 2014-06-18 佳能安内华股份有限公司 等离子体处理装置、等离子体处理方法以及包括待处理基板的元件的制造方法
KR102083955B1 (ko) * 2010-06-25 2020-03-03 캐논 아네르바 가부시키가이샤 스퍼터링 장치, 박막증착 방법 및 컨트롤 디바이스
TWI525698B (zh) 2011-10-31 2016-03-11 Canon Anelva Corp 磁性膜之離子束蝕刻方法及離子束蝕刻裝置
JP6271235B2 (ja) 2013-01-24 2018-01-31 キヤノンアネルバ株式会社 フィンfetの製造方法およびデバイスの製造方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6238582B1 (en) * 1999-03-30 2001-05-29 Veeco Instruments, Inc. Reactive ion beam etching method and a thin film head fabricated using the method
US8133804B1 (en) * 2010-10-01 2012-03-13 Varian Semiconductor Equipment Associates, Inc. Method and system for modifying patterned photoresist using multi-step ion implantation

Also Published As

Publication number Publication date
TWI594309B (zh) 2017-08-01
GB2518085A (en) 2015-03-11
JPWO2014002336A1 (ja) 2016-05-30
JP5932033B2 (ja) 2016-06-08
DE112013003293T5 (de) 2015-05-13
KR101654661B1 (ko) 2016-09-07
KR20150022975A (ko) 2015-03-04
US10546720B2 (en) 2020-01-28
TW201415545A (zh) 2014-04-16
WO2014002336A1 (ja) 2014-01-03
GB2518085B (en) 2017-03-01
US20180240646A1 (en) 2018-08-23
US20150090583A1 (en) 2015-04-02
DE112013003293B4 (de) 2020-09-24
US9984854B2 (en) 2018-05-29
CN104584196A (zh) 2015-04-29

Similar Documents

Publication Publication Date Title
CN104584196B (zh) 离子束处理方法和离子束处理装置
US10636634B2 (en) Sputtering apparatus, film deposition method, and control device
US10388491B2 (en) Ion beam etching method of magnetic film and ion beam etching apparatus
JP6053819B2 (ja) 磁気抵抗効果素子の製造方法
CN101868561B (zh) 溅射装置以及成膜方法
US7504135B2 (en) Method of fabricating a manganese diffusion barrier
Gierak et al. Exploration of the ultimate patterning potential achievable with high resolution focused ion beams
JP2010146968A (ja) 電子スピン検出器並びにそれを用いたスピン偏極走査電子顕微鏡及びスピン分解光電子分光装置
Gierak et al. Exploration of the ultimate patterning potential achievable with focused ion beams
Prewett Focused ion beams in microfabrication
TWI794502B (zh) 用於表面平坦化之方法
Ge Microfabrication of surface electrode ion traps for quantum manipulation
Golod Hybrid superconductor junctions with diluted PtNi ferromagnetic interlayer
JPH03160719A (ja) エッチング装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant