JP2002009071A - フッ素含有材料及び処理 - Google Patents

フッ素含有材料及び処理

Info

Publication number
JP2002009071A
JP2002009071A JP2001131535A JP2001131535A JP2002009071A JP 2002009071 A JP2002009071 A JP 2002009071A JP 2001131535 A JP2001131535 A JP 2001131535A JP 2001131535 A JP2001131535 A JP 2001131535A JP 2002009071 A JP2002009071 A JP 2002009071A
Authority
JP
Japan
Prior art keywords
fluorine
film
process according
source
sif
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2001131535A
Other languages
English (en)
Inventor
Michael A Todd
トッド、マイケル・エー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM Japan KK
Original Assignee
ASM Japan KK
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM Japan KK filed Critical ASM Japan KK
Publication of JP2002009071A publication Critical patent/JP2002009071A/ja
Pending legal-status Critical Current

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/60Deposition of organic layers from vapour phase
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/62Plasma-deposition of organic layers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D5/00Processes for applying liquids or other fluent materials to surfaces to obtain special surface effects, finishes or structures
    • B05D5/08Processes for applying liquids or other fluent materials to surfaces to obtain special surface effects, finishes or structures to obtain an anti-friction or anti-adhesive surface
    • B05D5/083Processes for applying liquids or other fluent materials to surfaces to obtain special surface effects, finishes or structures to obtain an anti-friction or anti-adhesive surface involving the use of fluoropolymers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • H01L21/0212Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC the material being fluoro carbon compounds, e.g.(CFx) n, (CHxFy) n or polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02131Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being halogen doped silicon oxides, e.g. FSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31629Deposition of halogen doped silicon oxide, e.g. fluorine doped silicon oxide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Glass Compositions (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

(57)【要約】 【課題】マイクロエレクトロニクス製造での使用に対し
より適したより良い性質を有する低-k膜のようなフッ素
化材料及びそのような膜を製造するためのプロセスを与
える。 【解決手段】炭素原子及びフッ素原子を含む化学前駆体
は、フッ素含有材料を付着させるためにさまざまな条件
のもとで活性化される。化学式(F3C)4-m-nMXmRnの化学
前駆体が好適であり、ここでMはSi若しくはGeであり、X
はハロゲンであり、RはH若しくはDであり、mは0、1、2
若しくは3であり、nは0、1、2若しくは3であり、条件(m
+n)≦3を有する。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は概して、フッ素含有
材料を作る方法に関し、特に炭素原子及びフッ素原子を
含む化学薬品を使ってそのような材料を基板上に付着さ
せるための方法に関する。
【0002】
【従来の技術及び発明が解決しようとする課題】マイク
ロ電子デバイスの寸法が小さくなるに従い、その製造に
使用される材料の物理的性質の重要性はより重要にな
る。とくに、このことは、近接して配置された導体間の
絶縁体を横切る寄生容量に対する寄与のために、金属配
線とビアを互いに絶縁するのに使用される誘電材料にお
いて真実である。二酸化シリコンは最近30年間デバイス
の製造用の誘電材料として産業界で採用されてきたが、
その比較的高い比誘電率(k〜4.1)のために将来適当で
なくなるであろう。
【0003】多くのフッ素化材料が酸化シリコンの可能
な代わりとして研究されてきた。米国特許第5,563,105
号はフルオロシリケートガラスを形成するべくSiF4及び
テトラエトキシシラン(TEOS)を使用する化学気相成長(C
VD)を開示し、それにはC2F6から形成されるサンプルよ
り水分吸収が少ないと記載されている。米国特許第5,70
3,404号はフルオロシランの使用を通じてSi−F結合を含
むシリコン酸化膜を開示する。米国特許第5,876,798号
はフルオロトリエトキシシラン(FTES)の使用を開示す
る。米国特許第5,244,698号はオルガノシラン及びオル
ガノハロゲノシランを使用するPECVD蒸着を開示する。
炭素−炭素二重結合を含むフッ素化合物の使用は米国特
許第5,989,998号に開示されている。米国特許第6,051,3
21号はフッ素化芳香族化合物の使用を開示する。T.Shir
afujiらによる“PE-CVD of Fluorocabon/SiO Composite
Thin Films Using C4F8 and HMDSO”Plasma and Polym
ers,Vol.4,No.1,p.57(1999)と同様に、米国特許第5,90
0,290号はオクタフルオロシクロブタンの使用を開示す
る。これに関する他の参考文献は、Indrajit Banerjee
らによる“Characterization of Chemical Vapor Depos
ited Amorphous Fluorocarbon for Low Dielectric Con
stant Interlayer Dielectrics”J.Electrochem.Soc.,
Vol.146(6), p.2219(1999);C.B.Labelle,らによるDUMI
C,pg.1998(1999);Sang-Soo Hanらによる“Deposition o
f Fluorinated Amorphous Carbon Thin Films as a Low
-Dielectric Constant Material”J.Electrochem.Soc.,
Vol.146(9), p3383(1999);及びScott J.Limb,による
“Growth of fluorocarbon polymer thin films with h
igh CF2 fractions and low dangling bond concentrat
ions by thermal chemical vapor deposition”Appl.Ph
ys.Lett.,Vol.68(20),p.2810(1996)である。
【0004】スピン・オン処理は低-k膜を作るために周
知である。概して、これらの処理は、液体コーティング
混合物を形成するべく溶剤内に低-kポリマーを溶解させ
かつ分散させる工程と、コーティング混合物を基板上へ
付着する工程と、均一なコーティングを作るべく基板を
回転する工程と、溶剤を除去するべくコーティングを乾
燥させる工程を含む。膜の比誘電率を低下させる他の周
知の方法は膜内に気孔率を導入することである。
【0005】ポリテトラフルオロエチレン(PTFE)のよう
な非常に多くのフッ素化ポリマーが知られている。PTFE
材料は概して低比誘電率を有するが、構造的に長く架橋
されないチェーンに基づいている。これらの材料の非架
橋構造は多分、それらをマイクロ電子デバイスに組み込
もうとしている間に観測された機械的不安定性の源であ
る。現在のスピンオン処理はPTFEを架橋させようとする
重大な挑戦に直面している。なぜなら典型的にそれらは
液体で基板に分配されるPTFE粒子のナノエマルジョンを
使って製造されるからである。典型的にこれらの粒子は
5から20ナノメーターのサイズであるため薄膜材料の付
着に対して比較的大きなビルディングブロックを表し、
ステップカバレッジの問題を生じさせる。さらにまた、
これらの膜は粒子から形成されるため、しばしばそれら
は粘着膜を得るために接着増進剤を要求する。典型的に
現在のCVD PTFE材料はCF4及びCH4の混合物のプラズマ
強化化学気相成長(PECVD)を使って蒸着される。蒸着さ
れた材料は部分的にイオン化されたソースガス分子から
引き出された反応性C-F元素から生じると信じられてい
る。典型的なC-F元素はCF4 +、CF3 +、CF2 2+及び非常に制
限された量のCF3+であり、したがって、膜の付着の広範
囲のソース元素を表す。蒸着膜のイオン衝撃と結合され
ると、これは蒸着膜中の不所望な不純物の組み入ればか
りでなく、ダングリングボンドを含む非均質の膜組成及
び性質へ導く。さらにまた、元素の荷電性質はこれらの
材料を付着させるのに使用されるため、寸法的に小さく
高いアスペクト比の構造の埋め込み特性は乏しく、ウエ
ハ表面上の大きい穴と小さい穴との間のローディング効
果は問題である。
【0006】マイクロエレクトロニクス製造での使用に
対しより適したより良い性質を有する低-k膜のようなフ
ッ素化材料に対する要求及び簡単に製造プロセスフロー
に組み込むことができるそのような膜を製造するための
プロセスに対する要求が残る。
【0007】
【課題を解決するための手段】発明者はフッ素化材料を
作るよりよい方法を発見した。好適実施例において、こ
れらのフッ素化材料はマイクロエレクトロニクス製造で
の使用に適した低比誘電率を有する。ひとつの特徴にお
いて、一つ若しくはそれ以上のCF3(トリフロロメチ
ル)基を含む化学前駆体が開示され、フッ素含有材料を
基板上に付着させるためにこれらの前駆体をしようする
プロセスが教示される。他の特徴において、さまざま元
素のソースを有する化学前駆体の混合物が基板上にフッ
素含有材料を付着させるのに使用される。さらに他の特
徴において、多孔性のフッ素化材料が教示される。
【0008】ひとつの実施例において、表面上に材料を
付着させるためのプロセスが与えられ、それは、基板を
与える工程と、化学式(F3C)4-m-nMXmRnを与える工程で
あって、ここでMはSi若しくはGeであり、Xはハロゲンで
あり、RはH若しくはDであり、mは0、1、2若しくは3であ
り、nは0、1、2若しくは3であって(m+n)≦3の条件を有
する工程と、化学前駆体を活性化させることによって基
板上にフッ素含有材料を蒸着する工程とから成る。
【0009】他の実施例において、表面上に誘電膜を付
着するための化学気相成長プロセスが与えられ、それ
は、基板を内部に配置する化学気相成長チャンバを与え
る工程と、ガスを該チャンバに導入する工程であって、
ガスは(F3C)SiH3、(F3C)2SiH2、(F3C)SiD3、(F3C)2Si
D2、(F3C)SiFH2、(F3C)SiF2H、(F3C)SiF3、(F3C)SiF
D2、及び(F3C)SiF2Dから成るグループから選択される化
学前駆体から成り、約2.7若しくはそれ以下の比誘電率
を有する膜を基板上に付着させるために化学前駆体を反
応させる工程と、から成る。
【0010】さらに他の実施例において、多孔性材料を
作るためのプロセスはが与えられ、それは、酸素ソース
を与える工程と、化学式(F3C)4-m-nMXmRnを与える工程
であって、ここでMはSi若しくはGeであり、Xはハロゲン
であり、RはH若しくはDであり、mは0、1、2若しくは3で
あり、nは0、1、2若しくは3であって(m+n)≦3の条件を
有する工程と、基板を与える工程と、酸素ソース及び化
合物を約300℃若しくはそれ以下の温度で活性化しそれ
によって酸素含有膜を基板上に付着する工程と、多孔性
膜を形成するために約150℃から400℃の範囲の温度で酸
素含有膜を加熱する工程とから成る。
【0011】これら及び他の実施例は以下に詳細に説明
される。
【0012】
【発明の実施の形態】非常にさまざまなフッ素含有材料
がここで説明されるプロセスを実施することによって準
備される。“フッ素含有材料”は化学構造の一部として
元素フッ素を含む材料を含むよう通常の意味で使用され
る。フッ素原子はさまざまな方法で、好適にはイオン若
しくは共有結合によって材料内に組み込まれ、均一若し
くは非均一に分散される。好適にはフッ素含有材料はフ
ッ素原子が炭素原子と結合されるところの有機ポリマー
である。好適には、フッ素含有材料は循環するCF2単位
から成るポリマーであり、分岐され若しくは分岐され
ず、及び架橋され若しくは架橋されないが、好適には架
橋される。
【0013】フッ素含有材料は粒子若しくは繊維のよう
なさまざまな形式であるが、好適には膜形式である。
“膜”は自立膜及び基板に適用される層若しくはコーテ
ィングの両方を含むよう通常の意味で使用される。膜は
平坦か若しくは下層の3次元面と一致し、いずれの場合
にも一定若しくは変化する厚さを有し好適には一定の厚
さを有する。好適には、膜の平均厚さは、例えば金属間
レベル誘電体適用に対する低比誘電率のような所望の機
能を与えるために有効である。しばしば、平均膜厚は約
5Åから約15000Å、好適には約10Åから約10000Å、よ
り好適には約10Åから約8000Å、最も好適には約100Å
から約5000Åである。
【0014】ここで説明されるフッ素含有材料は好適に
は基板上に付着される。“基板”はフッ素含有材料が付
着されるか適用されるところのあらゆる下層面を含むよ
う通常の意味で使用される。好適な基板は、金属に限定
されず、金属酸化物、金属窒化物、シリコン、ゲルマニ
ウム、プラスチック及び/またはガラス、を含む仮想的
にあらゆる材料から成るが好適にはシリコン及びシリコ
ン合金である。
【0015】特に好適な基板は、シリコン基板、例えば
シリコンウエハ及びマイクロエレクトロニクス及び集積
回路の組立に使用されるIII-V族材料の層を含む。“集
積回路”はマイクロ電子デバイスがその上に適用される
基板を含むようマイクロエレクトロニクス分野で通常の
意味で使用され、したがって、製造途中及びまだ機能し
ない集積回路を含む。集積回路製造の分野において、概
して半導体基板はデバイスが形成される半導体材料の最
低レベルをいう。
【0016】マイクロ電子応用に対して、フッ素含有材
料は好適には誘電膜である。“誘電膜”は上記した構造
を有し、及び完全な回路内で電気的機能の絶縁を有する
膜を意味するマイクロエレクトロニクス分野で通常の意
味で使用される。好適な誘電膜は約3.0若しくはそれ以
下、より好適には約2.7若しくはそれ以下、さらに好適
には約2.4若しくはそれ以下、最も好適には約2.2若しく
はそれ以下の比誘電率を有する。
【0017】他の好適基板はマイクロエレクトロメカニ
カルシステム(MEMS)内の移動部品の表面である。ここで
説明されるフッ素含有材料は、ナノメーターサイズのベ
アリング、ギア及びモーターに限定されないさまざまな
MEMS応用における摩擦を減少させるのに使用される。ME
MS応用に対して、フッ素含有材料は好適には約5Åから
約25Åの範囲の厚さを有する膜である。好適にはMEMSデ
バイスは集積回路プロセス技術を使って組み立てられ
る。
【0018】移動部品をコーティングするような低摩擦
応用に対して、フッ素含有材料に対する静止摩擦係数は
それに接触して計測されるように、好適には約0.5若し
くはそれ以下で、より好適には約0.2若しくはそれ以下
であり、最も好適には約0.1若しくはそれ以下である。
ここで使用されるように、2つの面の間の“静止摩擦係
数”は2つを一緒に押す力に対するひとつを他に対して
移動するのに要求される力の比である。もし、Fが他に
対してひとつの面を動かすのに要求される力でWが両面
を一緒に押す力だとすれば、摩擦係数μ=F/Wである。
【0019】ここで説明される化学前駆体を利用するさ
まざまなプロセスがフッ素含有材料を付着するのに使用
される。ここで使用されるように、“化学前駆体”はフ
ッ素含有材料を形成するためにここで説明される条件下
で活性化され得るフッ素含有(“F−含有”)化学化合
物若しくはF−含有化学化合物の混合物である。好適な
プロセスは、基板を与える工程と、フッ素含有材料を基
板上に付着するのに有効な条件下でフッ素原子及び炭素
原子を含む化学前駆体を与える工程を含む。好適な化学
前駆体は膜の汚染を最小化するために基板付近から除去
される膜及び気体分子断片を生じさせるF−含有分子断
片を与えるべく活性化されることができる。
【0020】好適な化学前駆体は少なくとも一つのトリ
フルオロメチル基を含む。トリフルオロメチル含有化学
前駆体の好適クラスは、化学式(F3C)4-m-nMXmRnであっ
て、ここでMはSi若しくはGeであり、Xはハロゲンであ
り、RはH若しくはDであり、mは0、1、2若しくは3であ
り、nは0、1、2若しくは3であって(m+n)は3以下の条件
を有するように表される。好適にはMはSi及びXはフッ素
若しくは塩素である。そのような前駆体が(m+n)=0若し
くは1よりも大きな熱安定性を有するために好適には(m+
n)=2若しくは3である。
【0021】ここで開示される化学前駆体の活性化は、
フッ素含有材料を形成するべく基板上に付着することが
できる化学的に活性なF−含有種の化学式を生じさせる
と信じられる。ここで使用される化学前駆体を“活性
化”することはフッ素含有材料を形成するべく基板上へ
のデポジションを可能とするように化学前駆体を化学的
に活性になるようにすることを意味する。ここに記述さ
れた条件下で化学前駆体を反応させることは対応するフ
ッ素含有材料を付着させる。化学前駆体を活性化させる
さまざまな方法が以下に議論される。
【0022】本発明は理論に束縛されないが、ここで説
明される化学前駆体を活性化することは化学的に活性な
F−含有断片、好適には炭素及びフッ素原子を含む断片
を作ることを含む。その後これらの反応断片はフッ素含
有材料を形成するために結合される。好適な条件下で、
フッ素含有材料は、CF2タイプの断片及びガス状F−MXR
タイプの断片を形成するべく化学式(F3C)4-m-nMXmRn
よって表される化学前駆体を活性化することによって形
成される。好適なシリコン含有(“Si−含有)”化学前
駆体は、(F3C)SiH3、(F3C)2SiH2、(F3C)SiD3、(F3C)2Si
D2、(F3C)SiFH2、(F3C)SiF2H、(F3C)SiF3、(F3C)SiF
D2、(F3C)SiF2D、(F3C)SiClF2、(F3C)SiCl2F、及び(F
3C)SiCl3を含む。他の好適化学前駆体はヘクサフルオロ
プロピレン酸化物であり、それの活性化はCF2タイプの
断片及びトリフルオロメチルアセチルフッ化物を形成す
ると信じられている。好適なゲルマニウム含有(“Ge−
含有”)化学前駆体は、(F3C)GeH3、(F3C)2GeH2、(F3C)
GeD3、(F3C)2GeD2、(F3C)GeFH2、(F3C)GeF2H、(F3C)GeF
3、(F3C)GeFD2、及び(F3C)GeF2Dを含む。
【0023】可能な活性化及びデポジション経路の例が
非常に好適な前駆体である(F3C)SiF 3に対して案(I)に示
される。 (I) n (F3C)SiF3 → n[:CF2] → -(CF2)n- + n
SiF4(g) 案(I)内の“[:CF2]”は高い反応性の:CF2断片及び生成
されるF−含有断片を表し、ブラケットはこれらの種が
過渡的であり短命であることを示す。案(I)内の“-(C
F2)n-”は仮定された:CF2断片のデポジションによって
形成されるフッ素化ポリマーの少なくとも一部に対して
可能な構造を表す。好適には、活性化及びデポジション
は、例えばSiF4のようなあらゆる副生成物が(もしそれ
らの元素を含むことが所望されなければ)シリコン若し
くはフッ素を有するフッ素含有材料の汚染を最小化する
ためにガス状であるような条件の下で実行される。いく
つかの[:CF2]種はテトラフルオロエチレン(案(I)には
示されていない)のような他の副生成物種を形成するべ
く一緒に反応し、その場合これらの副生成物は好適には
ガス状である。フッ素含有コポリマーが化学前駆体の混
合物を使用することによって準備される。
【0024】化学前駆体は当業者に周知の方法によって
準備される。例えば、好適な化学前駆体の合成は、K.G.
Sharp and T.D.Coyleらによる“Synthesis and Some Pr
operties of Trifluoro(trifluoromethyl)silane,”J.F
luorine Chem., Vol.1,pp.249-251(1971/1972)及びH.Be
ckersらによる“Synthesis and Properties of (Triflu
oromethyl)trichlorosilane, a Vesatile Precusor for
CF3Si Compounds,”J.Organometal.Chem.,Vol.316,pp.
41-50,(1986)の文献に開示されている。これらの文献の
論文は、これらの前駆体の合成を説明する目的のために
ここに参考文献としてそっくりそのまま組み組まれる。
好適には、これらの論文に開示された合成方法は、[(H3
C)2N]2Si(H)CF3及びHClを24時間の間反応させることに
よってCF3SiCl3の生産、より短い反応時間の際は主とし
てCF3Si(H)Cl2の生産を最大化させるよう修正される。
さらに、CF3SiCl3からCF3SiF3を合成するのに利用され
る過剰SbF3の量は総反応時間とともに、好適にはCF3SiF
3の生産を最大化させるよう変更される。
【0025】比較的安定かつデポジションに対して活性
な化学前駆体を与えれることが好適である。好適には、
化学前駆体を活性化することは、例えば、熱的、化学
的、光化学的、機械的、若しくはプラズマエネルギーの
ような大量のエネルギーを印加することを含み、それは
化学前駆体内のひとつ若しくはそれ以上の化学結合を破
壊するのに有効である。合成されたF−含有断片を長時
間保存することはそれが反応性が高いためにしばしば困
難である。したがって、デポジションの時に基板のすぐ
そばで化学前駆体を活性化することが好適である。
【0026】化学前駆体を活性化するプロセスは上記し
たようにF−含有断片の形成を含むが、これらの断片の
検出、測定及び/または特性付けは、それらの過渡的性
質のために実際には困難である。したがって、化学前駆
体の活性化は、主としてフッ素含有材料の形成によって
明白にされ、たとえ断片の証拠が活性化を示すとして
も、あらゆるF−含有断片の形成若しくは識別を要求し
ない。
【0027】化学前駆体は固体、液体若しくは気体のか
たちで与えれるが好適には気体である。化学前駆体から
成る液体は基板に適応され、好適には周知のスピンコー
ティングに類似する技術を使って、基板上にフッ素含有
材料を形成するよう活性化される。好適には化学前駆体
は気体形式で与えられる。好適には与えられる化学前駆
体の量は前駆体のガスを調節することによって制御さ
れ、それは約0.01torrから大気圧(約790torr)若しく
はそれ以上の範囲に限定される。量は化学前駆体を他の
ガスと混合し、全ガス圧力若しくは混合ガス内の化学前
駆体の分圧を調節することによって制御される。ガス混
合物の付加的成分は、水素、ヘリウム、窒素、アルゴ
ン、ネオン、クリプトン及びキセノンのようなキャリア
ガスを含む。液体化学前駆体は化学前駆体を通じてキャ
リアガスをバブリングするバブラーを使用することによ
って与えられる。ガス内の化学前駆体の量は広範囲にわ
たって変化し、好適には体積で約0.01%から100%であ
る。
【0028】多くの最終用途応用に対して、付加的元素
を含むことによって、及び/または付加的量のフッ素及
び/炭素を付加することによってフッ素含有材料の全体
の元素的成分を調節することは所望される。補助的元素
が様々な理由によって例えば、架橋結合サイトを導入す
るため、比誘電率を調節するため、気孔率を増加するた
め、及び基板及び/または後続の蒸着された層への付着
性を修正するために、組み込まれる。
【0029】フッ素含有材料内へ付加的元素を組み込む
ことは、付加的元素の補助ソースを与えることによっ
て、好適には補助シリコンソース、酸素ソース、ゲルマ
ニウムソース及び/または炭素ソースを与えれることに
よって達成される。2つ若しくはそれ以上の補助ソース
が与えられ、好適には酸素ソース及びシリコンソースの
混合若しくは酸素ソース及びゲルマニウムソースの混合
が与えられる。化学前駆体が液体の形式で基板へ適応さ
れると、液体は生成されたフッ素含有材料に所望の元素
的成分を与えるのに有効な量の所望の付加的元素の補助
ソースから成る。好適に、化学前駆体及び補助ソースか
ら成るガスが与えられ、生成されたフッ素含有材料内の
各元素の量はルーチン実験作業を使って各成分の分圧を
調節することによって制御される。案(II)及び(III)は
シリコンをフッ素含有材料内に組み込むための好適な可
能経路を示す。
【0030】案(II)及び(III)に示されるF3CSiD3とH3Si
SiH3の比及びF3CSiH3と(H3C)2SiH2の比はそれぞれほん
の例に過ぎず、広範囲にわたって変更され得る。化学前
駆体と補助ソースの比、すなわち、化学前駆体:補助ソ
ースはモルで約10:1若しくはそれ以上、より好適には
約50:1から約50000:1の範囲である。フッ素含有材料
の性質はデポジションの間にさまざまな成分の相対量を
調節することによって連続して若しくはステップ状に変
化する。
【0031】例えば、下層の基板へ蒸着されたフッ素含
有材料の付着性を強化するために、蒸着された材料の最
初の10-100Åは、F−含有化学前駆体及び補助ソースか
ら成る混合物を与えることによって下層の基板へよく接
着することが知られる元素若しくは成分が比較的豊富に
作られる。好適には、混合物は最初は補助ソースが比較
的豊富で、化学前駆体が比較的貧弱である。膜はデポジ
ションの次のステージの間補助ソースの相対量を減少さ
せることによって傾斜され、それによって低比誘電率を
有する生成されたフッ素含有材料を与える比較的CF2
豊富な部分を蒸着する。デポジションの最後の10-100Å
の間に、補助ソースの相対量は再び増加され、その結果
フッ素含有材料の上に蒸着された後続のあらゆる層はそ
の表面によく付着する。デポジションの最初のステージ
で選択された補助ソースは最後のステージで選択された
補助ソースと同じ若しくは異なる。好適には補助ソース
は基板によく付着する最初の蒸着を与え、及び後続の蒸
着された層によく付着する最後の蒸着を与えるよう選択
される。シリコン基板若しくは後続の層に対して、補助
ソースはシラン、及びより好適にはジシラン及びトリシ
ランを含む。銅基板若しくは後続の層に対して、好適な
補助ソースはジメトキシシラン及びジメチルジメトキシ
シランを含む。シリコン−炭素基板若しくは後続の層に
対して、好適なシリコンソースはジシリルメタン、トリ
シリルメタン及びテトラシリルメタンを含む。さらに、
シリコン−炭素基板材料は好適にはメチルシラン、ジメ
チルシラン、トリメチルシラン若しくはテトラメチルシ
ランを使って蒸着される。したがって、傾斜したフッ素
含有材料が良い付着性及び低比誘電率を備える。
【0032】他の例として、補助ソースはフッ素含有材
料内で架橋結合サイトとして働く元素を導入するために
使用されてもよい。例えば、案(II)において、-[(SiH2)
-(CF 2)x-(SiH2)-(CF2)100-x]-内の4価Siは潜在的な架
橋結合サイトである、なぜならそれらは他のポリマーチ
ェーンに対して結合を形成するために水素を除去するこ
とができるからである。シリコン若しくはゲルマニウム
が2つ以上の水素原子に結合されるところの補助シリコ
ン及びゲルマニウムソースは好適には架橋結合剤であ
る、なぜなら生成されたフッ素含有材料内のSi-H若しく
はGe-H結合は簡単に壊れSi若しくはGe原子はひとつ以上
のポリマーチェーンと結合できるからである。より好適
な架橋結合剤はジシラン、トリシラン、メチルシラン、
ジゲルマン、トリゲルマン及びメチルゲルマンである。
好適には架橋結合剤はフッ素含有材料を架橋するのに有
効な量で使用され、より好適には比誘電率のような他の
性質への有害な影響を避けるために比較的低レベルで、
さらにより好適には化学前駆体の重量に基づく重量比で
約1%若しくはそれ以下のレベルで、最も好適には約0.5
%若しくはそれ以下のレベルで使用される。
【0033】他の例として、以下で詳細に議論されるよ
うに、フッ素含有材料内に気孔を導入するために補助酸
素ソースが使用されてもよい。
【0034】補助ソースの中で、好適なシリコンソース
は、シラン、ジシラン、トリシラン、メチルシラン、ジ
メチルシラン、ジシロキサン、ジメチルシロキサン、メ
トキシシラン、ジメトキシシラン及びジメチルジメトキ
シシランを含む。好適なゲルマニウムソースは、ゲルマ
ン、ジゲルマン、トリゲルマン、メチルゲルマン、ジメ
チルゲルマン、メトキシゲルマン及びジメトキシゲルマ
ンを含む。好適な炭素ソースは、メタン、エタン、フル
オロメタン、ペルフルオロエタン、シリルメタン、ジシ
リルメタン、トリシリルメタン、テトラシリルメタン、
メチルシラン、ジメチルシラン、トリメチルシラン及び
テトラメチルシランを含む。好適な酸素ソースは、酸
素、一酸化二窒素、オゾン、二酸化炭素、一酸化炭素、
過酸化水素、水、メタノール、エタノール、ジメチルエ
ーテル及びジエチルエーテルを含む。好適な補助ソース
は、2つ若しくはそれ以上の元素に対するソースであ
り、例えばジメチルエーテルは炭素及び酸素の両方のソ
ースであり、ジメチルシロキサンは、炭素、酸素及びシ
リコンのソースである。
【0035】いくつかの応用に対して、基板及び化学前
駆体をあらゆる種類のエンクロージャーの利益無しで持
ってきて、周囲条件の下若しくはキャリアガスの流れる
ブランケットの下でフッ素含有材料を蒸着するのは十分
である。例えば、連続コーティング処理は、ガラス繊維
若しくはプラスチックシートのような基板が基板に対し
連続的に流れるように向けられる化学前駆体を活性化さ
せるのに十分な温度で加熱ランプのセットの下で運転さ
れ、それによってF−含有膜を繊維若しくはシート上に
付着させるところで実行される。汚染を最小化しかつ高
品質な膜を製造するために、基板をチャンバ内に配置し
かつ化学前駆体をチャンバ内に導入することによってフ
ッ素含有材料を基板上に蒸着させることが好適である。
チャンバはデポジション中に部分的に空いていてもよ
く、例えば上記例だと、繊維若しくはシートが炉若しく
は窯を通り抜け、または好適にはチャンバはデポジショ
ン中閉じられる。
【0036】好適には、閉じられたチャンバはフッ素含
有材料を蒸着するのに使用される化学気相成長(CVD)技
術で使用される。さまざまなCVD技術が当業者に周知で
ある。プラズマ強化化学気相成長(PECVD)及び熱化学気
相成長(熱CVD)が特にマイクロエレクトロニクス製造
において誘電膜の蒸着に対して好適である。これらの技
術は集積回路の組み立てに広く使用され、例えば、Step
hen A.Campbellの“The Science and Engineering of M
icroelectronic Fabrication,”Oxford UniversityPres
s,New York(1996)及びStanley Wolf 及びRichard N.Tau
berの“Silicon Processing for the VLSI Era,”Latti
ce Press,Sunset Beach, CA(2000)に記載されている。
【0037】PECVDにおいて、プラズマエネルギーは、
電磁場例えばマイクロ波若しくは無線周波数エネルギー
を化学前駆体へ適応することによって化学前駆体を活性
化させるのに使用される。プラズマは基板のすぐそばで
生成されるか若しくは遠隔位置で生成される。デポジシ
ョン中の好適な基板温度は約マイナス10℃から約450度
であり、より好適には約0℃から約400℃である。チャン
バがなければ、基板への化学前駆体の流れはガス混合物
を使用し該混合物内の化学前駆体の分圧を調節すること
によって制御される。好適には、チャンバが採用され、
その結果化学前駆体の流れは真空ポンプ若しくは類似の
装置を使って全圧力を操作することによって制御され
る。好適には化学前駆体は入口で導入され、チャンバは
好適には全圧力を制御するためにキャリアガスでバック
フィルされる。好適な全圧力は約0.001torrから約100to
rrの範囲であり、好適には約0.05から約25torrである。
PECVD用の化学前駆体の好適な分圧は約0.01torrから約2
0torrで好適には約0.05torrから約5torrである。
【0038】好適なPECVDデポジション技術はパルス化
プラズマ(非連続波)処理を利用し、そこでは電磁場は
活性化及び/または蒸着処理の部分の間にだけ印加され
る。この発明は理論に制限されないが、パルス化PECVD
技術は生成される:CF2の量を最大化しかつ他のCF-タイ
プの種の形成を最小化し、したがって生成される蒸着さ
れたフッ素含有材料のCF2含量を最大化する。好適なパ
ルス化プラズマプロセスにおいて、各シーケンスの長さ
は好適には約5ミリ秒から約500ミリ秒の範囲である。よ
り好適には電磁場は各シーケンスの長さの約50%以下の
間印加され、すなわち各50ミリ秒では25ミリ秒以下、各
500ミリ秒では約250ミリ秒以下であり、より好適には各
シーケンスの長さの約15%以下の間印加される。
【0039】熱CVDにおいて、熱エネルギーは基板及び
/または化学前駆体の温度を調節することによって化学
前駆体を活性化するために使用され、好適には約150℃
から450℃の範囲の温度に、より好適には約250℃から45
0℃、さらに好適には約300℃から約400℃に加熱するこ
とによって化学前駆体を活性化させる。チャンバがなけ
れば、基板への化学前駆体のフローはガス混合物を使用
し該混合物内の化学前駆体の分圧を制御することによっ
て制御される。好適にはチャンバが採用され、その結果
化学前駆体のフローは真空ポンプ若しくは類似の装置を
使って全圧力を操作することによって制御される。好適
には化学前駆体は入口で導入され、チャンバは好適には
全圧力を制御するためにキャリアガスでバックフィルさ
れる。好適な全圧力は約0.1torrから約760torrの範囲で
あり、より好適には約1torrから約350torr、最も好適に
は約1torrから約100torrの範囲である。化学前駆体の好
適な分圧は約0.01torrから約400torrの範囲であり、よ
り好適には約0.1torrから約200torrである。特に好適な
実施例において、熱CVDは、約-10℃から約450℃の範囲
の温度でチャンバ内に配置された基板上へ10Åから約50
00Åの範囲の厚さを有する架橋されたフッ素含有材料を
蒸着するのに使用される。
【0040】PECVDを実行するための適当なチャンバは
商業的に入手可能であり、好適なモデルは日本の東京の
ASM Japan K.K.から商業的に入手可能なリアクタのEagl
eTMシリーズを含む。熱CVDを実行するための適当なチャ
ンバは商業的に入手可能であり、アリゾナ州フェニック
スのASM America, Inc.から商業的に入手可能なEpsilon
2000のような単一ウエハエピタキシャルリアクタのEps
ilonTMシリーズを含む。好適なモデルはオランダのビル
トーベンのASM International N.V.から商業的に入手可
能なA400及びA412のようなバッチチューブリアクタのA4
00シリーズを含む。商業的に入手できるCVDチャンバは
好適には、温度、ガス流量及び切り替え、及びチャンバ
圧力のコンピュータ制御のような、マイクロエレクトロ
ニクス応用に対して適当な高品質な膜を一貫して生成す
るよう操作される多くの特徴を備える。CVD技術の当業
者はそのような方法及び装備に親しく、したがってルー
チン実験作業はここで説明される化学前駆体を使ってフ
ッ素含有材料を蒸着するために適当な条件を選択するの
に使用される。
【0041】好適実施例において、熱CVDは基板温度及
び化学前駆体の活性化温度を独立に調節することを含む
プロセスにおいて蒸着用に使用される。基板の温度は加
熱ランプの使用のような周知の方法によって及び/また
は基板を抵抗加熱することによって調節される。化学前
駆体は、加熱されたキャリアガスの成分として与えるこ
とによって若しくは加熱されたシャワーヘッドのような
加熱された入口ポートを利用することによって様々な方
法で直接加熱することにより活性化される。反対の記載
が無い限り、特定のデポジション若しくは活性化温度の
叙述は基板の温度を言う。
【0042】より好適なプロセスにおいて、EagleTM10P
ECVDリアクタ(商業的に日本の東京のASM Japan K.K.か
ら入手可能な)が熱モードで、すなわちプラズマを使用
せずに使用される。好適にリアクタは加熱されたシャワ
ーヘッド及び加熱された基板を備え、それぞれの温度は
独立に調節される。シャワーヘッドの温度を好適には約
30℃から約40℃の範囲で化学前駆体の活性化温度以下に
維持しながら、化学前駆体は好適には基板を約100℃か
ら約450℃の範囲の温度、より好適には約150℃から約40
0℃の範囲の温度で加熱することによって活性化され
る。化学前駆体として酸化ヘクサフルオロプロピレンが
使用されるとき、加熱された基板へのデポジションが好
適である。化学前駆体はシャワーヘッドを約100℃から
約450℃の範囲に、より好適には約150℃から約450℃の
範囲の温度に加熱することによって活性化され、基板の
温度はシャワーヘッドより約50℃から約300℃低温に維
持される。好適には基板は約-10℃から約450℃の範囲の
温度に、より好適には約100℃から約400℃の範囲の温度
に維持される。
【0043】さまざまなデポジション方法が互いに一緒
に使用される。例えば、他の好適実施例において、遠隔
PECVD及び熱CVDの両方はシリコンを含むフッ素含有材料
を蒸着するのに使用される。この実施例のより好適な特
徴において、遠隔プラズマは反応性Si−含有断片のソー
スを与えるべく、シラン、ジシラン若しくはトリシラン
を分解するのに使用される。その後、これらの断片は熱
CVDチャンバへ向けられ、好適には化学前駆体であるCF3
SiF3が導入される。化学前駆体は好適には直接、好適に
は加熱されたシャワーヘッドを使って約100℃から約450
℃の範囲の温度で活性化される。好適には、チャンバ内
に含まれる基板は約100℃から約450℃の範囲の温度で維
持される。これらの条件の下で、好適なSi−含有、フッ
素含有材料を形成するべく基板上に蒸着するSi−含有断
片と[:CF2]の混合物が製造されると信じられている。
【0044】例えば、案(I)内の“-(CF2)n-”、案(II)
内の“-[(SiH2)-(CF2)x-(SiH2)-(CF2)100-x]-”などの
ある循環単位を有する化学式の使用によるここでのフッ
素含有ポリマーの表現はほんの一例であり、実際には生
成ポリマーはデポジション条件に依存してさまざまな循
環単位を含む。実際に、さまざまなフッ素含有ポリマー
は、化学前駆体の成分及び量、補助ソースのような他の
元素のソースを与える付加的化合物の存在若しくは不
在、活性化条件(温度、プラズマの存在若しくは不在な
ど)、デポジション条件(温度、プラズマの存在若しく
は不在など)、及び基板の性質のようなファクタに依存
して製造される。例えば低比誘電率、低摩擦などPTFEに
よって示されるタイプの性質を達成することが所望され
る多くの応用に対して、CF3SiF3のような高い割合の化
学前駆体を利用することは好適である。驚くべきこと
に、CF3SiF3の使用は、以下に与えられる実施例に示さ
れるようにデポジション条件が変化するときでさえ、高
い割合の循環CF2単位、すなわち-(CF2)n-を含むフッ素
含有ポリマーを生じさせることが発見された。したがっ
て、蒸着されたポリマーの基本的化学構造は主に化学前
駆体及びあらゆる補助ソースの同一性の関数であるよう
に見える。好適なポリマーは、赤外線分光学及びX線光
電子分光学によって示されるように、高い割合のCF2
から成る。炭素及びフッ素原子から成るポリマーが好適
であり、それはフッ素原子と炭素原子の数値割合、すな
わちフッ素:炭素が元素分析によって示されるように、
約1:1から約3:1、より好適には約1.5:1から約2.5:
1、さらに好適には約1.8:1から2.2:1を有する。
【0045】ここで説明されるフッ素含有材料の性質は
全体のデポジション条件を変化させることによって、好
適には化学前駆体のタイプ及び量、付加的元素ソース、
活性化温度、基板温度及びプラズマの存在若しくは不在
を制御することによって制御される。これらのパラメー
タの各々を変化させる効果は他で議論される。例えば、
以下に与えられる実施例において、より高い付着性及び
より高い透明度を有するより薄い膜はより高いデポジシ
ョン温度で形成され、ところが低い付着性を有するより
厚くより不透明な膜はより低い温度で形成され、中間の
性質を有する膜は中間のデポジション温度で形成される
ことがわかった。好適には、実験計画法が、生成膜の化
学的組成及び/または物理的性質に対するさまざまなプ
ロセス変数及びその組み合わせの効果を決定するために
使用される。実験計画法は周知であり、例えば、Dougla
s C.Montgomeryの“Design and Analysis of Experimen
ts,”第2版,John Wiley and Sons,1984に記載されてい
る。特定のプロセスに対して、化学的組成及び/または
物理的性質に対するさまざまなプロセス変数及びそれら
の組み合わせの効果がこれらの実験計画法によって決定
された後に、好適にはプロセスは後続の製造の一貫性を
保証するためにコンピュータ制御によって自動化され
る。
【0046】ここで説明されたフッ素含有材料はさまざ
まプロセスにさらされる。例えば、集積回路の製造にお
いて、金属ラインのような他の材料の付加的層若しくは
半導体層がここで説明される誘電膜の表面に蒸着され
る。そのようなデポジションはシリコンソース、金属ソ
ース、ゲルマニウムソースなどを与えることによって及
び通常の方法で付加的層を蒸着することによって実行さ
れる。好適には、付加的層の付着性は他で説明されるよ
うにデポジションの最終ステージの間に付着性促進補助
元素を含むことによって強化される。
【0047】フッ素含有材料の表面は、それを化学的に
反応性の試薬にさらすことによって、例えば酸素プラズ
マのような強力な酸化剤によってエッチングするか若し
くは官能基の付加的導入を伴う強力な還元剤によってフ
ッ素との化合を解くことによって処理され若しくは修正
される、例えば、C.A.Costello及びT.J.McCarthyによる
“Introduction of Organic Functional Group Onto th
e Surface of Poly(tetrafluoroethylene),”Proceedin
gs of the ACD Division of Polymeric Materials Scie
nce and Engineering, Vol.55.p893(1986)に記載されて
いる。
【0048】好適な実施例は多孔性材料を作るためのプ
ロセスを与える。第1の特徴において、プロセスは酸素
含有膜を比較的低い温度で基板上に蒸着することによっ
て実行され、その後酸素及び炭素のような膜の燃焼可能
な元素を有する酸素の燃焼生成物を除去するために膜を
加熱する。第2の特徴において、プロセスは酸素ソース
若しくは酸化剤及び以下に説明するようにF−含有化合
物を与え、及びF−含有膜を比較的高い温度で基板上に
蒸着することによって実行され、その結果膜内の気孔は
デポジションプロセスの間生じる燃焼によって作られ
る。
【0049】両方の特徴において、好適には膜は他で説
明されるようなデポジション技術、好適には熱CVD若し
くはPECVDによって、他で説明されるような酸素ソース
及び化学式(F3C)4-m-nMXmRnのF−含有化合物を使って蒸
着され、ここでMはSi若しくはGe、RはH若しくはD、mは
0、1、2若しくは3、及びnは0、1、2若しくは3であり(m+
n)≦3が条件である。好適なF−含有化合物は、(F3C)SiH
3、(F3C)2SiH2、(F3C)SiD 3、(F3C)2SiD2、(F3C)SiFH2
(F3C)SiF2H、(F3C)SiF3、(F3C)SiFD2、(F3C)SiF2D、(F3
C)GeH3、(F3C)2GeH2、(F3C)GeD3、(F3C)2GeD2、(F3C)Ge
FH2、(F3C)GeF2H、(F3C)GeF3、(F3C)GeFD2、及び(F3C)G
eF2Dを含む。F−含有化合物及び酸素ソースは好適には
気体の形式若しくは気体の成分として与えられ、気体は
キャリアガス、シリコンガス、炭素ソース及び/または
他で説明されるようにゲルマニウムソースから成る。
【0050】第1の特徴に従って実行されると、酸素ソ
ース及び化合物のデポジションは、好適にはCF2基の形
式でフッ素原子を含む酸素含有膜を生じさせる。好適に
はPECVD若しくは熱CVDによる、より低温でのデポジショ
ンが好適であり、好適には約300℃若しくはそれ以下の
温度で、さらにより好適には約200℃から約300℃の範囲
の温度でのデポジションが好適である。デポジションは
好適にはチャンバ内で実行され、さらに好適にはPECVD
チャンバ若しくは他に記載されるような熱CVDチャンバ
内で実行される。好適実施例において、F−含有化合物
は(F3C)SiF3であり、酸素ソースは酸素若しくはオゾン
であり、酸素含有膜の全元素含量に基づいて、酸素原子
の5原子パーセント若しくはそれ以下が、さらに好適に
は、約1原子パーセント若しくはそれ以下が酸素含有膜
内に組み込まれる。
【0051】第1の特徴に対して、多孔膜は、好適には
膜内に所望のレベルの気孔率を作るのに有効な時間間隔
の間に、好適には酸素含有膜を約150℃から約400℃の範
囲の温度に加熱することによって作られる。より好適に
は、加熱は実質的に無酸素の生成膜を与えるのに効果的
であり、特に膜が誘電膜である場合には、膜内の酸素の
存在が薄膜の熱強度を減少させるために有効である。
【0052】本発明は理論に限定されないが、膜内の酸
素は炭素のような他の可燃性元素と反応し、一酸化炭素
(CO)及び二酸化炭素(CO2)のような気体を形成するた
め、気孔は膜内に炭素及び酸素原子が前もって配置され
るところのマイクロ空洞の作成を生じさせると信じられ
ている。好適には、酸素含有膜は膜のガラス転移温度よ
り高い温度まで加熱され、その結果マイクロバブルを形
成するべく柔らかい膜内でガスが膨張するに従い付加的
な気孔率が達成される。膜内のより高いレベルの気孔率
はより低い比誘電率を有する膜を与えるには好適であ
る。好適には、第1の特徴のプロセスはそこから引き出
される酸素含有膜の比誘電率より少なくとも0.1単位低
い比誘電率を有する多孔膜を与えるのに有効である。
【0053】第2の特徴に従うデポジションは好適には
ひとつの気孔作成燃焼がデポジション中に起こるところ
のより高い温度工程において実行される。本発明は理論
によって限定されないが、酸素ソースは膜が蒸着される
にしたがって膜の表面を少なくとも部分的にエッチング
するようデポジション中に酸化剤として作用すると信じ
られている。好適にはPECVD若しくは熱CVDによって、よ
り高い温度でのデポジションが好適であり、その温度は
約300℃以上が好適であり、さらに約350℃から約450℃
の範囲の温度がより好適である。デポジションは好適に
はチャンバ内で実行され、さらに好適には他で説明され
るようにPECVDチャンバ若しくは熱CVDチャンバ内で実行
される。好適実施例において、F−含有化合物は(F3C)Si
F3であり、酸素ソース若しくは酸化剤は酸素、オゾン、
二酸化炭素若しくは一酸化炭素であり、F−含有化合物
と酸素ソースの比、すなわちF−含有化合物:酸素ソー
スは総重量に基づく重量比で約10:1から20000:1の範
囲内にあり、好適には50:1から10000:1の範囲内であ
る。生成された蒸着膜は酸素を含むが、好適には実質的
に無酸素であり、特に膜が誘電膜である場合には膜内の
酸素の存在が薄膜材料の熱強度を減少させるために好適
である。
【0054】両方の特徴において、有効な酸素の量が増
加するにしたがって気孔率の程度は増加する傾向にあ
る。非常に多くの酸素は膜の完全燃焼を生じさせ、した
がってもし膜の除去が所望されなければ避けられるべき
である。好適には、多孔膜は約2.5若しくはそれ以下
の、より好適には約2.3若しくはそれ以下の、さらによ
り好適には約2.1若しくはそれ以下の比誘電率を有する
誘電膜である。非常に好適な多孔膜は架橋され、及び約
2.5若しくはそれ以下の比誘電率を有する。しばしば、
多孔膜の平均厚さは約5Åから約15000Å、好適には約10
Åから約10000Å、より好適には約10Åから約8000Å、
最も好適には約100Åから約5000Åの範囲にある。
【0055】ここで説明される酸素含有膜及び多孔膜は
さまざまなプロセスにさらされる。例えば、集積回路の
製造において、金属ラインのような他の材料の付加的層
若しくは半導体層がここで説明されるように形成される
誘電膜の表面に蒸着される。そのようなデポジション
は、シリコンソース、金属ソース、ゲルマニウムソース
などを与えることによって、及び通常の方法で付加的層
を蒸着することによって実行される。好適実施例におい
て、シリコンソースは化学気相成長チャンバに導入さ
れ、及びSi−含有膜は多孔膜上に蒸着される。
【0056】フッ素化材料はそれらがそれらのユニーク
な性質のために認識されるところの多くの他の産業界で
も有用である。典型的な応用は、体内に注入される生物
医学的装置のためのコーティング、突き刺さない料理応
用に対するコーティング、ベアリング、コンピュータハ
ードディスク及びデータテープのような移動部品に対す
るコーティング、非反射コーティング、及び化学処理産
業において、酸素原子に晒される衛星上で及び腐食性の
海の応用において出くわす攻撃的な化学環境から保護す
るための高性能コーティングを含む。ここに説明される
プロセスはフッ素化材料をこれらの応用及びこれらの材
料のユニークな性質が利益を与えるところの他の応用に
おいて使用される基板上に蒸着するために使用される。
【0057】本発明の思想から離れることなく多くの修
正が為されることは当業者の理解するところである。し
たがって、上記されたさまざまな実施例及び以下に説明
される例は例証のみであり本発明の態様を制限するつも
りはないことは明白に理解されるべきである。
【0058】(例) (例1〜7)ガス入口及び出口を有しかつ抵抗的に加熱
された管状炉によって包囲された石英チューブがCF3SiF
3のソースに取り付けられた。7枚のシリコン被処理体
がチューブの長さ方向に沿って配置された。炉の一部が
約350℃の温度まで加熱され、入口端付近にホットゾー
ンを作り及びホットゾーンの約350℃から出口での約30
℃までの範囲で炉の残りの長さに沿って温度勾配を生成
する。デポジション中の各被処理体の付近の炉の温度は
熱電対を使って測定され表1に示されている。圧力を適
度に一定に保つために窒素でバックフィリングしなが
ら、CF3SiF3化学前駆体が約3torrの圧力で約10〜15毎分
標準立方センチメートル(sccm)の流量で入口に導入され
た。CF3SiF3は炉の長さに沿って出口に流されるにした
がって熱的に活性化され、それによって被処理体の各々
の上に膜を蒸着する。
【0059】被処理体の各々の上に蒸着された膜に対す
る化学構造は、赤外線分光学及びX線光電子分光学(XP
S)によって特徴付けられる。表1に報告されたいくつ
かの膜に対するCF2の含量は炭素1s XPSスペクトルの292
eVピークの下で領域を測定することによって評価され
た。膜の残りのCF2含量はこれらの膜の赤外線スペクト
ルをXPSを使って測定された膜のスペクトルを直接比較
することによって評価された。膜に関する付加的な観測
は表1に報告されている。
【0060】排出ガスは液体窒素に捉えられ分析され
る。回復された主なガスはSiF4及びテトラフルオロエチ
レンであった。CF3SiF3の痕跡量もまた検出された(<1
%)。
【0061】これらの結果は、CF3SiF3がガス状の副生
成物としてテトラフルオロエチレンを与えるべく互いに
再結合するばかりでなく基板温度の範囲にわたって薄膜
材料を蒸着することができる非常に反応性の高いCF2
片を与えるよう熱的に活性化されることを示す。前駆体
活性化の程度は、ホットゾーンの温度ばかりでなくホッ
トゾーンの長さに直接関係していることが、反応のいく
つかのシリーズに対する異なる実験条件の下で蒸着され
た異なるタイプの膜によって立証された。蒸着された膜
のタイプの代表例が表1に要約されている。
【0062】これらの実験条件に対して、ホットゾーン
の温度に維持された被処理体は薄く優れた付着性を有す
る透明膜でコーティングされたことが観測された。これ
らの膜のFTIRスペクトルは(CF2)nの構造と一貫する構造
を表す。ガス入口から離れて〜300℃に維持された被処
理体に対して、基板に付着したより厚い膜が観測され
た。これらの膜も(CF2)nの構造と一貫するFTIRスペクト
ルを示した。炉の抵抗加熱されたゾーン内に直接入って
いない約280℃に維持された被処理体は不透明でミクロ
ンのオーダーの厚さを有する茶色に着色された膜でコー
ティングされた。これらの膜は2つの層から構成され
た。膜の上層はルーズに付着しているのが観測され、テ
ープ試験によって除去されたが、一方基板に近い下層は
テープ試験による除去に対して抵抗することが観測され
た。これらの膜のFTIRスペクトルは管状炉の抵抗加熱部
分内に直接蒸着された膜から観測されたものと同一であ
る。これは、気相の核生成が膜蒸着の後のステージに寄
与し、ホットゾーン内で直接蒸着された膜と異なる分子
量を有する高分子材料を生成したことを示唆する。約20
0℃から約140℃までの温度に維持された被処理体は薄
く、ルーズに付着した膜でコーティングされ、それは基
板に近接して端部効果を有する厚さを示し、またそれは
不透明の上層を有し、白い膜はテープ試験で簡単に除去
された。これらの膜のFTIRスペクトルはホットゾーン内
の被処理体上に蒸着された膜から得られるものと同一で
あった。約35℃に維持された被処理体は約200℃から約1
40℃に維持された被処理体上で観測されたものと全く類
似した膜でコーティングされたが、それらはもっと薄い
と観測された。これらの膜のFTIRスペクトルはホットゾ
ーン内の被処理体上に蒸着された膜から得られたものと
同一であった。
【0063】(例8)傾斜した誘電膜はASM A400バッチ
リアクタシステムを使った熱CVDによって蒸着される。
チャンバ内に含まれたシリコンウエハは基板温度の450
℃まで加熱される。シリコンウエハ上に約10Åの厚さを
有するアモルファスシリコン層を蒸着するために約30
秒間約180sccmの流量で、トリシランが水素バブラーを
通じてチャンバへ導入される。その後、トリシランの流
量は0.2sccmへ下方傾斜され、アモルファスシリコン層
上に約60Åのシリコン及びフッ素の両方を含む架橋さ
れ、傾斜された層を蒸着させるべく、同時にCF3SiF3
流量を1分間にわたって上方傾斜する。約95%のCF2
量及び約2.3の比誘電率を有する約2500Åの架橋された
膜を蒸着するべく、デポジションはその後約10分間約10
0:1のCF3SiF3:トリシランの比で続けられる。膜はシ
リコン基板に対して良好な付着性を示す。
【0064】(例9)膜がASM Eagle 10 PECVDリアクタ
を使ってパルス化PECVDによって約100℃で蒸着されるこ
とを除いて、例8で説明されたように、傾斜した膜はCF
3SiF3及びトリシランを使ってシリコン基板上に蒸着さ
れる。パルスシーケンスは10ミリ秒がオンで200ミリ秒
がオフであり、パワーレベルは13.56MHzである。CF3SiF
3及びトリシランに対する導入シーケンスは各ステージ
でほぼ同じ厚さを有する膜を蒸着するべく例8と比べて
修正される。生成された架橋された膜は約85%のCF2
量及び約2.2の比誘電率を有し、シリコン基板に対して
良好な付着性を示す。
【0065】(例10)プラズマがデポジションのため
に使用されないことを除いて、例9に説明されるように
PECVDリアクタを使ってCF3SiF3及びトリシランを使用し
てシリコン基板上に傾斜した膜が蒸着される。約20Åの
厚さを有するアモルファスシリコン層をシリコンウエハ
上に蒸着するべく、トリシランは遠隔プラズマチャンバ
内で分解され及び約100℃の基板温度でメインチャンバ
内に導入される。その後、トリシラン流量の対応する下
方傾斜の間に20sccmまで上方傾斜される約1sccmの初期
流量で約370℃に加熱されたシャワーヘッドを通じてCF3
SiF3を導入することによって傾斜した層が蒸着される。
上方傾斜/下方傾斜には約3分かかる。その後デポジシ
ョンは架橋された膜を蒸着するべく約12分間続けられ
る。膜の全体の層構造は例8及び9と類似するが、それ
は約90%のCF2含量及び約2.2の比誘電率を有する。それ
はシリコン基板に対して良好な付着性を示す。
───────────────────────────────────────────────────── フロントページの続き Fターム(参考) 4K030 AA01 AA04 AA06 AA09 AA14 BA35 CA04 CA12 FA01 FA10 JA01 JA10 LA15 5F033 RR11 RR23 SS02 SS03 SS13 SS15 XX24 5F058 BA20 BB10 BC20 BF02 BF07 BF22 BF29 BJ02

Claims (47)

    【特許請求の範囲】
  1. 【請求項1】材料を表面上に付着するためのプロセスで
    あって、 基板を与える工程と、 化学式(F3C)4-m-nMXmRnの化学前駆体を与える工程であ
    って、ここでMはSi若しくはGeであり、Xはハロゲンであ
    り、RはH若しくはDであり、mは0、1、2若しくは3であ
    り、nは0、1、2若しくは3であり、条件(m+n)≦3を有す
    るところの工程と、 前記化学前駆体を活性化する工程であって、それによっ
    てフッ素含有材料を前記基板上に付着させる工程と、か
    ら成るプロセス。
  2. 【請求項2】請求項1に記載のプロセスであって、前記
    化学前駆体が(F3C)SiH3、(F3C)2SiH2、(F3C)SiD3、(F
    3C)2SiD2、(F3C)SiFH2、(F3C)SiF2H、(F3C)SiF 3、(F3C)
    SiFD2、(F3C)SiF2D、(F3C)SiClF2、(F3C)SiCl2F、及び
    (F3C)SiCl3から成る集合から選択される、ところのプロ
    セス。
  3. 【請求項3】請求項1に記載のプロセスであって、前記
    化学前駆体は(F3C)SiF3である、ところのプロセス。
  4. 【請求項4】請求項1に記載のプロセスであって、前記
    化学前駆体が(F3C)GeH3、(F3C)2GeH2、(F3C)GeD3、(F
    3C)2GeD2、(F3C)GeFH2、(F3C)GeF2H、(F3C)GeF 3、(F3C)
    GeFD2、及び(F3C)GeF2Dから成る集合から選択される、
    ところのプロセス。
  5. 【請求項5】請求項1に記載のプロセスであって、前記
    フッ素含有材料は約2.7若しくはそれ以下の比誘電率を
    有する膜である、ところのプロセス。
  6. 【請求項6】請求項1に記載のプロセスであって、前記
    基板は集積回路である、ところのプロセス。
  7. 【請求項7】請求項1に記載のプロセスであって、さら
    にシリコンソースを与える工程から成るプロセス。
  8. 【請求項8】請求項7に記載のプロセスであって、前記
    シリコンソースは、シラン、ジシラン、トリシラン、メ
    チルシラン、ジメチルシラン、ジシロキサン、ジメチル
    シロキサン、メトキシシラン及びジメトキシシランから
    成る集合から選択される、ところのプロセス。
  9. 【請求項9】請求項8に記載のプロセスであって、前記
    シリコンソースは前記フッ素含有材料を架橋するのに有
    効な量で与えられる、ところのプロセス。
  10. 【請求項10】請求項1に記載のプロセスであって、さ
    らにゲルマニウムソースを与える工程から成るプロセ
    ス。
  11. 【請求項11】請求項10に記載のプロセスであって、
    前記ゲルマニウムソースは、ゲルマン、ジゲルマン、ト
    リゲルマン、メチルゲルマン、ジメチルゲルマン、メト
    キシゲルマン及びジメトキシゲルマンから成る集合から
    選択される、ところのプロセス。
  12. 【請求項12】請求項11に記載のプロセスであって、
    前記ゲルマニウムソースは前記フッ素含有材料を架橋す
    るのに有効な量で与えられる、ところのプロセス。
  13. 【請求項13】請求項1に記載のプロセスであって、さ
    らに酸素ソースを与える工程から成るプロセス。
  14. 【請求項14】請求項13に記載のプロセスであって、
    前記酸素ソースは、酸素、一酸化二窒素、オゾン、過酸
    化水素、水、メタノール、エタノール、ジメチルエーテ
    ル及びジエチルエーテルから成る集合から選択される、
    ところのプロセス。
  15. 【請求項15】請求項1に記載のプロセスであって、さ
    らに酸素ソース及びシリコンソースを与える工程から成
    るプロセス。
  16. 【請求項16】請求項1に記載のプロセスであって、さ
    らに酸素ソース及びゲルマニウムソースを与える工程か
    ら成るプロセス。
  17. 【請求項17】請求項1に記載のプロセスであって、前
    記基板はチャンバ内に配置される、ところのプロセス。
  18. 【請求項18】請求項17に記載のプロセスであって、
    前記チャンバはバッチチューブリアクタである、ところ
    のプロセス。
  19. 【請求項19】請求項17に記載のプロセスであって、
    前記フッ素含有材料は熱化学気相成長によって蒸着され
    る、ところのプロセス。
  20. 【請求項20】請求項19に記載のプロセスであって、
    前記フッ素含有材料は約150℃から約450℃の範囲の温度
    で熱化学気相成長によって蒸着される、ところのプロセ
    ス。
  21. 【請求項21】請求項20に記載のプロセスであって、
    前記フッ素含有材料は約10Åから約10000Åの範囲の厚
    さを有するポリマー膜である、ところのプロセス。
  22. 【請求項22】請求項17に記載のプロセスであって、
    さらに酸化剤を与える工程及び多孔性フッ素含有材料を
    付着する工程から成るプロセス。
  23. 【請求項23】請求項22に記載のプロセスであって、
    前記多孔性フッ素含有材料は約2.3若しくはそれ以下の
    比誘電率を有する、ところのプロセス。
  24. 【請求項24】請求項1に記載のプロセスであって、前
    記フッ素含有材料は炭素及びフッ素原子から成るポリマ
    ーであり、約1.5:1から約2.5:1の範囲のフッ素:炭素
    の数値比を有する、ところのプロセス。
  25. 【請求項25】請求項1に記載のプロセスであって、前
    記フッ素含有材料はプラズマ強化化学気相成長によって
    蒸着される、ところのプロセス。
  26. 【請求項26】請求項25に記載のプロセスであって、
    前記フッ素含有材料はパルス化プラズマ強化化学気相成
    長によって蒸着される、ところのプロセス。
  27. 【請求項27】請求項1に記載のプロセスであって、さ
    らにシリコンソースを与える工程及びSi−含有膜を前記
    フッ素含有材料の上に付着する工程から成るプロセス。
  28. 【請求項28】誘電膜を表面に蒸着するための化学気相
    成長プロセスであって、 内部に基板が配置された化学気相成長チャンバを与える
    工程と、 前記チャンバへガスを導入する工程であって、前記ガス
    が(F3C)SiH3、(F3C)2SiH2、(F3C)SiD3、(F3C)2SiD2、(F
    3C)SiFH2、(F3C)SiF2H、(F3C)SiF3、(F3C)SiFD 2、及び
    (F3C)SiF2Dから成る集合から選択される化学前駆体から
    成るところの工程と、 約2.7若しくはそれ以下の比誘電率を有する膜を前記基
    板上に蒸着するために前記化学前駆体を反応させる工程
    と、 から成るプロセス。
  29. 【請求項29】請求項28に記載のプロセスであって、
    前記膜は約150℃から約450℃の範囲の温度で熱化学気相
    成長によって蒸着される、ところのプロセス。
  30. 【請求項30】請求項28に記載のプロセスであって、
    前記化学前駆体は(F 3C)SiF3である、ところのプロセ
    ス。
  31. 【請求項31】請求項28に記載のプロセスであって、
    前記膜はプラズマ化学気相成長によって蒸着される、と
    ころのプロセス。
  32. 【請求項32】請求項31に記載のプロセスであって、
    前記膜はパルス化プラズマ化学気相成長によって蒸着さ
    れる、ところのプロセス。
  33. 【請求項33】請求項28に記載のプロセスであって、
    前記ガスはさらに酸素ソース、シリコンソース及びゲル
    マニウムソースから成る集合から選択された補助ソース
    から成る、ところのプロセス。
  34. 【請求項34】請求項33に記載のプロセスであって、
    前記ガスはさらに前記膜を架橋するのに有効な量のシリ
    コンソースから成る、ところのプロセス。
  35. 【請求項35】請求項28に記載のプロセスであって、
    前記基板は集積回路である、ところのプロセス。
  36. 【請求項36】請求項35に記載のプロセスであって、
    前記膜は炭素及びフッ素原子から成るポリマーであり、
    約1.8:1から約2.2:1の範囲のフッ素:炭素の数値比を
    有する、ところのプロセス。
  37. 【請求項37】多孔材料を作るためのプロセスであっ
    て、 酸素ソースを与える工程と、 化学式(F3C)4-m-nMXmRnの化合物を与える工程であっ
    て、ここでMはSi若しくはGeであり、Xはハロゲンであ
    り、RはH若しくはDであり、mは0、1、2若しくは3であ
    り、nは0、1、2若しくは3であり、条件(m+n)≦3を有す
    るところの工程と、 基板を与える工程と、 前記酸素ソース及び前記化合物を約300℃若しくはそれ
    以下の温度で活性化する工程であって、それによって酸
    素含有膜を前記基板上に付着する工程と、 多孔膜を形成するために、前記酸素含有膜を約150℃か
    ら約400℃の範囲の温度まで加熱する工程と、から成る
    プロセス。
  38. 【請求項38】請求項37に記載のプロセスであって、
    前記酸素含有膜は約200℃から約300℃の範囲の温度で熱
    化学気相成長によって蒸着される、ところのプロセス。
  39. 【請求項39】請求項37に記載のプロセスであって、
    前記酸素含有膜はプラズマ強化化学気相成長によって蒸
    着される、ところのプロセス。
  40. 【請求項40】請求項37に記載のプロセスであって、
    前記化合物は(F3C)SiH3、(F3C)2SiH2、(F3C)SiD3、(F
    3C)2SiD2、(F3C)SiFH2、(F3C)SiF2H、(F3C)SiF 3、(F3C)
    SiFD2、(F3C)SiF2D、(F3C)GeH3、(F3C)2GeH2、(F3C)GeD
    3、(F3C)2GeD2、(F3C)GeFH2、(F3C)GeF2H、(F3C)GeF3
    (F3C)GeFD2、及び(F3C)GeF2Dから成る集合から選択され
    る、ところのプロセス。
  41. 【請求項41】請求項37に記載のプロセスであって、
    前記化合物は(F3C)SiF3である、ところのプロセス。
  42. 【請求項42】請求項37に記載のプロセスであって、
    前記多孔膜は約2.3若しくはそれ以下の比誘電率を有す
    る、ところのプロセス。
  43. 【請求項43】請求項37に記載のプロセスであって、
    さらにシリコンソース、ゲルマニウムソース及び酸素ソ
    ースから成る集合から選択される補助ソースを与える工
    程から成るプロセス。
  44. 【請求項44】請求項37に記載のプロセスであって、
    前記基板は化学気相成長チャンバ内に含まれる、ところ
    のプロセス。
  45. 【請求項45】請求項44に記載のプロセスであって、
    さらに前記化学気相成長チャンバへシリコンソースを導
    入する工程及びSi−含有膜を前記酸素含有膜上に蒸着す
    る工程から成るプロセス。
  46. 【請求項46】請求項44に記載のプロセスであって、
    さらに前記化学気相成長チャンバへシリコンソースを導
    入する工程及びSi−含有膜を前記多孔膜上に蒸着する工
    程から成るプロセス。
  47. 【請求項47】請求項44に記載のプロセスであって、
    前記多孔膜は架橋され及び約2.5若しくはそれ以下の比
    誘電率を有する、ところのプロセス。
JP2001131535A 2000-04-28 2001-04-27 フッ素含有材料及び処理 Pending JP2002009071A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US20067400P 2000-04-28 2000-04-28
US60/200674 2000-04-28

Publications (1)

Publication Number Publication Date
JP2002009071A true JP2002009071A (ja) 2002-01-11

Family

ID=22742691

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001131535A Pending JP2002009071A (ja) 2000-04-28 2001-04-27 フッ素含有材料及び処理

Country Status (3)

Country Link
US (1) US6458718B1 (ja)
EP (1) EP1150345A3 (ja)
JP (1) JP2002009071A (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015519219A (ja) * 2012-03-26 2015-07-09 シルコテック コーポレーション コーティングされた物品及び化学蒸着方法
JP2016509127A (ja) * 2012-12-21 2016-03-24 ザ ジレット カンパニー フッ化炭素ポリマーの化学蒸着

Families Citing this family (185)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1999027575A1 (fr) * 1997-11-20 1999-06-03 Tokyo Electron Limited Procede de formation d'un film par plasma
EP1123991A3 (en) * 2000-02-08 2002-11-13 Asm Japan K.K. Low dielectric constant materials and processes
US6521546B1 (en) * 2000-06-14 2003-02-18 Applied Materials, Inc. Method of making a fluoro-organosilicate layer
US6846737B1 (en) 2000-08-15 2005-01-25 Intel Corporation Plasma induced depletion of fluorine from surfaces of fluorinated low-k dielectric materials
WO2002019363A2 (en) * 2000-08-28 2002-03-07 Applied Materials, Inc. Pre-polycoating of glass substrates
JP2004526318A (ja) * 2001-03-23 2004-08-26 ダウ・コーニング・コーポレイション 水素化シリコンオキシカーバイド膜を生産するための方法
US20030111013A1 (en) * 2001-12-19 2003-06-19 Oosterlaken Theodorus Gerardus Maria Method for the deposition of silicon germanium layers
US6825134B2 (en) * 2002-03-26 2004-11-30 Applied Materials, Inc. Deposition of film layers by alternately pulsing a precursor and high frequency power in a continuous gas flow
CA2487486A1 (en) * 2002-06-21 2003-12-31 E.I. Du Pont De Nemours And Company Fluoropolymer interlayer dielectric by chemical vapor deposition
KR100473806B1 (ko) * 2002-09-28 2005-03-10 한국전자통신연구원 유기물 박막 및 유기물 소자를 위한 대면적 유기물 기상증착 장치 및 제조 방법
US7540920B2 (en) 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
US7132338B2 (en) 2003-10-10 2006-11-07 Applied Materials, Inc. Methods to fabricate MOSFET devices using selective deposition process
US8501594B2 (en) * 2003-10-10 2013-08-06 Applied Materials, Inc. Methods for forming silicon germanium layers
US7166528B2 (en) 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
US7078302B2 (en) * 2004-02-23 2006-07-18 Applied Materials, Inc. Gate electrode dopant activation method for semiconductor manufacturing including a laser anneal
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7682940B2 (en) 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7560352B2 (en) 2004-12-01 2009-07-14 Applied Materials, Inc. Selective deposition
US7312128B2 (en) 2004-12-01 2007-12-25 Applied Materials, Inc. Selective epitaxy process with alternating gas supply
US7235492B2 (en) 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US7648927B2 (en) 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
TW200713455A (en) * 2005-09-20 2007-04-01 Applied Materials Inc Method to form a device on a SOI substrate
WO2007078802A2 (en) * 2005-12-22 2007-07-12 Asm America, Inc. Epitaxial deposition of doped semiconductor materials
US7674337B2 (en) 2006-04-07 2010-03-09 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
JP5090451B2 (ja) 2006-07-31 2012-12-05 アプライド マテリアルズ インコーポレイテッド 炭素含有シリコンエピタキシャル層の形成方法
KR101369355B1 (ko) 2006-07-31 2014-03-04 어플라이드 머티어리얼스, 인코포레이티드 에피택셜 층 형성 동안에 형태를 제어하는 방법
US8197913B2 (en) * 2007-07-25 2012-06-12 Tokyo Electron Limited Film forming method for a semiconductor
FR2935246B1 (fr) * 2008-08-29 2010-09-03 Seb Sa Article culinaire comportant un revetement antiadhesif a proprietes ameliorees d'anti-adherence
FR2937235B1 (fr) 2008-10-16 2010-11-12 Seb Sa Articule culinaire comportant un revetement antiadhesif presentant des proprietes ameliorees d'adherence au support
US9340880B2 (en) 2009-10-27 2016-05-17 Silcotek Corp. Semiconductor fabrication process
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
JP5843491B2 (ja) * 2010-06-24 2016-01-13 キヤノン株式会社 塗布液、光学部品の製造方法および撮影光学系
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
DE102013016324A1 (de) 2013-10-04 2015-04-09 Merck Patent Gmbh Perfluoralkylfluor- oder Perfluoralkylchlorgermanate
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
DE102013224623B4 (de) * 2013-11-29 2022-10-06 Robert Bosch Gmbh Verfahren zur Herstellung eines mikromechanischen Bauelements
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US11292924B2 (en) 2014-04-08 2022-04-05 Silcotek Corp. Thermal chemical vapor deposition coated article and process
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
WO2017040623A1 (en) 2015-09-01 2017-03-09 Silcotek Corp. Thermal chemical vapor deposition coating
US20170283943A1 (en) * 2016-03-29 2017-10-05 Silcotek Corp. Treated article, system having treated article, and process incorporating treated article
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN110158052B (zh) * 2019-05-17 2021-05-14 江苏菲沃泰纳米科技股份有限公司 低介电常数膜及其制备方法
CN110129769B (zh) * 2019-05-17 2021-05-14 江苏菲沃泰纳米科技股份有限公司 疏水性的低介电常数膜及其制备方法
WO2020252306A1 (en) 2019-06-14 2020-12-17 Silcotek Corp. Nano-wire growth

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5244698A (en) 1985-02-21 1993-09-14 Canon Kabushiki Kaisha Process for forming deposited film
US4781942A (en) 1985-12-19 1988-11-01 Hughes Aircraft Company Process for the photochemical vapor deposition of siloxane polymers
US4894352A (en) 1988-10-26 1990-01-16 Texas Instruments Inc. Deposition of silicon-containing films using organosilicon compounds and nitrogen trifluoride
US5563105A (en) 1994-09-30 1996-10-08 International Business Machines Corporation PECVD method of depositing fluorine doped oxide using a fluorine precursor containing a glass-forming element
US5492736A (en) * 1994-11-28 1996-02-20 Air Products And Chemicals, Inc. Fluorine doped silicon oxide process
JPH09116011A (ja) 1995-10-23 1997-05-02 Mitsubishi Electric Corp 半導体装置およびその製造方法
US5989998A (en) 1996-08-29 1999-11-23 Matsushita Electric Industrial Co., Ltd. Method of forming interlayer insulating film
US6051321A (en) 1997-10-24 2000-04-18 Quester Technology, Inc. Low dielectric constant materials and method
US5876798A (en) 1997-12-29 1999-03-02 Chartered Semiconductor Manufacturing, Ltd. Method of fluorinated silicon oxide film deposition
US6303523B2 (en) * 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US5900290A (en) 1998-02-13 1999-05-04 Sharp Microelectronics Technology, Inc. Method of making low-k fluorinated amorphous carbon dielectric
US6068884A (en) 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
US6015595A (en) * 1998-05-28 2000-01-18 Felts; John T. Multiple source deposition plasma apparatus
US6365528B1 (en) * 2000-06-07 2002-04-02 Lsi Logic Corporation Low temperature process for forming a low dielectric constant fluorine and carbon-containing silicon oxide dielectric-material characterized by improved resistance to oxidation and good gap-filling capabilities

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015519219A (ja) * 2012-03-26 2015-07-09 シルコテック コーポレーション コーティングされた物品及び化学蒸着方法
JP2016509127A (ja) * 2012-12-21 2016-03-24 ザ ジレット カンパニー フッ化炭素ポリマーの化学蒸着

Also Published As

Publication number Publication date
US6458718B1 (en) 2002-10-01
EP1150345A2 (en) 2001-10-31
US20020045359A1 (en) 2002-04-18
EP1150345A3 (en) 2004-08-11

Similar Documents

Publication Publication Date Title
JP2002009071A (ja) フッ素含有材料及び処理
TWI654335B (zh) 製造用於填充表面特徵的低k膜的前驅物及流動cvd方法
EP1149934B1 (en) CVD synthesis of silicon nitride materials
JP3515074B2 (ja) 低κ誘電性無機/有機ハイブリッドフィルム及びその製造方法
JP4833268B2 (ja) 低誘電率および超低誘電率のSiCOH誘電体膜の形成方法
CN101595559B (zh) 形成镶嵌结构的方法
US6383955B1 (en) Silicone polymer insulation film on semiconductor substrate and method for forming the film
JP3762304B2 (ja) 低誘電率層間絶縁膜の形成方法
US6733830B2 (en) Processes for depositing low dielectric constant materials
CN110023535B (zh) 用于制造低k膜以填充表面特征的前体和可流动cvd法
JP4874614B2 (ja) 多孔質の低誘電率組成物並びにそれを作製及び使用するための方法
JP2000049157A (ja) 低誘電率を有する水素化オキシ炭化珪素フィルムの製造方法
JP2004312041A (ja) 低誘電率材料およびcvdによる処理方法
JP4881153B2 (ja) 水素化シリコンオキシカーバイド膜の生成方法。
WO2010090038A1 (ja) 絶縁膜材料、この絶縁膜材料を用いた成膜方法および絶縁膜
JP2012144738A (ja) 組成物
JP2021527331A (ja) 組成物、及びケイ素含有膜の堆積のための組成物を使用する方法
US5061514A (en) Chemical vapor deposition (CVD) process for plasma depositing silicon carbide films onto a substrate
JP2723472B2 (ja) 基体上に硼燐化シリカガラスを付着する装置および方法
JP2001102378A (ja) 層間絶縁膜の形成方法
KR100926722B1 (ko) 반도체 기판상의 실록산 중합체막 및 그 제조방법
US6905981B1 (en) Low-k dielectric materials and processes
JP3197008B2 (ja) 半導体基板上のシリコン重合体絶縁膜及びその膜を形成する方法
CN117561349A (zh) 用于沉积具有高弹性模量的膜的新前体
US20040091717A1 (en) Nitrogen-free fluorine-doped silicate glass