JP2001189387A - システムオンチップの設計検証方法および装置 - Google Patents

システムオンチップの設計検証方法および装置

Info

Publication number
JP2001189387A
JP2001189387A JP2000333518A JP2000333518A JP2001189387A JP 2001189387 A JP2001189387 A JP 2001189387A JP 2000333518 A JP2000333518 A JP 2000333518A JP 2000333518 A JP2000333518 A JP 2000333518A JP 2001189387 A JP2001189387 A JP 2001189387A
Authority
JP
Japan
Prior art keywords
chip
verification
design
core
silicon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2000333518A
Other languages
English (en)
Other versions
JP4804620B2 (ja
Inventor
Rajuman Rochetto
ロチェット・ラジュマン
Hiroaki Yamoto
裕明 矢元
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Advantest Corp
Original Assignee
Advantest Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advantest Corp filed Critical Advantest Corp
Publication of JP2001189387A publication Critical patent/JP2001189387A/ja
Application granted granted Critical
Publication of JP4804620B2 publication Critical patent/JP4804620B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F11/00Error detection; Error correction; Monitoring
    • G06F11/22Detection or location of defective computer hardware by testing during standby operation or during idle time, e.g. start-up testing
    • G06F11/26Functional testing
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/33Design verification, e.g. functional simulation or model checking

Landscapes

  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • Quality & Reliability (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Test And Diagnosis Of Digital Computers (AREA)
  • Tests Of Electronic Circuits (AREA)

Abstract

(57)【要約】 【課題】システムオンチップの設計を正確高速且つ低コ
ストで検証する方法と装置を提供する。 【解決手段】シテムオンチップに集積される各コアの検
証を行うステップと、各コアに相当するシリコンICと
コア提供者からのシミュレーション・テストベンチを使
用するステップと、各コア間のインターフェイス、コ
ア、オンチップバス、およびグルーロジックをシステム
オンチップの設計において形成されたテストベンチとグ
ルーロジックのFPGAまたはエミュレーションを用い
て検証するステップと、コアとコア間のタイミングおよ
びシステムオンチップレベルのタイミングを検証するス
テップと、システムオンチップ全体のシミュレーション
・テストベンチを使用しかつアプリケーションを実行す
ることによりシステム全体としての設計の検証するステ
ップと、による検証方法の実施を可能にする。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】この発明は、複数の機能コア
を有するシステムオンチップ(SoC)集積回路の設計
の完全性を試験するための方法および装置に関し、特に
各コアの意図した機能、各コアのタイミング、各コア間
のインタフェース、およびシステムオンチップのシステ
ム全体としての動作のそれぞれについて正しい設計がさ
れているかを確認するようにしたシステムオンチップの
設計検証方法および装置に関する。
【0002】
【従来の技術】最近数年間において、ASIC(Applic
ation Specific IC)の技術は、チップ組み合わせ思考
から埋込みコアをベースとしたシステムオンチップの思
考に発展している。これらのシステムオンチップは、
「コア」(IPまたは知的財産とも称される)として知
られる設計済みの複雑で各種のアプリケーションに寄与
する機能ブロックを用いて構成されている。これらの機
能コアは、一般にVerilog/VHDLのような高
級記述言語(HDL)の形態として(ソフトコアとも称
される)、あるいはGDSIIのようなトランジスタレ
ベルのレイアウトの形態として(ハードコアとも称され
る)入手することができる。システムオンチップは、オ
ンチップによる機能を形成するために、ハードコアとソ
フトコアの組み合わせとして構成されている。そのよう
なオンチップ機能の例は、マイクロプロセッサ、大規模
メモリアレー、オーディオ・ビデオコントローラ、モデ
ム、インターネット・チューナ、二次元・三次元グラフ
ィック・コントローラ、DSP等である。
【0003】多くの場合、このようなコア(以後「機能
コア」ともいう)は、コア提供会社より購入することが
でき、これを集積回路化してシステムオンチップを形成
する。機能コアを外部より購入する場合、コア提供会社
は、そのコアのシミュレーションテストベンチととも
に、その設計ネットリストを購入者に提供する。したが
って、そのコアがシステムオンチップに集積する場合、
そのコアのテストベンチを、直接的に何らの変更も加え
ずに、集積されたシステムオンチップの設計の動作検証
に用いることが望ましい。
【0004】現在においては、コアの設計は、Veri
log/VHDLのような高級記述言語を用いて、ブロ
ックあるいはサブブロックについて記述され、ビヘイビ
オラルおよびゲートレベルのVerilog/VHDL
シミュレータによりシミュレートされている。このシミ
ュレーションは、コア設計がシリコンICに組み込まれ
る前に、その機能をチェックすることを目的としてい
る。
【0005】システムオンチップの設計において、その
設計の有効性を検証することが最も重要でかつ難しい仕
事の1つである。それは、完全な機能の検証を経なくて
は、設計上の問題を発見することも取り除くこともでき
ないからである。シミュレーション速度が遅いこと、シ
ステムオンチップのサイズが大規模であることの理由に
より、今日の装置や方法によっては、システムオンチッ
プの全体レベルでの設計有効性の確認はほとんど不可能
な仕事である。
【0006】検証とは受付けた対象のチェックを意味
し、それはシステム設計について言えば、仕様との対比
における設計をチェックすることを意味する。システム
設計においてその検証は、ある抽象レベルから他の抽象
レベルへの翻訳が正しいかを証明することにより実行さ
れる。この目的は、実用的な限度においてこの設計を実
施し、チップを製造する際に、意図したようにシステム
が動作するかを判断することである。システムオンチッ
プは、複数の埋込コアによる単一のハードウェア素子で
ある。したがって、システムオンチップの設計検証は、
コアの検証、コア間の相互接続についての検証、および
コアを組み合わせたシステム全体としての検証により構
成される。
【0007】現在のシステムオンチップの設計手法にお
いて、システムオンチップの仕様の開発とともに、ビヘ
イビオラル・モデル(行動モデル)が開発され、それに
より設計の有効確認あるいはシステム動作の検証のため
のシミュレーション用テストベンチが形成される。
【0008】システムレベルでの検証は、設計のハイア
ラキ(階級)に基づいて実施される。最初にブロックレ
ベル(通常それはコアレベル)において、スタンドアロ
ン(単一状態)での正当性がチェックされる。次に、こ
れらのコア間のインタフェースが、処理タイプとデータ
内容についての正当性がチェックされる。次のステップ
においては、完全にアセンブリされたチップ上で、アプ
リケーション・ソフトウェアあるいはそれに等価のテス
トベンチを走らせる。これはハードウェアとソフトウェ
アの共同検証を伴う(M. Keating and P. Bricaud, "Reu
se methodologymanual",Kluwer Academic Press, 1998;
J. Stcunstrub and W. Wolf, "hardware-software co-
design" KluwerAcademic Press, 1997)。ソフトウェア
はそのソフトウェアコードをその動作時間で実行するこ
とにより始めて検証することができるのであり、このた
めソフトウェアとハードウェアの共同シミュレーション
を行う必要がある。
【0009】ASIC(アプリケーション・スペシフィ
ックIC)あるいはFPGA(フィールド・プログラマ
ブル・ゲートアレー)によるハードウェア試作品(プロ
トタイプ)を形成し、それをシステム全体としての動作
を検証するために用いることも頻繁に行われる。
【0010】(機能の検証)第1図は、コア設計におけ
る異なる抽象化レベルとその各レベルにおいて現在用い
られている検証方法例をあらわしている。第1図では抽
象化の最上位レベルから最下位レベルに向かって、ビヘ
イビオラル(行動)HDLレベル21、RTL(レジス
タ・トランスファ・ランゲージ)レベル23、ゲートレ
ベル25および物理的設計レベル27がそれぞれ示され
ている。そのような異なる抽象化レベルに対応する検証
方法例が、第1図のブロック28に示されている。この
ような検証方法における各種の試験種類には、以下のよ
うなものがある。
【0011】(1)設計仕様に追従しているか確認する
ための追従テスト。 (2)電圧、温度あるいはプロセスの各条件における最
大値と最小値のような両極端な状態でのテストであるコ
ーナテスト。 (3)基本的に特定の対象を有せず、まれにバグを検出
できるのみのランダムテスト。 (4)設計を現実に適用して、意図した機能の誤記を修
正することができる実コードテスト。 (5)設計の修正後に集合的に行う回帰テスト。一般的
にバグを修正する毎にテスト条件を付加した新たなテス
トが必要となる。
【0012】テストベンチの開発については、目標とす
るシステムオンチップやコアの機能により異なる。例え
ばプロセッサのテストベンチは、インストラクションに
基づいたテストプログラムを実行するが、バスコントロ
ーラ・インタフェースコアの場合は、バス機能モデルと
バスモニタを用いてテスト信号を与え、そのシミュレー
ション出力結果をチェックする。このようなアプローチ
における問題は、ビヘイビオラル・テストベンチは非常
に速度が遅いことである。
【0013】テスト信号あるいはテストパターンを発生
した後、その応答出力が正しいかどうかをチェックする
必要がある。現在において、そのようなチェックは、出
力波形を観察することにより手動的に行っているが、設
計に変更があった場合には、このような手動によるチェ
ックは不可能になる。応答出力を検証する他の方法とし
ては、実際のアプリケーション・ソフトウェアを実行す
ることであり、これは基本的にハードウェアとソフトウ
ェアの共同シミュレーションをすることである。しかし
この方法は、現在のコンピュータを用いた場合には、非
常に非効率的である。さらにそのようなテストベンチに
おいて、アプリケーションソフトウェアと機能コア間の
やりとり(トランザクション)は、シミュレーションの
全体のサイクルにおけるわずか一部に相当するにすぎな
い。したがって、わずか一部の機能が検証されるにすぎ
ない。
【0014】(インタフェースの検証)システムオンチ
ップの設計において、コアとコアとの間のインタフェー
スを検証する必要がある。通常、インタフェースは、コ
アとコア間バス、あるいはチップ全体用のバスにより、
コア間を接続するためのアドレスとデータによる一定の
構造を有している。またインタフェースは何らかの形式
による制御機構、リクエストや許可のような信号、及び
バスコントローラを有している。このようなインタフェ
ースにおける一定構造は、所定シーケンス数のデータや
制御信号を処理することにより規定することができる。
【0015】インタフェースの検証には、各インタフェ
ースにおける全ての可能な処理の一覧が必要となるが、
そのような全てのテスト信号を形成することは実際には
できないので、そのような検証は不可能である。したが
って限られた範囲での検証が行われる。この限られた検
証の後、次のタスクは、各コアが受領する全てのデータ
の値および全てのデータのシーケンスについて、そのコ
アが正しく行動するかを検証することである。全ての異
なるデータ値を処理することは、必要データ量が大きす
ぎるので、そのような検証は不可能である。したがっ
て、今日においては、非常に不完全な検証しかされてい
ない。
【0016】(タイミングの検証)タイミングの検証
は、機能の検証よりもさらに困難なタスクである。静的
タイミング分析が、現在最も広く用いられている方法で
ある。静的タイミング分析は、各種の技術ライブラリに
より合成されたコアの代表的なネットリストについて実
行される。静的タイミング分析は、不良信号路が適切に
抽出されないため、信頼を置くことはできない。不良信
号路を除去することは、手動のプロセスによることにな
り、過誤が起こる可能性がある。ゲートレベルでのシミ
ュレーションは、そのような過誤について現実的なチェ
ックを行うことができるが、テスト信号を形成するた
め、さらにゲートレベルにおけるあらゆるタイミング信
号路をシミュレーションするために、多大な時間を要す
るので、完全な解決策とはならない。さらに、このゲー
トレベルによるシミュレーションは、設計技術者が適切
に検出するにはあまりにも複雑で大量であるために、最
悪条件下でのタイミングの検証をすることは不可能であ
る。
【0017】(システムオンチップ全体の検証)システ
ムオンチップの設計検証の主な目標は、最終ユーザによ
り使用されるような形態での、システム全体としての動
作を検証することである。このためには、全ての機能コ
ア(ハードウェア・モデル)の完全な機能モデルが必要
であり、かつ実際のシステムのアプリケーションが適切
な量的範囲内で実行できることが必要である。新たなシ
ステムの場合には、そのようなアプリケーションは存在
しないこともある。ここでの主な問題はシミュレーショ
ン速度である。例えばRTL(レジスタ・トランスファ
・ランゲージ)レベルであっても、プロセッサにオペレ
ーティング・システムを起動させるために何時間も要す
る。このシミュレーション速度を向上させるためには、
シミュレーションをより高速に実行するためにより高い
抽象レベルを用いるか、ハードウェアによるプロトタイ
プ化、またはエミュレーションのいずれかの方法を用い
る。
【0018】より高い抽象モデルとしては、RTLモデ
ルが機能コアについて用いられ、ビヘイビオラル(行
動)モデルやISA(インストラクション・セット・ア
ーキテクチャ)モデルが、メモリやプロセッサコアにつ
いて用いられ、バス機能モデルやバスモニタが、通信コ
アをチェックするために用いられる。メディア・プロセ
ッサのようなシステムオンチップにおいては、シミュレ
ーション環境上で実行するためのアプリケーションコー
ドが発生される。ソフトウェア・アプリケーションを用
いる場合には、設計検証としてできることはほとんどな
く、そのシリコンチップが機能しているかあるいは完全
に死んでいるかの判定と、基本的なバグを見つける程度
に限られる。現在は、バスモニタあるいはシーケンスチ
ェッカを通信インタフェースに用いて、手動で不良を検
出している。しかし、そのシミュレーション速度は非常
に遅く、1秒間に10サイクル程度しかできないので、
現実的なシステム規模に用いるにはあまりにも時間がか
かりすぎる。
【0019】ソフトウェアとハードウェアが同時にシミ
ュレーションされることをコシミュレーション(共同シ
ミュレーション)と呼んでいる。ハードウェアはC言語
によりモデル化することができ、全体のシステムが単一
のC言語プログラムによって実行される。しかしこれは
システムの実施レベルで行われるのではなく、むしろビ
ヘイビオラル(行動的)検証、あるいは実行可能性の検
討ともいうべきものであるので、システム設計の検証と
はならない。ハードウェア素子の実現状態をあらわすH
DL/RTLが、システム全体の検証のために必要であ
る。共同シミュレーションには、1または2以上のHD
LシミュレータとC・C++プログラム間の通信が必要
である。したがって、現在における共同シミュレーショ
ンのさらに別の問題は、異なるシミュレータ間の通信で
ある。
【0020】(ハードウェア・プロトタイプ)全ての設
計者は、最初の半導体チップを作成する際に、全ての機
能が完全であることを目指す。しかし、実際にシステム
を形成した場合には、1回目の製作では50%以上が失
敗する。これはシステムレベルでの検証、すなわちシス
テムオンチップレベルでのデザインの有効性の確認が不
足しているからである。1回目の試作で信頼性ある結果
を得るためには、さらにより現実のアプリケーションを
シミュレーションすることが必要である。このためシミ
ュレーションの時間が非常に長くなる。したがって現在
可能なより現実的な手段は、非常にコスト高にはなる
が、シリコンプロトタイプ(半導体試作品)を用いるこ
とである。このような技術としてFPGA/LPGAお
よびエミュレーションがある。
【0021】小規模な設計の場合は、FPGA(フィー
ルド・プログラマブル・ゲートアレー)やLPGA(レ
ーザ・プログラマブル・ゲートアレー)によるプロトタ
イプを作成することができる。FPGAやLPGAはA
SIC(アプリケーション・スペシフィックIC)ほど
のゲート数容量や動作速度は得られないが、小さな機能
ブロックやコアには好都合である。しかしシステムオン
チップの全体として用いるには不都合である。複数のF
PGAを回路基板上で相互に接続し、全体としてのシス
テムオンチップのプロトタイプを構成することは可能で
ある。しかしこの場合、もしバグを修正することにより
システムオンチップの再分離が必要となる場合には、F
PGA間の接続も変更する必要が生じ、したがって新た
な回路基板が必要となる。すなわち、設計の変更がある
と非常に大きな費用と時間が必要となる。
【0022】このように大規模なチップ用設計の評価の
ために複数のFPGAを組み合わせる方法の代わりに、
エミュレーション技術を用いることができる。エミュレ
ーション技術では、機能ブロック相互間の接続がプログ
ラム可能であり、ボード設計を固定でき、比較的大きな
ゲート容量を実現でき、かつメモリとプロセッサによる
サポートが得られる。もしシステム全体の設計をエミュ
レータに搭載できるのであれば、エミュレーションは、
シミュレーションより高速な動作が得られる。しかし、
実際のシリコンによるシステムと比較すると、その実行
速度はまだ非常に低速である。システムオンチップ・デ
ータあるいはテストベンチ・データのかなりの部分が、
ホストコンピュータにロードされた場合には、エミュレ
ーションの性能はさらに劣化する。この方法のさらに別
の欠点は費用である。現在商業的に入手可能なエミュレ
ーション・システムは、100万ドルを超過している。
【0023】設計規模が数100万トランジスタのよう
に非常に大きい場合、シリコンによるプロトタイプを作
成して最終システムとしての不備を修正することが、現
在可能な唯一の方法である。この場合において、同じプ
ロトタイプが最初のいくつかの不備を修正するために使
用できる。不備の修正の全行程を行うためには、シリコ
ンによるプロトタイプの作成を2ー3回行うことが必要
であるが、1回の作成毎に大きな費用を伴うので、生産
までの総合費用は膨大になる。
【0024】上述したように、現在用いられている技術
では、システムオンチップの設計を性能、コスト、速度
の観点から有効にテストすることができない。したがっ
て、半導体工業分野において、システムオンチップの設
計の完全な検証を、高速にかつ低コストで実施すること
ができる新たな方法と装置が望まれている。
【0025】
【発明が解決しようとする課題】したがって、本発明の
目的は、各コアの機能、各コア間の相互接続の正当性、
およびシステム全体の性能について、完全な設計検証を
することができるシステムオンチップの設計検証方法と
その装置を提供することにある。
【0026】また、本発明の他の目的は、高速でかつ低
コストにより、完全な設計検証をすることができるシス
テムオンチップの設計検証方法とその装置を提供するこ
とにある。
【0027】本発明のさらに他の目的は、システムオン
チップ全体としての機能を検証するためのテストを実行
することができる設計検証ステーションを提供すること
にある。
【0028】また、本発明のさらに他の目的は、現在の
システムで得られるよりはるかに容易に、システムオン
チップのコアの欠陥を修正することができる方法と装置
を提供することにある。
【0029】本発明は埋込コアによるシステムオンチッ
プの設計検証についての現在の困難性を解決し、設計の
検証あるいは完全な機能検証を行うための新規な方法と
装置をもたらすものである。本発明のシステムはシステ
ムオンチップの全体の機能を検証するために用いること
ができるので、発明者らはこれを設計検証ステーション
と称している。本出願において開示するシステム構成は
非常に効率的であり、より低コストであり、上述した従
来技術とは基本的に異なるものである。
【0030】
【課題を解決するための手段】本発明の1の態様は、複
数の機能コアが集積された埋込コアによるシステムオン
チップの設計を検証する方法である。本発明の方法は、
システムオンチップに集積される各コアの検証を行うス
テップと、各コアに相当するシリコンICとコア提供者
からのシミュレーション・テストベンチを使用するステ
ップと、各コア間のインタフェース、コア、オンチップ
バス、およびグルーロジックをシステムオンチップの設
計において形成されたテストベンチとグルーロジックの
FPGAまたはエミュレーションを用いて検証するステ
ップと、コアとコア間のタイミングおよびシステムオン
チップレベルのタイミングを検証するステップと、シス
テムオンチップ全体のシミュレーション・テストベンチ
を使用しかつアプリケーションを実行することによりシ
ステム全体としての設計の検証するステップと、により
構成される。
【0031】本発明の他の態様は、システムオンチップ
の設計検証を行うための装置である。本発明の装置は、
使用者とのインタフェースを行うとともに設計検証のた
めの全体動作を制御するためのメイン・システム・コン
ピュータと、そのメイン・システム・コンピュータから
受けたテストベンチ・データを用いてシステムオンチッ
プに集積された複数の機能コアをテストするためのテス
トパターンを発生する複数の検証ユニットと、その複数
の検証ユニットとメイン・システム・コンピュータをイ
ンタフェースするシステムバスとにより構成される。本
発明の装置では、複数のシリコンICが検証ユニットに
接続されて、その検証ユニットからテストパターンを受
け、それに対する応答出力を発生してそれを検証ユニッ
トとメイン・システム・コンピュータにより評価する。
そのシリコンICは、システムオンチップに集積される
機能コアと同一の内部構造と機能を有する。
【0032】
【発明の実施の形態】本発明のシステムオンチップ設計
検証方法の全体的なフローを第2図に示す。この検証方
法は、個々のコアの検証、オンチップバスおよびグルー
ロジックにおける各コア間の接続状態の検証、タイミン
グの検証、およびシステム全体としての動作の検証の4
ステップによるプロセスにより構成されている。
【0033】より具体的には、ステップS31におい
て、検証プロセスは最初に、試験対象としてのコアと同
一構成を有するシリコンICとそのコアのテストベンチ
を用いて、各コアの検証を実行する。次にこのプロセス
は次のステップS32に移行して、コア相互間のインタ
フェース、オンチップバスの機能やグルーロジック機能
を検証する。次のステップS33では、コアとコアとの
間の通信やシステムオンチップレベルでのクリティカル
パスについてのシミュレーション・テストベンチを用い
てコアにおけるタイミングを検証する。最後のステップ
S34では、全機能シミュレーション・テストベンチを
用い、かつアプリケーション・ソフトウェアを実行し
て、システムオンチップ全体の設計検証を行う。
【0034】本発明の方法は、第3図に示す装置で実施
することができる。第3図は本発明のシステムオンチッ
プ設計検証の全体的コンセプト、さらに電子自動設計
(EDA)環境とこの設計検証ステーションとの関係を
あらわしている。第3図の左上部はEDA環境を示して
おり、システムオンチップ43のような半導体素子が、
CAD(コンピュータ支援設計)を用いて設計される。
第3図の右下部において、本発明は設計検証ステーショ
ン50として実施されている。設計検証ステーション5
0は、テストの対象であるシステムオンチップ43の設
計段階で形成された設計データとテストデータを用い、
さらにシステムオンチップに集積される各コアと同一構
成のシリコンICを用いて、システムオンチップ43の
設計検証を実施する。
【0035】この例において、システムオンチップ43
は、機能コアA、BおよびCを有しており、そのより詳
細な構成は第4図(A)に示されている。EDA環境4
1のもとでシステムオンチップが設計されると、設計デ
ータファイル45とテストデータファイル53が得られ
る。各種のデータ変換プロセスを経て、設計データファ
イル45は、設計した半導体集積回路としてのシステム
オンチップを構成する各ゲートをあらわした物理レベル
データに変換される。この物理レベルデータに基づい
て、現実のシステムオンチップ49が、半導体集積回路
製造工程(シリコンプロセス)により製造される。本発
明においては、このように現実に製造されたシステムオ
ンチップを直接に試験するのではなく、システムオンチ
ップ43の各機能コアA、B、C等をあらわす個別のI
Cを設計検証ステーション50において検証する。
【0036】システムオンチップの設計段階で得られた
テストデータファイル53を用いて、テストベンチ54
によりロジックシミュレーションを実行することによ
り、Verilog/VCDのようなVCDデータファ
イル55が得られる。VCDデータファイル55のデー
タは、各機能コアおよびシステムオンチップ全体として
の入力出力間の関係をあらわしている。後で説明する
が、VCDファイル55のデータは、イベントフォーマ
ットになっている。VCDファイル55のデータは、設
計検証ステーション50のイベントファイル58に転送
される。設計検証ステーション50は、第2図に示した
プロセスによるテストを実施するために、イベントテス
タ59を複数備えている。
【0037】設計検証ステーション50の基本構成例
は、第4図(B)に示されており、これはソフトウェア
とハードウェアの共同開発および検証を行う。設計検証
ステーション50は、複数の検証ユニット(VU)66
1ー66Nを有しており、この検証ユニットは被試験デバ
イスのピン構成に基づいて構成変更できる。検証ユニッ
ト661ー66NはシリコンIC681−68Nに割り当て
られる。シリコンIC681−68Nは、試験対象である
システムオンチップに集積される機能コアA−Nに相当
する機能と構成を有している。
【0038】メイン・システム・コンピュータ62は、
検証プロセスの全体の動作を制御する。メイン・システ
ム・コンピュータ62と検証ユニット661ー66N
は、システムバス64により接続されている。検証プロ
セスの開始に先立ち、メイン・システム・コンピュータ
62には、各機能コアA−Nの設計段階で得られた設計
データ61とテストベンチデータ63が与えられる。
【0039】設計検証ステーションのより詳細は第5図
に示されており、ここでは設計検証ステーションは、説
明の便宜のために6個の設計検証ステーションDVS1
ーDVS6により構成された状態を示している。この例
では、機能コアA−Eとグルーロジックとを有するシス
テムオンチップ設計の正当性を評価する場合を想定して
いる。この例において、設計検証ステーションDVS1
は、「バス・マスタ・コア」(機能コアA)をテストす
るために構成されており、設計検証ステーションDVS
2は、「プロセッサ・コア」(機能コアB)をテストす
るために構成されており、設計検証ステーションDVS
3およびDVS4は、「機能固有コア」(機能コアCおよ
びD)をテストするために構成されており、設計検証ス
テーションDVS5は、「メモリ・コア」(機能コア
E)をテストするために構成されている。同様に、設計
検証ステーションDVS6は、システムオンチップ内の
「グルーロジック」をテストするために構成されてい
る。本発明においては、上記の機能コアA−Eの設計検
証のために、別のシリコンIC681−68Nを形成して
用いる。
【0040】第4図(B)および第5図に示すように、
本発明のシステムは、バスを基本とする構造を取ってい
る。システムバス64は、メイン・システム・コンピュ
ータ62から検証ユニット661ー665の入力ピンへデ
ータを転送するためのバスであり、業界の標準的なバス
であるVMEバス、VXIバス、あるいはPCIバスで
もよい。このシステムのピン割り当ては、再構成(割り
当ての変更)することができるので、使用者は、各機能
コアA−Eに対応する各シリコンIC681−685の入
出力ピンに応じて、検証ユニットのテストピンを、適宜
グループ分けすることができる。シリコンIC681
685は、ピンエレクトロニクス・デバイス搭載ボード
(以後「ピンエレクトロニクス」)691ー695に搭載
され、相互接続バス71を通して相互に接続されてい
る。
【0041】第5図に示すように、各ピングループ(割
り当てられた検証ユニット)は、制御コンピュータ67
を有しており、この制御コンピュータ67は、データフ
ローの制御、シミュレーション・データのシリコンIC
681−685への供給とその応答出力の比較、さらに各
コアの各種のタスクのスケジュール管理、各コアやシス
テムオンチップの状態の観察等を行う。制御コンピュー
タ671ー676は相互に接続されている。また制御コン
ピュータ671ー676は、メイン・システム・コンピュ
ータ62に、システムバス64を経由して接続されてい
る。グルーロジックの検証のための設計検証ステーショ
ンDVS6には、同期ユニット75と調停ユニット76
が設けられており、メイン・システム・コンピュータ6
2と、設計検証ステーションDVS1ーDVS6の制御コ
ンピュータ671ー676との相互のデータ転送を促進す
る機能を果たす。
【0042】検証プロセスの開始に先だって、メイン・
システム・コンピュータ62は、個別のテストベンチデ
ータ78を搭載し、このテストベンチデータを検証ユニ
ット661ー665に分配する。メイン・システム・コン
ピュータ62は、ユーザインタフェース、ソフトウェア
・アプリケーションの実行、複数の検証ユニットへの分
配制御等を含む、設計検証の全体プロセスを制御する。
各設計検証ステーションDVSにおいて、検証ユニット
66は、テストベンチデータに基づいて形成したテスト
パターンを、機能コアに対応するシリコンIC68に供
給する。後で説明するが、各検証ユニット66は、イベ
ントテスタとして構成されていることが好ましい。
【0043】テストパターン(イベント)は、第5図の
ピンエレクトロニクス69を経由して、被試験デバイス
(シリコンIC68)に供給される。ピンエレクトロニ
クス69は、テストピンを割り当てられた被試験デバイ
ス(シリコンIC68)のデバイスピンに物理的に接続
する。ピンエレクトロニクス69は、基本的に、試験対
象であるシリコンIC68と検証ユニット66間を接続
するためのインタフェース回路により構成されている。
例えば各インタフェース回路は、1または2以上のドラ
イバとコンパレータ(図示せず)およびパフォーマンス
ボードで構成されている。ドライバは、テストパターン
を被試験デバイスの入力ピンに与えるものであり、コン
パレータは、被試験デバイスの応答出力を期待値と比較
するものである。パフォーマンスボードは、被試験デバ
イスを機械的に搭載するためのものである。
【0044】(各機能コアの検証)本発明において、機
能コアA−Eの設計検証を実施するために、個別のシリ
コンIC681−68Nを用いる。一般にこのようなシリ
コンIC68は、その機能コアの提供会社あるいはその
提供会社のパートナーとして半導体を製造する会社から
入手が可能である。本発明のシステム全体を、目的に応
じて構成の割り当てを行う。例えば第4図(B)や第5
図に示すように、1の検証ユニットを1の機能コア(シ
リコンIC68)に割り当てる。検証の実施のために、
各機能コアのテストベンチを、各コアの入出力情報とと
もに、メイン・システム・コンピュータ62に搭載す
る。
【0045】メイン・システム・コンピュータ62は、
システムピンを1の検証ユニットについて1の機能コア
の関係となるように割り当てるとともに、制御コンピュ
ータ67を割り当てる。システム性能を強化するために
は、1の制御コンピュータ67を1の検証ユニットに割
り当てる代わりに、1のピンについて1の制御コンピュ
ータを割り当てることもできる。そのような実施方法
は、第4図(B)および第5図に示すシステムの直接的
な延長であるので、ここではその内容の記述はしない。
【0046】機能コアの入出力情報に基づいて、検証ユ
ニット66のピン数は、例えば64ピンから256ピン
の間の値であり「2のべき乗」であるピン数で構成され
る。このピンは基本的にイベントテスタ・チャンネルで
あり、これを通してテストパターンの供給動作と応答信
号の比較動作が行なわれる。第4図(B)や第5図に示
す本発明のシステムは、各コアに対するこのようなピン
の再構成や再分配が可能である。したがって、基本的に
全体のシステムを複数の検証ユニット66として構成す
ることができ、その検証ユニットが、第5図に示すよう
に各コアに割り当てられる。したがって、各個別のコア
について、割り当てられた検証ユニット66は、専用の
イベント型テストシステムのようになる。イベントテス
タの例については、米国特許出願番号09/40630
0「イベント型半導体試験システム」に詳述されてお
り、後でも簡単に説明する。検証ユニット66により、
イベント型のシミュレーション・テストベクタ(コアの
機能および構造テストのため)がコア(シリコンIC6
8)に与えられて、その応答出力がシミュレーションデ
ータ(期待値データ)と比較される。
【0047】機能コアの意図機能とタイミングを検証す
るために、メイン・システム・コンピュータ62は、該
当するコアのシミュレーション・テストベンチデータ
を、対応する検証ユニット66の制御コンピュータ67
に供給する。このデータは、対象とするコアの設計シミ
ュレーション・テストベンチである。このテストベンチ
は、信号値とその信号値が0から1または1から0に変
化したときのタイミング情報、すなわちイベント型のテ
ストパターンを有している。したがって、何らのデータ
翻訳は必要なく、そのデータを直接にコア(シリコンI
C68)に印加することができる。
【0048】このデータ(テストパターン)は設計シミ
ュレーションデータなので、コアに欠陥がなければ、こ
のシミュレーションで予定した通りの動作をすることに
なる。このテストパターンの印加の結果としてのコアの
応答出力は、検証ユニット66の制御コンピュータ67
によりシミュレーションデータ(期待値)と比較され
る。このシミュレーションデータとの相違があるとき
は、それが制御コンピュータ67により検出される。こ
れにより被試験コア(シリコンIC68)に不良がある
ことが、検証ユニット66により検出される。このステ
ップにより、システムオンチップの総合レベルでの設計
検証を行う前に、各検証ユニットに対応した被試験コア
(シリコンIC68)に欠陥がない状態を確立すること
が可能となる。
【0049】本発明の方法と装置はさらに、コアの有す
る欠陥を使用者により修正する作業が、現在のテストシ
ステムを用いるよりもはるかに容易にできる。これは、
本発明の検証システムの環境が、被試験デバイスの元の
設計環境、すなわちEDA環境と同じであるからであ
り、イベント型データを用いることができるからであ
る。
【0050】(検証ユニット(イベントテスタ))上記
のように、各検証ユニットは、イベントテスタとして構
成されている。そのようなイベントテスタの例を第6図
を参照して簡単に説明する。イベントテスタのより詳細
については、本発明の出願人と同一の出願人による上記
の米国特許出願番号09/406300「イベント型半
導体試験システム」に開示されている。イベントテスタ
においては、テストパターンは、信号値の0から1また
は1から0への変化が、基準時間点からの時間長として
記述されている。これに対して、伝統的なサイクル型の
テストデータにおいては、テストパターンは、各テスト
サイクルについて、タイミングデータと波形データとベ
クタデータとの組み合わせにより記述されている。現在
の設計自動化システムは、設計された半導体デバイスの
論理シミュレーションを実行することにより、イベント
形式のテストデータを形成する。したがって、イベント
型のテストシステムは、その半導体デバイスの設計段階
で形成された論理シミュレーションデータを直接的に使
用することができる利点がある。
【0051】第6図の例において、検証ユニット66
は、検証ユニット書き込みデコーダ83、システムバス
64に接続された制御コンピュータ67、内部バス8
5、アドレスシーケンサ88、フェイルメモリ87、イ
ベントメモリ90、伸張復元ユニット92、タイミング
・カウント・スケーリング・ロジック93、およびイベ
ント発生器94により構成されている。検証ユニット6
6は、ピンエレクトロニクスを通して、試験対象である
コアの機能を有したシリコンIC68にテストパターン
を供給する。
【0052】検証ユニット書き込みデコーダ83は、検
証ユニットの身元確認を行うもので、それによりメイン
・システム・コンピュータ62が、システムバス64を
通してグループ選択アドレスを送付することにより、検
証ユニット66の構成を設定することができる。内部バ
ス85は、ハードウェアとしてのイベントテスタ用のバ
スであり、アドレスシーケンサ88、フェイルメモリ8
7、イベントメモリ90、伸張復元ユニット92、タイ
ミング・カウント・スケーリング・ロジック93、およ
びイベント発生器94のようなテスタ内の大部分の構成
ブロックに共通に接続されている。
【0053】上記のように、制御コンピュータ67は、
メイン・システム・コンピュータ62から送られた試験
対象コアのテストベンチデータに基づいて、検証ユニッ
ト66内の他の機能ブロックにインストラクションを供
給する。フェイルメモリ87は、試験結果を格納するも
のであり、例えばコンパレータ(図示せず)からのシリ
コンIC68(被試験コア)についての不良情報を、ア
ドレスシーケンサ88からのアドレス情報ともに格納す
る。フェイルメモリ87に保存した情報は、被試験コア
とシステムオンチップの不良解析の段階で利用される。
【0054】アドレスシーケンサ88は、イベントメモ
リ90にアドレスデータを供給する。イベントメモリ9
0は、各イベントのタイミングデータを格納している。
例えば、イベントメモリ90は、イベントデータを2つ
に分けて保存する。1つはマスタ(基準)クロックの1
周期時間の整数倍の値を示すタイミングデータを格納
し、他の1つは、基準クロックの1周期の端数分のタイ
ミングデータを格納する。
【0055】イベントメモリのタイミングデータを圧縮
された状態で保存することにより、イベントメモリの必
要な容量を減少させることが好ましい。伸張復元(デコ
ンプレッション)ユニット92は、イベントメモリ90
から圧縮されたデータを受け、それを伸張プロセスによ
り復元する。復元されたタイミングデータは、タイミン
グ・カウント・スケーリング・ロジック93に供給され
る。
【0056】タイミング・カウント・スケーリング・ロ
ジック93は、イベントメモリからのタイミングデータ
に基づき、現イベントのタイミングを決定する。現イベ
ントのタイミングデータとそれ以前のイベントのタイミ
ングデータを加算して、総合的なタイミングデータを形
成する。タイミング・カウント・スケーリング・ロジッ
ク93は、タイミングデータをスケーリングファクタに
比例した値に変更する(スケーリング)機能もはたす。
そのようなタイミングデータのスケーリング動作は、タ
イミングデータ(絶対的時間あるいは相対的時間)にス
ケーリングファクタを乗算する動作により構成される。
イベント発生ユニット94は、タイミング・カウント・
スケーリング・ロジック93からの総合的なタイミング
データに基づいて、イベント信号を実際に発生する。イ
ベント発生ユニット94は、そのイベント信号(テスト
パターン)をピンエレクトロニクス69に供給する。
【0057】(インタフェース、オンチップバスおよび
グルーロジックの検証)システムオンチップの大部分
は、予め設計された機能コアにより構成されているが、
システムオンチップには常に、その設計者(各種コアを
システムに集積する設計者)により設計された特殊な機
能や各コアを接続する機能を果たすためのロジックが存
在する。そのようなロジックを「グルーロジック」と呼
んでいる。伝統的には、このグルーロジックは、カスタ
ムデザインとして実現されてきたが、最近は埋込のFP
GA(フィールド・プログラマブル・ゲートアレー)に
より、そのようなグルーロジックを実施することが提案
されている。上述したように、現在の技術においては、
このグルーロジックの検証は、極めて不完全にしかされ
ていない。
【0058】本発明の方法では、グルーロジックの検証
は、第5図に示すような専用の設計検証ステーションD
VS6のようなサブシステムにより行う。その基本的な
方法は以下である。 (1)第5図に示すように、各種のシリコンIC68を
接続する相互接続バス71を、システムオンチップのオ
ンチップバスの模型(モデル)として使用する。これは
各機能コアA−Eを接続するシステムバスであり、オン
チップバスの行動を模擬するものである。この相互接続
バスにより、インストラクションとデータのフローを、
システムオンチップのレベル(1のコアから他のコア
へ)から設計検証ステーションのレベル(1の検証ユニ
ットから他の検証ユニットへ)のインストラクションと
データのフローに模擬する。したがってこのバスは、シ
ステムオンチップのオンチップバスにおける全てのリク
エスト・許可プロトコルや各コアのインタフェースにお
ける処置を捉えることができる。
【0059】(2)グルーロジックを実現するためのF
PGA(フィールド・プログラマブル・ゲートアレー)
を、専用サブシステムにおいて利用する。さらにその代
替え方法として、専用サブシステムにおいて、グルーロ
ジックをエミュレートする。これらの方法は、それぞれ
第7図と第8図に示されている。
【0060】第7図はエミュレータによるサブシステム
を示している。この方法では、商業的に得られるどんな
エミュレータでも使用可能である。第7図において、エ
ミュレータ72は、グルーロジック・テストベンチ・フ
ァイル77からのグルーロジックの合成可能RTLデー
タとテストベンチをロードする。同期ユニット75と調
停ユニット76は、市販のエミュレータと検証ユニット
とのインタフェースを行うために使用する。制御コンピ
ュータ67は、メイン・システム・コンピュータ62と
の同期と通信のタスクを行う。
【0061】第8図はFPGAによる方法を示してい
る。この方法では、グルーロジックはFPGA73によ
り実現され、このFPGA73をシリコンIP(知的財
産)、すなわちコアとして取り扱う。もしグルーロジッ
クが、システムオンチップにおいて、埋込FPGAとし
て実現される場合には、このFPGA73は、埋込FP
GA(グルーロジック)の単独の複製となる。このFP
GA73は、独立したIPとして用いられ、専用の検証
ユニットに割り当てられる。
【0062】もしグルーロジックがカスタムデザインと
してシステムオンチップに実現される場合には、グルー
ロジックのRTLが、独立のFPGAとして専用検証ユ
ニットに用いられる。この状態では大部分の場合におい
て、FPGAのスピードは、システムオンチップ内のカ
スタム・グルーロジックより低速となる。したがって、
この専用検証ユニットは、追加の同期ユニット75やバ
スの調停ユニット76が必要となる。この低速動作スピ
ード以外は、この専用検証ユニットは、他の検証ユニッ
トと構成や動作が類似している。
【0063】(タイミングの検証)各機能コアとそのコ
ア間のインタフェース、およびグルーロジックの各機能
が検証されると、システムオンチップレベルにおけるク
リティカル信号路のタイミング検証が行われる。第2図
のステップ32と33が完了すると、本発明の設計検証
ステーションにおいて、システムオンチップを構成する
各機能ブロック(コアとグルーロジック)およびその相
互接続関係が利用可能になっている。各コアの機能とグ
ルーロジックは検証されており、各コアのタイミングも
検証されている。したがって、システムオンチップレベ
ルのテストベンチと全てのアプリケーションをシステム
全体に起動することができ、不良があった場合には、そ
の不良は(個別のコアではなく)コアの集積したシステ
ムにあると結論づけることができる。
【0064】本発明の方法では、少数のシステムオンチ
ップレベルのシミュレーションベクタ(テストベンチ)
を実行することが好ましい。これによりコアとコア間の
タイミングの正当性とシステムオンチップレベルのタイ
ミングのクリティカルな信号路の正当性が検証される。
このために、システムオンチップレベルのシミュレーシ
ョン・テストベンチをメイン・システム・コンピュータ
にロードする。システムオンチップの設計段階におい
て、そのようなシミュレーション・テストベンチが、タ
イミングについてクリティカルな信号路を実行するため
に開発される。このテストベンチのデータは、イベント
形式になっており、現在の技術では一般にVerilo
g/VHDLにより得られるVCD(バリュー・チェン
ジ・ダンプ)フォーマットで入手できる。
【0065】テストベンチデータのベクタは、システム
オンチップの各種の異なる部分を接続するタイミングの
クリティカルな通路について実行する。上述したよう
に、本発明の設計検証ステーションは、システムオンチ
ップの全ての構成素子を有しており、従ってタイミング
検証のためのシミュレーション・テストベンチを実行す
ることにより、設計段階でのシミュレーションと同一の
結果が得られることが期待できる。シミュレーション結
果と異なる結果が得られた場合には、それは不良を意味
し、本発明のイベント型の環境(設計シミュレーション
環境と同等)において、容易に修復できる。
【0066】(システムオンチップの検証および全体設
計の検証)システムオンチップをシステム全体として機
能を検証するためには、設計段階でのシミュレーション
により形成したシステムオンチップレベルの機能ベクタ
を設計検証ステーション上で実行する。これらのベクタ
もイベント形式になっている。多くの場合、これらのベ
クタは、システムオンチップの設計データ(Veril
og/VHDLのRTLモデルあるいはビヘイビオラル
モデル)にソフトウェア・アプリケーションを実行して
発生する。これらのベクタは、システムオンチップの異
なる部分について同時にあるいは異なる時間で実行さ
れ、これによりシステムオンチップの全体としての動作
(ビヘイビア)がこれらを結合した応答から決定され
る。
【0067】アプリケーション・プログラムが、C/C
++のような高級レベル言語あるいはバイナリ形式であ
るときは、第9図に示すように、API(アプリケーシ
ョン・プログラム・インタフェース)やPLI(プログ
ラム・ランゲージ・インタフェース)のようなインタフ
ェースが、これらのプログラムをメイン・システム・コ
ンピュータにロードするため、あるいはメイン・システ
ム・コンピュータと外部世界との通信のために必要とな
る。
【0068】これを実現するために、メイン・システム
・コンピュータ62は、複数バスプロトコルを有した複
数分配コントロール機能(第5図や第9図)備えてい
る。これはアプリケーションタスク(ソフトウェアアプ
リケーション)を複数のサブタスクに分解(フォーク)
して、それらのスケジュールを決定し、そのサブタスク
を異なる検証ユニット66に割り当てることにより対応
するコアに割り当てる。この複数サブタスクに分解する
動作(フォーク動作)は、Verilog/VHDLや
C/C++のような高級レベル言語によるアプリケーシ
ョン・ソフトウェア上で行われる。したがって、複数分
配コントロールによるシステムコンパイラは、アプリケ
ーションタスクについてフォーク動作を実施することが
でき、複数の検証ユニット66により構成される分散コ
ンピュータ環境でそのフォーク動作を実行する。
【0069】このフォーク動作の後、そのサブタスクは
システムバスを通して、個々の検証ユニットに分配され
る。制御コンピュータ67、調停ユニット76および同
期ユニット75は、メイン・システム・コンピュータ6
2から各検証ユニット66の制御コンピュータへの通信
とエラーのないデータ転送を可能にする。このような調
停ユニット76、同期ユニット75、およびメイン・シ
ステム・コンピュータ62による構成は第9図に示され
ている。
【0070】このサブタスクの割り当てに基づいて、制
御コンピュータ67は、イベント型ベクタを各機能コア
に与え、その機能コアからの応答信号を収集する。この
応答信号は、調停ユニット76や同期ユニット75を用
いたエラー無しのデータ転送により、メイン・システム
・コンピュータ62に伝送される。メイン・システム・
コンピュータ62は、「ジョイン」動作を実施し、各応
答信号を合流させてシステムレベルでの応答信号を形成
する。このシステムレベルの応答信号が、シミュレーシ
ョンされた応答信号と比較され、システムオンチップ
が、正しい動作をしているかが決定される。これがアプ
リケーションの実行の場合には、この応答信号はアプリ
ケーションの期待結果と比較される。例えば映像アプリ
ケーションが実行されている場合には、ピクチャフレー
ムの表示が現れることが必要である。応答出力が、シミ
ュレーションデータあるいはアプリケーションの期待値
出力と異なる場合には、メイン・システム・コンピュー
タ62により、その不一致が検出される。本発明の設計
検証ステーションの環境が、元のシステムオンチップ設
計の環境と同じなので、そのような設計上の問題点は、
設計技術者により容易に修正できる。
【0071】(フィクスチャまたはパフェーマンスボー
ド)本発明の設計検証ステーションは、シリコンIC
(コア)やグルーロジックとのインタフェースのため
に、パフォーマンスボードを必要とする。第5図から第
9図の例では、デバイス搭載ボード、すなわちパフォー
マンスボード69は、各コア毎(各設計検証ステーショ
ン毎)に装備されている。第10図のブロック図では、
パフォーマンスボードについての他の構成を示してい
る。この例では、パフォーマンスボード90は、全ての
コアとグルーロジックを搭載している。コネクタ95が
パフォーマンスボード90上に設けられ、検証ユニット
66とシリコンIC(コア)68間を接続する。
【0072】このパフォーマンスボード90は、従来技
術におけるテスタのパフォーマンスボードによく類似し
ており、例えば多層回路基板により構成されている。テ
スタのパフォーマンスボードとこのパフォーマンスボー
ド90の基本的な相違は、テスタのパフォーマンスボー
ドは、単一の被試験デバイスを搭載するにすぎないが、
本発明の設計検証ステーションにおけるパフォーマンス
ボード90は、全てのコアに対応するシリコンIC68
とグルーロジック(FPGA等)を搭載する。
【0073】第11図は本発明の設計検証ステーション
の別の例を示しており、複数の検証ユニットがメイン・
システム・コンピュータにより直接的に制御されてい
る。この例では、先の例と異なり、各設計検証ステーシ
ョンは、制御コンピュータを有しなく、システムバス6
4を通して、メイン・システム・コンピュータ62によ
り直接的に制御される。したがって、全てのタスク、例
えば同期、コアの応答信号の評価、タイミング評価、シ
ステムオンチップ全体としての評価等が、メイン・シス
テム・コンピュータ62により実施される。好ましい実
施例しか明記していないが、上述した開示に基づき、添
付した請求の範囲で、本発明の精神と範囲を離れること
なく、本発明の様々な形態や変形が可能である。
【0074】
【発明の効果】本発明は、上述の説明内容からして、下
記に記載される効果を奏する。各コアの機能、各コア間
の相互接続の正当性、およびシステム全体の性能につい
て、完全な設計検証をすることができるシステムオンチ
ップの設計検証方法とその装置を提供することができ
た。
【図面の簡単な説明】
【図1】機能コアの設計における抽象化レベルとその設
計の検証のためにその抽象化レベルに対応した検証方法
を示す概念図である。
【図2】本発明におけるシステムオンチップ設計検証の
全体的コンセプトと設計検証のプロセスを示すフローチ
ャートである。
【図3】本発明におけるシステムオンチップ設計検証の
全体的コンセプトであり、電子自動設計(EDA)環境
と設計検証ステーションとの関係を含む概念図である。
【図4】(A)は第3図のEDA環境で設計されたシス
テムオンチップの例を示すブロック図であり、(B)は
第3図の設計検証ステーションの基本構成の例を示すブ
ロック図である。
【図5】本発明における設計検証ステーションのより詳
細な構成例を示すブロック図であり、複数の検証ユニッ
トを有している。
【図6】第5図の検証ユニットの構成例を示すブロック
図であり、検証ユニットはイベントテスタの構成を有し
ている。
【図7】システムオンチップ内のグルーロジックを評価
するための検証ユニットの構成例を示すブロック図であ
る。
【図8】システムオンチップ内のグルーロジックを評価
するための検証ユニットの他の構成例を示すブロック図
である。
【図9】本発明における設計検証ステーションの他の構
成例を示すブロック図であり、高級アプリケーション言
語をサポートする。
【図10】本発明における設計検証ステーションのさら
に他の構成例を示すブロック図であり、試験対象として
の機能コアを搭載するパフォーマンスボードとの構造的
関係を示している。
【図11】本発明における設計検証ステーションのさら
に他の構成例を示すブロック図であり、複数の検証ユニ
ットがメイン・システム・コンピュータにより直接的に
制御される。
【符号の説明】
62 メイン・システム・コンピュータ 64 バス 66 検証ユニット 67 制御コンピュータ 68 シリコンIC 69 ピンエレクトロニクス・デバイス搭載ボ
ード 71 相互接続バス 72 エミュレータ 73 フィールド・プログラマブル・ゲートア
レー(FPGA) 75 同期ユニット 76 調停ユニット 77 グルーロジックRTLデータ 78 個別コアのテストベンチデータ DVS 設計検証ステーション

Claims (33)

    【特許請求の範囲】
  1. 【請求項1】 複数の機能コアが集積された埋込コア型
    システムオンチップの設計を検証する方法において、 システムオンチップに集積される各コアの検証を行うス
    テップと、 各コアに相当するシリコンICとコア提供
    者からのシミュレーション・テストベンチを使用するス
    テップと、 各コア間のインタフェース、コア、オンチップバス、お
    よびグルーロジックをシステムオンチップの設計におい
    て形成されたテストベンチとグルーロジックのFPGA
    またはエミュレーションを用いて検証するステップと、 コアとコア間のタイミングおよびシステムオンチップレ
    ベルのタイミングを検証するステップと、 システムオンチップ全体のシミュレーション・テストベ
    ンチを使用しかつアプリケーションを実行することによ
    りシステム全体としての設計を検証するステップと、 により構成されるシステムオンチップの設計検証方法。
  2. 【請求項2】 上記検証するステップは、複数の検証ユ
    ニットを各コアに対応するシリコンICに割り当てた後
    に実施する請求項1に記載のシステムオンチップの設計
    検証方法。
  3. 【請求項3】 上記検証するステップは、複数の検証ユ
    ニットを各コアに対応するシリコンICに割り当てた後
    に実施し、その検証ユニットのテストピンは試験対象で
    あるシリコンICの入力・出力ピンに基づいて構成する
    請求項1に記載のシステムオンチップの設計検証方法。
  4. 【請求項4】 上記検証するステップは、複数の検証ユ
    ニットを各コアに対応するシリコンICに割り当てた後
    に実施し、それぞれの検証ユニットはイベント型テスタ
    で構成され、テストパターンの信号値の変化とその変化
    点のタイミングをあらわしたイベントデータに基づいて
    テストパターンを発生する請求項1に記載のシステムオ
    ンチップの設計検証方法。
  5. 【請求項5】 上記各コアのシミュレーション・テスト
    ベンチは、イベント型のフォーマットを有しており、こ
    れによりイベント型テスタで構成される検証ユニットに
    よりシステムオンチップのコアの不良の発見とその修復
    を容易に行う請求項1に記載のシステムオンチップの設
    計検証方法。
  6. 【請求項6】 複数の機能コアが集積された埋込コア型
    システムオンチップの設計を検証する方法において、 システムオンチップに集積されるコアに対応する回路構
    成を有する複数のシリコンICを備え、複数の検証ユニ
    ットを備え、その検証ユニットを試験対象コアに相当す
    る上記シリコンICに割り当てるステップと、 その各コアをシステムオンチップ内で結合するためのオ
    ンチップバスをモデルとした相互接続バスにより上記シ
    リコンICを接続するステップと、 そのシリコンICにテストパターンを印加してそのシリ
    コンICの応答出力を評価することによりシステムオン
    チップに集積される上記コアの検証を行うテップと、 により構成され、上記テストパターンは、コア提供者か
    らのテストベンチデータを用いて直接的に形成されるシ
    ステムオンチップの設計検証方法。
  7. 【請求項7】 エミュレータによりインタフェースとグ
    ルーロジックをエミュレーションすることにより、シス
    テムオンチップに集積するコア間のインターファイスや
    グルーロジックの設計の検証を行うステップをさらに有
    する請求項6に記載のシステムオンチップの設計検証方
    法。
  8. 【請求項8】 フィールド・プログラマブル・ゲートア
    レー(FPGA)によりインタフェース機能とグルーロ
    ジックを実行することにより、システムオンチップに集
    積するコア間のインターファイスやグルーロジックの設
    計の検証を行うステップをさらに有する請求項6に記載
    のシステムオンチップの設計検証方法。
  9. 【請求項9】 システムオンチップレベルでのテストベ
    ンチデータに基づいて形成したテスト信号を各コアに対
    応するシリコンICに与えることにより、コアとコア間
    のタイミングおよびシステムオンチップレベルでのタイ
    ミング・クリティカル信号路のタイミングの設計の検証
    を行うステップをさらに有する請求項6に記載のシステ
    ムオンチップの設計検証方法。
  10. 【請求項10】 システムオンチップ全体のシミュレー
    ション・テストベンチとアプリケーション・ソフトウェ
    アの実行によりシステムオンチップ全体の設計の検証を
    行うステップをさらに有する請求項6に記載のシステム
    オンチップの設計検証方法。
  11. 【請求項11】 上記検証するステップは、複数の検証
    ユニットを各コアに対応するシリコンICに割り当てた
    後に実施する請求項6に記載のシステムオンチップの設
    計検証方法。
  12. 【請求項12】 上記検証するステップは、複数の検証
    ユニットを各コアに対応するシリコンICに割り当てた
    後に実施し、それぞれの検証ユニットはイベント型テス
    タで構成され、テストパターンの信号値の変化とその変
    化点のタイミングをあらわしたイベントデータに基づい
    てテストパターンを発生する請求項6に記載のシステム
    オンチップの設計検証方法。
  13. 【請求項13】 上記各コアのシミュレーション・テス
    トベンチは、イベント型のフォーマットを有しており、
    これによりイベント型テスタで構成される検証ユニット
    によりシステムオンチップのコアの不良の発見とその修
    復を容易に行う請求項6に記載のシステムオンチップの
    設計検証方法。
  14. 【請求項14】 上記検証するステップは、複数の検証
    ユニットを各コアに対応するシリコンICに割り当てた
    後に実施し、その検証ユニットのテストピンは試験対象
    であるシリコンICの入力・出力ピンに基づいて構成す
    る請求項6に記載のシステムオンチップの設計検証方
    法。
  15. 【請求項15】 複数の機能コアが集積された埋込コア
    型システムオンチップの設計を検証する設計検証装置に
    おいて、 使用者とのインタフェースを行うとともに設計検証のた
    めの全体動作を制御するためのメイン・システム・コン
    ピュータと、 そのメイン・システム・コンピュータから受けたテスト
    ベンチ・データを用いてシステムオンチップに集積され
    る複数の機能コアをテストするためのテストパターンを
    発生する複数の検証ユニットと、 その複数の検証ユニットとメイン・システム・コンピュ
    ータをインタフェースするシステムバスと、 を有し、上記各検証ユニットは上記メイン・システム・
    コンピュータからテストベンチデータを受け取る制御コ
    ンピュータを有しており、複数のシリコンICが検証ユ
    ニットに接続されて、その検証ユニットからテストパタ
    ーンを受け、そのテストパターンに対する応答出力を発
    生し、その応答出力を検証ユニットとメイン・システム
    ・コンピュータにより評価し、そのシリコンICは、シ
    ステムオンチップに集積される機能コアと同一の内部構
    造と機能を有する、 ように構成されたシステムオンチップの設計検証装置。
  16. 【請求項16】 上記各検証ユニットにおける上記制御
    コンピュータは、割り当てられた上記シリコンICに、
    メイン・システム・コンピュータからシステムバスを通
    して送られたテストベンチデータに基づいて形成したテ
    ストパターンを印加しかつそのシリコンICからの応答
    出力を評価する請求項15に記載のシステムオンチップ
    の設計検証装置。
  17. 【請求項17】 上記各検証ユニットは、上記各シリコ
    ンICに割り当てられる請求項15に記載のシステムオ
    ンチップの設計検証装置。
  18. 【請求項18】 上記各検証ユニットは、上記各シリコ
    ンICに割り当てられ、その検証ユニットのテストピン
    は被試験シリコンICの入力・出力ピンに基づいて構成
    される請求項15に記載のシステムオンチップの設計検
    証装置。
  19. 【請求項19】 上記各検証ユニットは複数のテストピ
    ンによるグループを構成しており、テストピンの構成は
    試験対象であるシリコンICのピン構成に対応して自由
    に構成の変更ができる請求項15に記載のシステムオン
    チップの設計検証装置。
  20. 【請求項20】 上記各検証ユニットは複数のテストピ
    ンによるグループを構成しており、テストピンの構成は
    試験対象であるシリコンICのピン構成に対応して自由
    に構成の変更ができ、そのグループのサイズは試験対象
    であるシリコンICの入力・出力ピンの数に基づいてメ
    イン・システム・コンピュータにより決定される請求項
    15に記載のシステムオンチップの設計検証装置。
  21. 【請求項21】 上記各検証ユニットは制御コンピュー
    タを有し、その制御コンピュータは上記メイン・システ
    ム・コンピュータからシステムバスを通して送られたテ
    ストベンチデータを受けてテストパターン形成し、その
    テストパターンを割り当てられたシリコンICに印加し
    てそのシリコンICからの応答出力を評価し、その制御
    コンピュータは上記検証ユニットの1のテストピン毎に
    設けられている請求項15に記載のシステムオンチップ
    の設計検証装置。
  22. 【請求項22】 上記検証ユニットは、割り当てられた
    シリコンICを評価することにより対応する機能コアを
    検証し、さらに検証ユニットは、搭載したエミュレータ
    によりインタフェースとグルーロジックをエミュレーシ
    ョンすることにより、システムオンチップに集積する機
    能コア間のインターファイスやグルーロジックを検証す
    る請求項15に記載のシステムオンチップの設計検証装
    置。
  23. 【請求項23】 上記検証ユニットは、割り当てられた
    シリコンICを評価することにより対応する機能コアを
    検証し、さらに検証ユニットは、搭載したフィールド・
    プログラマブル・ゲートアレー(FPGA)によりイン
    タフェース機能とグルーロジックを実行することによ
    り、システムオンチップに集積する機能コア間のインタ
    ーファイスやグルーロジックの検証を行う請求項15に
    記載のシステムオンチップの設計検証装置。
  24. 【請求項24】 上記メイン・システム・コンピュータ
    と制御ユニットは、システムオンチップレベルでのテス
    トベンチデータに基づいて形成したテスト信号を各コア
    に対応するシリコンICに与えることにより、コアとコ
    ア間のタイミングおよびシステムオンチップレベルでの
    タイミング・クリティカル信号路のタイミングの設計の
    検証を行う請求項15に記載のシステムオンチップの設
    計検証装置。
  25. 【請求項25】 上記メイン・システム・コンピュータ
    と制御ユニットは、システムオンチップ全体のシミュレ
    ーション・テストベンチとアプリケーション・ソフトウ
    ェアの実行により、システムオンチップ全体の検証を行
    う請求項15に記載のシステムオンチップの設計検証装
    置。
  26. 【請求項26】 上記メイン・システム・コンピュータ
    と制御ユニットは、システムオンチップ全体のシミュレ
    ーション・テストベンチとアプリケーション・ソフトウ
    ェアの実行により、システムオンチップ全体の検証を行
    い、さらにメイン・システム・コンピュータは、システ
    ムオンチップのアプリケーション・ソフトウェアの実行
    のための計算を複数のサブタスクに分離して検証ユニッ
    トに分配し、その分配したサブタスクを複数の検証ユニ
    ットに割り当てる請求項15に記載のシステムオンチッ
    プの設計検証装置。
  27. 【請求項27】 上記メイン・システム・コンピュータ
    と制御ユニットは、システムオンチップ全体のシミュレ
    ーション・テストベンチとアプリケーション・ソフトウ
    ェアの実行により、システムオンチップ全体の検証を行
    い、さらにメイン・システム・コンピュータは、システ
    ムオンチップのアプリケーション・ソフトウェアの実行
    のための計算を複数のサブタスクに分離して検証ユニッ
    トに分配し、その分配したサブタスクを複数の検証ユニ
    ットに割り当て、さらにメイン・システム・コンピュー
    タは、その検証ユニットからの上記シリコンICの応答
    出力を合成してシステムオンチップレベルでの応答出力
    を形成し、その良否を決定する請求項15に記載のシス
    テムオンチップの設計検証装置。
  28. 【請求項28】 上記各検証ユニットは、対応するシリ
    コンICを搭載するためのパフォーマンスボードをさら
    に有する請求項15に記載のシステムオンチップの設計
    検証装置。
  29. 【請求項29】 上記各検証ユニットは、被試験グルー
    ロジックと全てのシリコンICを搭載するためのパフォ
    ーマンスボードをさらに有する請求項15に記載のシス
    テムオンチップの設計検証装置。
  30. 【請求項30】 上記各検証ユニットはイベント型テス
    タで構成され、その検証ユニットは、テストパターンの
    信号値の変化とその変化点のタイミングをあらわしたイ
    ベントデータに基づいてテストパターンを発生する請求
    項15に記載のシステムオンチップの設計検証装置。
  31. 【請求項31】 上記各コアのシミュレーション・テス
    トベンチは、イベント型のフォーマットを有しており、
    これによりイベント型テスタで構成される検証ユニット
    によりシステムオンチップのコアの不良の発見とその修
    復を容易に行う請求項15に記載のシステムオンチップ
    の設計検証装置。
  32. 【請求項32】 上記各検証ユニットはイベント型テス
    タとして構成され、そのイベント型テスタは、 基準クロック周期の整数倍データと基準クロック周期の
    端数データとで形成されるタイミングデータを格納する
    イベントメモリを有し、そのタイミングデータは予め定
    めた基準時点と現在のイベントとの間の時間差であり、 上記タイミングデータを読み出すために、上記イベント
    メモリをアクセスするためのアドレスデータを発生する
    アドレスシーケンサと、 上記基準クロックの上記整数倍だけ遅延したイベントス
    タート信号を発生するためのタイミング・カウント・ロ
    ジック部と、 そのタイミング・カウント・ロジック部からのイベント
    スタート信号と上記イベントメモリからの端数データを
    基にして、各イベントを形成し、これにより上記テスト
    信号やストローブ信号を発生するためのイベント発生ユ
    ニットと、 上記シリコンICのピンに上記検証ユニットを割り当て
    るために検証ユニットのアドレスを検出する検証ユニッ
    ト書き込みデコーダと、 により構成される請求項15に記載のシステムオンチッ
    プの設計検証装置。
  33. 【請求項33】 複数の機能コアが集積された埋込コア
    型システムオンチップの設計を検証する設計検証装置に
    おいて、 使用者とのインタフェースを行うとともに設計検証のた
    めの全体動作を制御するためのメイン・システム・コン
    ピュータと、 そのメイン・システム・コンピュータから受けたテスト
    ベンチ・データを用いてシステムオンチップに集積され
    る複数の機能コアをテストするためのテストパターンを
    発生する複数の検証ユニットと、 その複数の検証ユニットとメイン・システム・コンピュ
    ータをインタフェースするシステムバスとにより構成さ
    れ、 上記各検証ユニットは上記メイン・システム・コンピュ
    ータからテストベンチデータを受け取る制御コンピュー
    タを有しており、複数のシリコンICが検証ユニットに
    接続されて、その検証ユニットからテストパターンを受
    け、そのテストパターンに対する応答出力を発生し、そ
    の応答出力を検証ユニットとメイン・システム・コンピ
    ュータにより評価し、そのシリコンICは、システムオ
    ンチップに集積される機能コアと同一の内部構造と機能
    を有しており、 さらに上記メイン・システム・コンピュータは、上記シ
    リコンICに印加するテストパターンの発生、そのシリ
    コンICからの応答出力の評価、システムオンチップの
    タイミングやインタフェースの評価、およびシステムオ
    ンチップ全体の設計検証の全てのタスクを行うシステム
    オンチップの設計検証装置。
JP2000333518A 1999-10-28 2000-10-27 システムオンチップの設計検証方法および装置 Expired - Fee Related JP4804620B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/428746 1999-10-28
US09/428,746 US6678645B1 (en) 1999-10-28 1999-10-28 Method and apparatus for SoC design validation

Publications (2)

Publication Number Publication Date
JP2001189387A true JP2001189387A (ja) 2001-07-10
JP4804620B2 JP4804620B2 (ja) 2011-11-02

Family

ID=23700234

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000333518A Expired - Fee Related JP4804620B2 (ja) 1999-10-28 2000-10-27 システムオンチップの設計検証方法および装置

Country Status (5)

Country Link
US (1) US6678645B1 (ja)
JP (1) JP4804620B2 (ja)
KR (1) KR100491461B1 (ja)
DE (1) DE10053207A1 (ja)
TW (1) TW494325B (ja)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005158076A (ja) * 2003-11-25 2005-06-16 Agere Systems Inc コンピューティング・システムにおける周辺装置用ユニバーサル・コントローラ
KR100750184B1 (ko) 2006-08-11 2007-08-17 삼성전자주식회사 반도체 집적 회로의 간접 시뮬레이션 방법 및 장치
KR100851524B1 (ko) 2006-12-29 2008-08-11 전자부품연구원 SoC 코아로직의 천이 지연 고장 테스트를 지원하는IEEE 1500 래퍼 셀 및 이를 이용한 테스트 방법
JP2015018541A (ja) * 2013-07-12 2015-01-29 ハミルトン・サンドストランド・コーポレイションHamilton Sundstrand Corporation 航空機搭載電子システムの多段フィールド・プログラマブル・ゲート・アレイのハードウェア要件の評価および検証
JP2019516276A (ja) * 2016-03-29 2019-06-13 クアルコム,インコーポレイテッド 要求されたフィーチャセットを有する集積回路を構成するための方法および装置
JP2020184375A (ja) * 2013-05-17 2020-11-12 コーヒレント・ロジックス・インコーポレーテッド マルチプロセッサ組込みシステム上でのアプリケーションの動的再構成

Families Citing this family (177)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7076415B1 (en) * 1998-12-17 2006-07-11 Cadence Design Systems, Inc. System for mixed signal synthesis
US6904397B1 (en) * 2000-02-22 2005-06-07 Xilinx, Inc. System and method for assisting in the development and integration of reusable circuit designs
US6970814B1 (en) * 2000-03-30 2005-11-29 International Business Machines Corporation Remote IP simulation modeling
US7191112B2 (en) * 2000-04-28 2007-03-13 Cadence Design Systems, Inc. Multiple test bench optimizer
US7124376B2 (en) * 2000-05-02 2006-10-17 Palmchip Corporation Design tool for systems-on-a-chip
US6675310B1 (en) * 2000-05-04 2004-01-06 Xilinx, Inc. Combined waveform and data entry apparatus and method for facilitating fast behavorial verification of digital hardware designs
KR100374328B1 (ko) * 2000-06-03 2003-03-03 박현숙 칩 설계 검증 및 테스트 장치 및 방법
US20030154465A1 (en) * 2000-07-21 2003-08-14 Gianmario Bollano Method and system for verifying modules destined for generating circuits
US8176296B2 (en) 2000-10-26 2012-05-08 Cypress Semiconductor Corporation Programmable microcontroller architecture
US7765095B1 (en) 2000-10-26 2010-07-27 Cypress Semiconductor Corporation Conditional branching in an in-circuit emulation system
US8160864B1 (en) 2000-10-26 2012-04-17 Cypress Semiconductor Corporation In-circuit emulator and pod synchronized boot
US6724220B1 (en) 2000-10-26 2004-04-20 Cyress Semiconductor Corporation Programmable microcontroller architecture (mixed analog/digital)
US8103496B1 (en) 2000-10-26 2012-01-24 Cypress Semicondutor Corporation Breakpoint control in an in-circuit emulation system
US8149048B1 (en) 2000-10-26 2012-04-03 Cypress Semiconductor Corporation Apparatus and method for programmable power management in a programmable analog circuit block
US7016826B2 (en) * 2000-12-21 2006-03-21 Intel Corporation Apparatus and method of developing software for a multi-processor chip
US6922665B1 (en) * 2001-01-08 2005-07-26 Xilinx, Inc. Method and system for device-level simulation of a circuit design for a programmable logic device
US20020107678A1 (en) * 2001-02-07 2002-08-08 Chuan-Lin Wu Virtual computer verification platform
US6880112B2 (en) * 2001-04-04 2005-04-12 Nec Corporation Method and apparatus for online detection and correction of faults affecting system-on-chip buses
US6876941B2 (en) * 2001-04-12 2005-04-05 Arm Limited Testing compliance of a device with a bus protocol
JP4078435B2 (ja) * 2001-06-06 2008-04-23 株式会社ルネサステクノロジ 論理集積回路及び論理集積回路設計方法及び論理集積回路のハードウェア動作記述を生成するハードウェア記述生成方法
US20030005396A1 (en) * 2001-06-16 2003-01-02 Chen Michael Y. Phase and generator based SOC design and/or verification
US7260517B2 (en) * 2001-06-17 2007-08-21 Brian Bailey Synchronization of multiple simulation domains in an EDA simulation environment
US7401015B1 (en) 2001-06-17 2008-07-15 Brian Bailey Coherent state among multiple simulation models in an EDA simulation environment
KR100725135B1 (ko) * 2001-06-30 2007-06-25 어보브반도체 주식회사 시스템 온 칩
JP4102752B2 (ja) * 2001-08-14 2008-06-18 ベリシティー デザイン, インコーポレイテッド Vcd−オン−デマンドのシステムおよび方法
US7406674B1 (en) 2001-10-24 2008-07-29 Cypress Semiconductor Corporation Method and apparatus for generating microcontroller configuration information
US8078970B1 (en) 2001-11-09 2011-12-13 Cypress Semiconductor Corporation Graphical user interface with user-selectable list-box
US8042093B1 (en) 2001-11-15 2011-10-18 Cypress Semiconductor Corporation System providing automatic source code generation for personalization and parameterization of user modules
US6971004B1 (en) 2001-11-19 2005-11-29 Cypress Semiconductor Corp. System and method of dynamically reconfiguring a programmable integrated circuit
US7774190B1 (en) 2001-11-19 2010-08-10 Cypress Semiconductor Corporation Sleep and stall in an in-circuit emulation system
US8069405B1 (en) 2001-11-19 2011-11-29 Cypress Semiconductor Corporation User interface for efficiently browsing an electronic document using data-driven tabs
US7770113B1 (en) 2001-11-19 2010-08-03 Cypress Semiconductor Corporation System and method for dynamically generating a configuration datasheet
US7844437B1 (en) 2001-11-19 2010-11-30 Cypress Semiconductor Corporation System and method for performing next placements and pruning of disallowed placements for programming an integrated circuit
US7627462B2 (en) * 2001-11-27 2009-12-01 Arm Limited Hardware simulation using a test scenario manager
US20030105617A1 (en) * 2001-12-05 2003-06-05 Nec Usa, Inc. Hardware acceleration system for logic simulation
US7171602B2 (en) * 2001-12-31 2007-01-30 Advantest Corp. Event processing apparatus and method for high speed event based test system
US7353156B2 (en) * 2002-02-01 2008-04-01 International Business Machines Corporation Method of switching external models in an automated system-on-chip integrated circuit design verification system
US6941538B2 (en) * 2002-02-22 2005-09-06 Xilinx, Inc. Method and system for integrating cores in FPGA-based system-on-chip (SoC)
US7577540B2 (en) * 2002-03-01 2009-08-18 Nec Corporation Re-configurable embedded core test protocol for system-on-chips (SOC) and circuit boards
JP2003271694A (ja) * 2002-03-18 2003-09-26 Fujitsu Ltd プロセッサを含む論理回路の検証用シミュレーション方法及び装置並びに論理回路検証用エラー検出プログラム
US8103497B1 (en) 2002-03-28 2012-01-24 Cypress Semiconductor Corporation External interface for event architecture
US7099813B2 (en) * 2002-04-09 2006-08-29 Arm Limited Simulating program instruction execution and hardware device operation
US7308608B1 (en) 2002-05-01 2007-12-11 Cypress Semiconductor Corporation Reconfigurable testing system and method
US6880133B2 (en) * 2002-05-15 2005-04-12 Sonics, Inc. Method and apparatus for optimizing distributed multiplexed bus interconnects
FR2841668B1 (fr) * 2002-06-26 2006-08-11 Emulation And Verification Eng Procede et systeme d'emulation d'un circuit sous test associe a un environnement de test
KR100487535B1 (ko) * 2002-08-14 2005-05-03 삼성전자주식회사 다른 종류의 반도체 장치들을 동시에 테스트하는 시스템
US7340585B1 (en) * 2002-08-27 2008-03-04 Xilinx, Inc. Method and system for fast linked processor in a system on a chip (SoC)
US7761845B1 (en) * 2002-09-09 2010-07-20 Cypress Semiconductor Corporation Method for parameterizing a user module
US7092865B1 (en) * 2002-09-10 2006-08-15 Xilinx, Inc. Method and apparatus for timing modeling
US20040078179A1 (en) * 2002-10-17 2004-04-22 Renesas Technology Corp. Logic verification system
US8332197B2 (en) * 2002-12-12 2012-12-11 Pmc-Sierra Us, Inc. Simulation of complex system architecture
JP3951925B2 (ja) * 2003-01-31 2007-08-01 セイコーエプソン株式会社 ハードウェア/ソフトウェア協調検証方法
US7587690B1 (en) * 2003-04-29 2009-09-08 Cadence Design Systems, Inc. Method and system for global coverage analysis
US7216318B1 (en) * 2003-04-29 2007-05-08 Cadence Design Systems, Inc. Method and system for false path analysis
US7007264B1 (en) * 2003-05-02 2006-02-28 Xilinx, Inc. System and method for dynamic reconfigurable computing using automated translation
US20040236562A1 (en) * 2003-05-23 2004-11-25 Beckmann Carl J. Using multiple simulation environments
US7194658B2 (en) * 2003-07-24 2007-03-20 Sonics, Inc. Various methods and apparatuses for interfacing of a protocol monitor to protocol checkers and functional checkers
US6920621B1 (en) * 2003-08-20 2005-07-19 Xilinx, Inc. Methods of testing for shorts in programmable logic devices using relative quiescent current measurements
US7376917B1 (en) * 2003-08-25 2008-05-20 Xilinx, Inc. Client-server semiconductor verification system
US7606695B1 (en) * 2003-09-30 2009-10-20 Sun Microsystems, Inc. Self-checking simulations using dynamic data loading
US8065128B1 (en) * 2003-10-23 2011-11-22 Altera Corporation Methods and apparatus for automated testbench generation
US8504992B2 (en) * 2003-10-31 2013-08-06 Sonics, Inc. Method and apparatus for establishing a quality of service model
WO2005043617A1 (en) * 2003-10-31 2005-05-12 Semiconductor Energy Laboratory Co., Ltd. Semiconductor integrated circuit and design method thereof
US9087036B1 (en) * 2004-08-12 2015-07-21 Sonics, Inc. Methods and apparatuses for time annotated transaction level modeling
US7409602B2 (en) * 2003-11-12 2008-08-05 Lsi Corporation Methodology for debugging RTL simulations of processor based system on chip
DE10353580A1 (de) * 2003-11-14 2005-06-30 Infineon Technologies Ag Verfahren zur Simulation der Systemleistung eines On-Chip-Systems
US20050120278A1 (en) * 2003-11-19 2005-06-02 Smith Zachary S. Systems and methods for verifying lockstep operation
US20050114735A1 (en) * 2003-11-20 2005-05-26 Smith Zachary S. Systems and methods for verifying core determinacy
US7475303B1 (en) 2003-12-29 2009-01-06 Mips Technologies, Inc. HyperJTAG system including debug probe, on-chip instrumentation, and protocol
US8180620B2 (en) * 2004-01-27 2012-05-15 Arm Limited Apparatus and method for performing hardware and software co-verification testing
JP2007528553A (ja) * 2004-03-09 2007-10-11 セヤン ヤン 検証性能と検証效率性を高める動的検証−基盤方式の検証装置及びこれを用いた検証方法論
US6972571B2 (en) * 2004-03-22 2005-12-06 Freescale Semiconductor, Inc. Load board with embedded relay tracker
US7295049B1 (en) 2004-03-25 2007-11-13 Cypress Semiconductor Corporation Method and circuit for rapid alignment of signals
US8818784B1 (en) 2004-06-23 2014-08-26 Cypress Semiconductor Corporation Hardware description language (HDL) incorporating statistically derived data and related methods
KR100921314B1 (ko) * 2004-07-12 2009-10-13 양세양 검증결과 재활용 기법을 채용한 고성능 설계검증 장치 및이를 활용한 신속한 설계검증 방법
US8069436B2 (en) 2004-08-13 2011-11-29 Cypress Semiconductor Corporation Providing hardware independence to automate code generation of processing device firmware
US8286125B2 (en) 2004-08-13 2012-10-09 Cypress Semiconductor Corporation Model for a hardware device-independent method of defining embedded firmware for programmable systems
TWI299468B (en) * 2004-09-06 2008-08-01 Matsushita Electric Ind Co Ltd Debug system for debugging multi-task system and a circuit device connected with a host computer
US7516058B2 (en) * 2004-09-21 2009-04-07 Faraday Technology Corp. Method for IP characterization and path finding, and computer readable recording medium for storing program thereof
US7676661B1 (en) 2004-10-05 2010-03-09 Xilinx, Inc. Method and system for function acceleration using custom instructions
US7526745B2 (en) * 2004-12-08 2009-04-28 Telefonaktiebolaget L M Ericsson (Publ) Method for specification and integration of reusable IP constraints
KR100626663B1 (ko) 2004-12-14 2006-09-25 한국전자통신연구원 인터넷 전화용 시스템온칩 개발 및 검증 장치
US7290194B2 (en) * 2004-12-17 2007-10-30 Lsi Corporation System for performing automatic test pin assignment for a programmable device
KR100638476B1 (ko) * 2004-12-22 2006-10-26 삼성전자주식회사 버추얼 플랫폼 기반의 시스템 온 칩 개발 환경 및 개발 방법
US7332976B1 (en) 2005-02-04 2008-02-19 Cypress Semiconductor Corporation Poly-phase frequency synthesis oscillator
US7353162B2 (en) * 2005-02-11 2008-04-01 S2C, Inc. Scalable reconfigurable prototyping system and method
US7313738B2 (en) * 2005-02-17 2007-12-25 International Business Machines Corporation System and method for system-on-chip interconnect verification
EP1859289A4 (en) * 2005-03-16 2011-03-30 Gaterocket Inc FPGA MATRIX EMULATION SYSTEM
US7400183B1 (en) 2005-05-05 2008-07-15 Cypress Semiconductor Corporation Voltage controlled oscillator delay cell and method
US8089461B2 (en) * 2005-06-23 2012-01-03 Cypress Semiconductor Corporation Touch wake for electronic devices
US7562001B2 (en) * 2005-07-29 2009-07-14 International Business Machines Corporation Creating a behavioral model of a hardware device for use in a simulation environment
US20070073999A1 (en) * 2005-09-28 2007-03-29 Verheyen Henry T Hardware acceleration system for logic simulation using shift register as local cache with path for bypassing shift register
US7444276B2 (en) 2005-09-28 2008-10-28 Liga Systems, Inc. Hardware acceleration system for logic simulation using shift register as local cache
US20070074000A1 (en) * 2005-09-28 2007-03-29 Liga Systems, Inc. VLIW Acceleration System Using Multi-state Logic
JP4667206B2 (ja) * 2005-10-31 2011-04-06 富士通セミコンダクター株式会社 マルチコアモデルシミュレーションプログラム、該プログラムを記録した記録媒体、マルチコアモデルシミュレータ、およびマルチコアモデルシミュレーション方法
US20070129926A1 (en) * 2005-12-01 2007-06-07 Verheyen Henry T Hardware acceleration system for simulation of logic and memory
US20070129924A1 (en) * 2005-12-06 2007-06-07 Verheyen Henry T Partitioning of tasks for execution by a VLIW hardware acceleration system
US7444258B2 (en) * 2005-12-05 2008-10-28 International Business Machines Corporation Automated simulation testbench generation for serializer/deserializer datapath systems
US7711534B2 (en) * 2005-12-09 2010-05-04 International Business Machines Corporation Method and system of design verification
US7509605B2 (en) 2005-12-12 2009-03-24 International Business Machines Corporation Extending incremental verification of circuit design to encompass verification restraints
US8085067B1 (en) 2005-12-21 2011-12-27 Cypress Semiconductor Corporation Differential-to-single ended signal converter circuit and method
US20070150702A1 (en) * 2005-12-23 2007-06-28 Verheyen Henry T Processor
US7469401B2 (en) * 2006-02-22 2008-12-23 International Business Machines Corporation Method for using partitioned masks to build a chip
US7496464B2 (en) * 2006-03-21 2009-02-24 Mediatek Usa Inc. Validation system with flow control capability
US8067948B2 (en) 2006-03-27 2011-11-29 Cypress Semiconductor Corporation Input/output multiplexer bus
US7571414B2 (en) * 2006-06-15 2009-08-04 National Chip Implementation Center, National Applied Research Laboratories Multi-project system-on-chip and its method
WO2008013968A2 (en) 2006-07-28 2008-01-31 Vast Systems Technology Corporation Virtual processor generation model for co-simulation
US7823017B2 (en) * 2006-08-02 2010-10-26 International Business Machines Corporation Structure for task based debugger (transaction-event-job-trigger)
KR100873956B1 (ko) 2006-08-17 2008-12-15 삼성전자주식회사 에뮬레이션 시스템
US8868397B2 (en) 2006-11-20 2014-10-21 Sonics, Inc. Transaction co-validation across abstraction layers
KR101375171B1 (ko) 2006-12-30 2014-03-18 삼성전자주식회사 시스템 온 칩 모델 검증 방법 및 장치
WO2008091575A2 (en) 2007-01-22 2008-07-31 Vast Systems Technology Corporation Method and system for modeling a bus for a system design incorporating one or more programmable processors
JP5099119B2 (ja) * 2007-03-15 2012-12-12 富士通セミコンダクター株式会社 デバイス間接続試験回路生成方法、生成装置、および生成プログラム
US8516025B2 (en) 2007-04-17 2013-08-20 Cypress Semiconductor Corporation Clock driven dynamic datapath chaining
US8040266B2 (en) 2007-04-17 2011-10-18 Cypress Semiconductor Corporation Programmable sigma-delta analog-to-digital converter
US9564902B2 (en) 2007-04-17 2017-02-07 Cypress Semiconductor Corporation Dynamically configurable and re-configurable data path
US8130025B2 (en) * 2007-04-17 2012-03-06 Cypress Semiconductor Corporation Numerical band gap
US7737724B2 (en) 2007-04-17 2010-06-15 Cypress Semiconductor Corporation Universal digital block interconnection and channel routing
US8092083B2 (en) 2007-04-17 2012-01-10 Cypress Semiconductor Corporation Temperature sensor with digital bandgap
US8026739B2 (en) 2007-04-17 2011-09-27 Cypress Semiconductor Corporation System level interconnect with programmable switching
US20080263489A1 (en) * 2007-04-23 2008-10-23 Canada Miles G Method to identify and generate critical timing path test vectors
US8065653B1 (en) 2007-04-25 2011-11-22 Cypress Semiconductor Corporation Configuration of programmable IC design elements
US9720805B1 (en) 2007-04-25 2017-08-01 Cypress Semiconductor Corporation System and method for controlling a target device
US8266575B1 (en) 2007-04-25 2012-09-11 Cypress Semiconductor Corporation Systems and methods for dynamically reconfiguring a programmable system on a chip
US8049569B1 (en) 2007-09-05 2011-11-01 Cypress Semiconductor Corporation Circuit and method for improving the accuracy of a crystal-less oscillator having dual-frequency modes
US8145967B2 (en) * 2007-10-12 2012-03-27 Oracle America, Inc. System and method for verifying the receive path of an input/output component
US8136065B2 (en) * 2007-12-10 2012-03-13 Inpa Systems, Inc. Integrated prototyping system for validating an electronic system design
US8275975B2 (en) * 2008-01-25 2012-09-25 Mtekvision Co., Ltd. Sequencer controlled system and method for controlling timing of operations of functional units
US8046726B2 (en) * 2008-09-16 2011-10-25 Lsi Corporation Waiver mechanism for physical verification of system designs
TWI381180B (zh) * 2008-10-03 2013-01-01 Holtek Semiconductor Inc Circuit Simulation System
WO2010054669A1 (en) * 2008-11-11 2010-05-20 Verigy (Singapore) Pte.Ltd. Re-configurable test circuit, method for operating an automated test equipment, apparatus, method and computer program for setting up an automated test equipment
US8032848B2 (en) * 2009-01-29 2011-10-04 Synopsys, Inc. Performing abstraction-refinement using a lower-bound-distance to verify the functionality of a circuit design
US8020126B2 (en) * 2009-02-05 2011-09-13 Texas Instruments Incorporated Links and chains verification and validation methodology for digital devices
US8413103B2 (en) * 2009-03-13 2013-04-02 Synopsys, Inc. Execution monitor for electronic design automation
US9448964B2 (en) 2009-05-04 2016-09-20 Cypress Semiconductor Corporation Autonomous control in a programmable system
US8015537B1 (en) 2009-05-19 2011-09-06 Xilinx, Inc. Automated rate realization for circuit designs within high level circuit implementation tools
US8042079B1 (en) * 2009-05-19 2011-10-18 Xilinx, Inc. Synchronization for a modeling system
US9081924B2 (en) * 2010-03-29 2015-07-14 Synopsys, Inc. Method and apparatus for transaction recording and visualization
KR20110124617A (ko) 2010-05-11 2011-11-17 삼성전자주식회사 시스템-온-칩 및 그것의 디버깅 방법
TWI450118B (zh) * 2010-11-02 2014-08-21 Global Unichip Corp 混合的電子設計系統及其可重組連接矩陣
US20120330637A1 (en) * 2011-06-21 2012-12-27 International Business Machines Corporation Method for providing debugging tool for a hardware design and debugging tool for a hardware design
US8429581B2 (en) * 2011-08-23 2013-04-23 Apple Inc. Method for verifying functional equivalence between a reference IC design and a modified version of the reference IC design
US9734263B2 (en) * 2012-12-20 2017-08-15 Intel Corporation Method and apparatus for efficient pre-silicon debug
US8645897B1 (en) * 2013-01-07 2014-02-04 Freescale Semiconductor, Inc. Integrated circuit design verification system
KR20140113175A (ko) 2013-03-15 2014-09-24 삼성전자주식회사 버스 프로토콜 검사기, 이를 포함하는 시스템 온 칩 및 버스 프로토콜 검사 방법
US9038006B2 (en) * 2013-04-30 2015-05-19 Freescale Semiconductor, Inc. Method and apparatus for generating gate-level activity data for use in clock gating efficiency analysis
US9298250B2 (en) 2013-08-06 2016-03-29 Globalfoundries Inc. Reconfigurable circuit to emulate system critical paths
KR102122455B1 (ko) 2013-10-08 2020-06-12 삼성전자주식회사 프로세서의 디코더 검증을 위한 테스트 벤치 생성 방법 및 이를 위한 장치
JP6478562B2 (ja) 2013-11-07 2019-03-06 株式会社半導体エネルギー研究所 半導体装置
JP6393590B2 (ja) 2013-11-22 2018-09-19 株式会社半導体エネルギー研究所 半導体装置
US9317385B2 (en) 2013-12-30 2016-04-19 Samsung Electronics Co., Ltd. Hardware profiling
JP6444723B2 (ja) 2014-01-09 2018-12-26 株式会社半導体エネルギー研究所 装置
US9379713B2 (en) 2014-01-17 2016-06-28 Semiconductor Energy Laboratory Co., Ltd. Data processing device and driving method thereof
JP6545970B2 (ja) 2014-02-07 2019-07-17 株式会社半導体エネルギー研究所 装置
JP2015165226A (ja) 2014-02-07 2015-09-17 株式会社半導体エネルギー研究所 装置
US9760663B2 (en) * 2014-10-30 2017-09-12 Synopsys, Inc. Automatic generation of properties to assist hardware emulation
US9804911B2 (en) 2015-05-27 2017-10-31 Apple Inc. Concurrent validation of hardware units
US10176078B1 (en) * 2015-08-28 2019-01-08 Cadence Design Systems, Inc. Debugging process
CN105302950B (zh) * 2015-10-19 2018-07-24 北京精密机电控制设备研究所 一种软、硬件协同的可编程逻辑器件交联仿真测试方法
US10338138B2 (en) * 2015-12-31 2019-07-02 Chinsong Sul Low cost design for test architecture
US10437946B1 (en) * 2016-09-01 2019-10-08 Xilinx, Inc. Using implemented core sources for simulation
KR20180043626A (ko) 2016-10-20 2018-04-30 삼성전자주식회사 버퍼를 포함하는 버스를 라우팅하기 위한 시스템 및 방법
CN106599343B (zh) * 2016-11-01 2020-10-20 深圳国微技术有限公司 一种提高仿真效率的soc系统验证方法和装置
CN109933880B (zh) * 2019-03-06 2022-10-11 西安微电子技术研究所 基于配置驱动的SoC原型验证用例提取模型建模方法
KR20210047127A (ko) 2019-10-21 2021-04-29 삼성전자주식회사 반도체 회로를 검증하기 위한 최적화된 검증 벡터를 생성하는 전자 장치 및 그 동작 방법
CN110907798A (zh) * 2019-10-23 2020-03-24 盛科网络(苏州)有限公司 集成SoC的交换芯片的测试验证板、测试装置及方法
US11144696B1 (en) * 2020-05-27 2021-10-12 Chinsong Sul Low cost design for test architecture
KR102183267B1 (ko) * 2020-05-28 2020-11-26 최훈기 Ic 간의 설계 적합성 체크 시스템
CN111859832B (zh) * 2020-07-16 2022-07-08 山东云海国创云计算装备产业创新中心有限公司 一种芯片仿真验证方法、装置及相关设备
US20220019720A1 (en) * 2020-07-17 2022-01-20 University Of Florida Research Foundation, Incorporated Framework for automated synthesis of secure, optimized system-on-chip architectures
CN111949470A (zh) * 2020-08-24 2020-11-17 海光信息技术有限公司 一种芯片验证方法、装置、电子设备及存储介质
CN114722750A (zh) * 2022-03-18 2022-07-08 北京遥感设备研究所 Soc芯片仿真验证方法、装置、设备和存储介质
CN114860519B (zh) * 2022-04-08 2022-12-23 中国人民解放军国防科技大学 一种面向大规模asic芯片的多芯片联合验证方法及装置
CN115248998B (zh) * 2022-09-22 2023-01-03 济南新语软件科技有限公司 一种SoC芯片分布式仿真验证平台和方法
CN115639461A (zh) * 2022-12-23 2023-01-24 长沙驰芯半导体科技有限公司 一种基于双处理器的超宽带芯片原型验证平台
CN116011392A (zh) * 2022-12-23 2023-04-25 摩尔线程智能科技(北京)有限责任公司 用于验证环境级联的装置、方法、设备、介质和程序产品
CN115993952B (zh) * 2023-03-23 2023-05-30 中大智能科技股份有限公司 基于risc-v的桥梁支座监测芯片及设计系统、方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6115348A (ja) * 1984-07-02 1986-01-23 Toshiba Corp システムlsi
JPH04218943A (ja) * 1991-04-19 1992-08-10 Toshiba Corp 1チップlsiの製造方法
JPH0877216A (ja) * 1994-09-09 1996-03-22 Hitachi Ltd 論理エミュレーションシステム
JPH10221413A (ja) * 1996-12-16 1998-08-21 Texas Instr Inc <Ti> 拡張されたオペレーティング・モードを用いて集積回路をデバッグする方法
JPH11288432A (ja) * 1998-02-05 1999-10-19 Matsushita Electric Ind Co Ltd シミュレ―ション装置及び当該シミュレ―ション装置を実現するコンピュ―タに実行させるプログラムを記録したコンピュ―タ読み取り可能な記録媒体

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5109353A (en) * 1988-12-02 1992-04-28 Quickturn Systems, Incorporated Apparatus for emulation of electronic hardware system
US5555201A (en) * 1990-04-06 1996-09-10 Lsi Logic Corporation Method and system for creating and validating low level description of electronic design from higher level, behavior-oriented description, including interactive system for hierarchical display of control and dataflow information
JP2888512B2 (ja) * 1995-09-22 1999-05-10 三菱電機マイコン機器ソフトウエア株式会社 エミュレーション装置
US5903475A (en) * 1996-07-18 1999-05-11 Lsi Logic Corporation System simulation for testing integrated circuit models
JP4020462B2 (ja) * 1996-08-30 2007-12-12 テキサス インスツルメンツ インコーポレイテツド テストインタフェースを含む集積回路及びテストインタフェースを使用する方法
US6009256A (en) * 1997-05-02 1999-12-28 Axis Systems, Inc. Simulation/emulation system and method
US6094726A (en) * 1998-02-05 2000-07-25 George S. Sheng Digital signal processor using a reconfigurable array of macrocells
US6360353B1 (en) * 1998-02-21 2002-03-19 Adaptec, Inc. Automated alternating current characterization testing
US6304837B1 (en) * 1998-02-21 2001-10-16 Adaptec, Inc. Automated test vector generation and verification
KR19990079355A (ko) * 1998-04-03 1999-11-05 윤종용 테스트벤치 발생기 및 이를 이용한 시뮬레이션방법
JP2002526908A (ja) * 1998-09-30 2002-08-20 ケイデンス デザイン システムズ インコーポレイテッド ブロックをベースとする設計方法
US6249893B1 (en) * 1998-10-30 2001-06-19 Advantest Corp. Method and structure for testing embedded cores based system-on-a-chip
US6532561B1 (en) * 1999-09-25 2003-03-11 Advantest Corp. Event based semiconductor test system

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6115348A (ja) * 1984-07-02 1986-01-23 Toshiba Corp システムlsi
JPH04218943A (ja) * 1991-04-19 1992-08-10 Toshiba Corp 1チップlsiの製造方法
JPH0877216A (ja) * 1994-09-09 1996-03-22 Hitachi Ltd 論理エミュレーションシステム
JPH10221413A (ja) * 1996-12-16 1998-08-21 Texas Instr Inc <Ti> 拡張されたオペレーティング・モードを用いて集積回路をデバッグする方法
JPH11288432A (ja) * 1998-02-05 1999-10-19 Matsushita Electric Ind Co Ltd シミュレ―ション装置及び当該シミュレ―ション装置を実現するコンピュ―タに実行させるプログラムを記録したコンピュ―タ読み取り可能な記録媒体

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005158076A (ja) * 2003-11-25 2005-06-16 Agere Systems Inc コンピューティング・システムにおける周辺装置用ユニバーサル・コントローラ
KR100750184B1 (ko) 2006-08-11 2007-08-17 삼성전자주식회사 반도체 집적 회로의 간접 시뮬레이션 방법 및 장치
KR100851524B1 (ko) 2006-12-29 2008-08-11 전자부품연구원 SoC 코아로직의 천이 지연 고장 테스트를 지원하는IEEE 1500 래퍼 셀 및 이를 이용한 테스트 방법
JP2020184375A (ja) * 2013-05-17 2020-11-12 コーヒレント・ロジックス・インコーポレーテッド マルチプロセッサ組込みシステム上でのアプリケーションの動的再構成
JP7121076B2 (ja) 2013-05-17 2022-08-17 コーヒレント・ロジックス・インコーポレーテッド マルチプロセッサ組込みシステム上でのアプリケーションの動的再構成
US11726812B2 (en) 2013-05-17 2023-08-15 Coherent Logix, Incorporated Dynamic reconfiguration of applications on a multi-processor embedded system
JP2015018541A (ja) * 2013-07-12 2015-01-29 ハミルトン・サンドストランド・コーポレイションHamilton Sundstrand Corporation 航空機搭載電子システムの多段フィールド・プログラマブル・ゲート・アレイのハードウェア要件の評価および検証
JP2019516276A (ja) * 2016-03-29 2019-06-13 クアルコム,インコーポレイテッド 要求されたフィーチャセットを有する集積回路を構成するための方法および装置

Also Published As

Publication number Publication date
DE10053207A1 (de) 2001-05-03
US6678645B1 (en) 2004-01-13
JP4804620B2 (ja) 2011-11-02
KR20010067370A (ko) 2001-07-12
KR100491461B1 (ko) 2005-05-25
TW494325B (en) 2002-07-11

Similar Documents

Publication Publication Date Title
JP4804620B2 (ja) システムオンチップの設計検証方法および装置
KR100936855B1 (ko) Asic/soc 제조시에 프로토타입-홀드를 방지하기위한 제조 방법 및 장치
US7089517B2 (en) Method for design validation of complex IC
Huang et al. SoC HW/SW verification and validation
JP2002526908A (ja) ブロックをベースとする設計方法
WO2009075981A1 (en) Integrated prototyping system for validating an electronic system design
TW563043B (en) Method and apparatus for design validation of complex IC without using logic simulation
US10664563B2 (en) Concurrent testbench and software driven verification
US7340705B1 (en) In-circuit device, system and method to parallelize design and verification of application-specific integrated circuits (“ASICs”) having embedded specialized function circuits
CN117461259A (zh) 可测试的时间到数字转换器
US11023635B1 (en) Sequence of frames generated by emulation and waveform reconstruction using the sequence of frames
Kang et al. Seamless SoC verification using virtual platforms: An industrial case study
US7065724B2 (en) Method and apparatus for generating and verifying libraries for ATPG tool
Ke et al. Verification of AMBA bus model using SystemVerilog
CN1383200A (zh) 单片系统的设计校验方法和装置
Choi et al. Early HW/SW Co-Verification Using Virtual Platforms
CN116451625B (zh) 用于rtl和带sdf网表的联合仿真的装置和方法
Avss et al. Virtual prototyping increases productivity-A case study
Siddiqui System-on-Chip (SoC) emulation
CN117272883A (zh) 电路设计的分布式仿真同步
Avinun Concurrent hardware/software development platforms speed system integration and bring-up
Bakhteri CoDeCoVe: A Novel System Level Co-Design & Co-Verification Framework
Kuitunen SoC FPGA based integration testing platform
Rodrigo Hardware-software model co-simulation for GPU IP development
Gancedo Rodrigo Hardware-software model co-simulation for GPU IP development

Legal Events

Date Code Title Description
RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20041215

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070615

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100226

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110426

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110624

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110712

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110810

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140819

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140819

Year of fee payment: 3

LAPS Cancellation because of no payment of annual fees