TW494325B - Method and apparatus for SoC design validation - Google Patents

Method and apparatus for SoC design validation Download PDF

Info

Publication number
TW494325B
TW494325B TW089122468A TW89122468A TW494325B TW 494325 B TW494325 B TW 494325B TW 089122468 A TW089122468 A TW 089122468A TW 89122468 A TW89122468 A TW 89122468A TW 494325 B TW494325 B TW 494325B
Authority
TW
Taiwan
Prior art keywords
verification
core
test
patent application
verification unit
Prior art date
Application number
TW089122468A
Other languages
English (en)
Inventor
Rochit Rajsuman
Hiroaki Yamoto
Original Assignee
Advantest Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advantest Corp filed Critical Advantest Corp
Application granted granted Critical
Publication of TW494325B publication Critical patent/TW494325B/zh

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F11/00Error detection; Error correction; Monitoring
    • G06F11/22Detection or location of defective computer hardware by testing during standby operation or during idle time, e.g. start-up testing
    • G06F11/26Functional testing
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/33Design verification, e.g. functional simulation or model checking

Landscapes

  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • Quality & Reliability (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Test And Diagnosis Of Digital Computers (AREA)
  • Tests Of Electronic Circuits (AREA)

Description

經濟部智慧財產局員工消費合作社印製 494325 A7 B7 五、發明說明(1 ) 發明領域 本發明有關於一個有許多功能核心的系統單晶片( System-〇n-a-ChiP )的設計完整性的檢驗方法和設備,尤其 是系統單晶片設計驗證所用的方法及設備,其中,針對後 述項目來評估設計驗證;每個核心的目標功能(intended · funcUon )、每個核心的時序、核心間的介面及系統單晶片 的整體系統運作。 發明背景 最近五年來,特殊應用積體電路的技術趨勢’已從晶 片組的設計哲學轉移到以內嵌核心設計爲基礎的系統單晶 片設計理念。這類系統晶片是建構在使用某些已設計好的 模組,其內涵複雜的功能’這些不同功能的模組就是一般 所稱的核心(也有些人稱其爲智慧財產,簡稱1 P )。這 些核心設計通常以下兩種方式提供,一種被寫成高階描述 語言(例如Verilog/HDL ),一般稱爲軟一核心(soft-core ),另一種被做成電晶體階層的電路佈局(如G D S I I ),一^般稱爲硬一核心(hard-core )。一個系統晶片的組 成可以結合幾個軟核和幾個硬核以組成系統功能,例如微 處理機、大記憶體陣列、影音控制器、數據機、網際網路 調諧器、2維和3維的圖形控制器、數位訊號處理功能、 等等....... 許多時候’這些核心可能所來自不同的公司,然後整 合在一 g而成爲一個系統單晶片。要使用一個外來的核心 本紙張尺度適用中國國豕標準(CNS)A4規格(210 X 297公爱) ,------------4^^--------訂---------線 (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 494325 A7 B7 五、發明說明(2 ) 時,這個核心的提供者會提供這個核心的netlist及這個核 心的模擬測試平台。那麼,這個核心被整合到系統單晶片 時,我們期望可以直接套用這個核心的測試平台,而不去 對作它任何修改。 在目前,設計是用高階描述語言(例如Verilog/VHDL )描述成多數區塊及次區塊,再用behavioral/gate_Ievel Veril〇g/VHDL模擬器予以模擬。這樣的模擬目的是要在電 路被做成半導體前檢查電路功能上的問題。在系統單晶片 設計過程中,設計的驗證是最重要且困難的項目之一,因 爲,如果沒有完整的功能驗證,那麼設計上的錯誤不是沒 有被發現就是沒完全除去。以現今的工具和方法’系統單 晶片設計驗證幾乎是不可能的,因爲模擬的速度太慢且整 個系統太龐大。 驗證意味著要找出不合所期望的單元’對系統設計來 說,驗證是指要檢查出與規格不符的地方。在系統設計過 程中,當從一個設計抽象層轉換到另一設計抽象層’驗證 就是要檢查這樣的過程是否正確。其主要目的是找出經過 電路實現設計後,在現實的限制下,系統是否如所預期的 正常工作。系統單晶片意味由多個內嵌核心組成的單一硬 體元件。因此,系統單晶片在設計上的功能驗證包括各個 核心的驗證、各核心間的連線的正確性驗證以及整個組合 後的系統操作驗證。 在目前,伴隨系統單晶片規格書的發展’發展各個所 需的行爲模型,才有辦法做出系統的模擬和測試平台。系 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) ^ --------訂---------線· (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 494325 A7 _B7 五、發明說明(3 ) 統的驗證是基於階層式設計而完成的。首先,底層的方塊 通常是核心階層,這一層的檢查是單獨驗證每個單元的正 確性。然後是每個核心連接的界面是否正確,重點在界面 的訊號和資料的正確。接下來是讓整個組合後的晶片來執 行應用程式或是對等的測試平台,這涉及軟硬體齊驗證的 觀念和方法(參考文件 M. Keating and P. Bricaud,‘Reuse methodology manual” , Kluwer Academic Press, 1998; J. S tcunstrub and W. Wolf, ” Hardware-software co-design”, Kluwer Academic Press,1997 )。軟體只能在被執行的時候 驗證,因而須進行軟硬體齊驗證。通常硬體的雛型也會做 成特殊應用的積體電路(A S I C )或是用場效規劃閘陣 列(F P G A )來驗證整個系統的運作。 功能的驗證 圖1中表不了現今在不同抽象層級的核心設計及在不 同抽象層級所用的驗證的方式。從抽象度最高到最低,分 別是行爲模式的硬體描述語言層2 1,暫存器傳輸層( R T L ) 2 3,邏輯閘階層2 5及電路實體層。在方塊 2 8裏是各抽象層所使用的驗證方法。基本的驗證測試中 包括了以下五種類型: (1 ) 一致性測試,要確保和規格的一致性。 (2 )邊界測試,要測試各式複雜的狀況和邊界條件 ,例如在所允許的電壓、溫度、步驟的最大和最小値。 (3 )隨機測試,藉此以尋找深沈或不易突顯的錯誤 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) --------------------訂---------線 (請先閱讀背面之注意事項再填寫本頁) 494325 A7 --- B7 五、發明說明(4 ) 〇 (4 )實際程式碼測試,以實際要執行的應用程式來 測S式而在此時更正功能的錯誤展現。 (請先閱讀背面之注意事項再填寫本頁) (5 )回歸測試,設計有任何改變時,執行先前的一 組合適的測試,以驗證所做的修改不影響原本正確的部.分 。而每個更正過的錯誤都需要一些額外的測驗。 測試平台的發展要依所用的核心和所要的系統單晶片 的來做。舉例而言,一個處理器的測試平台必須依它的指 令集執行測試程式,又如一個匯流排的控制器(例如 P C I核心)可用匯流排模型及匯流排監督器來提供刺激 因子並檢查模擬的結果。在這種用行爲模式測試平台的模 擬方式中的最大問題是模擬速度非常緩慢。 經濟部智慧財產局員工消費合作社印製 在產生各式測試的狀況後,要檢查所對應的輸出是否 正確。目前,這樣的檢驗都是靠人力以看波形的方式來進 行,但設計有所更動時,這樣使用人力檢查的方式是不可 行的。另一種方式是執行實際的應用程式,也就是軟硬體 齊模擬的方式,但以今天的電腦計算能力而言,是非常沒 有效率。再進一步看這樣的測試平台,只涵蓋一小部分應 用軟體和核心間的互動,也就是說只有少部分的功能可以 被測試。 界面測試 在系統單晶片設計中,各核心間的連接界面測試是必 的。通常,這類界面包括位址和資料之類的規則架構,不 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公Μ ) 494325 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明(5 ) 管是核心對核心的連接或是晶片中共共用的匯流排。這類 介面還有某種型式的控制機構及訊號,例如要求/同意( request/grant )協議及匯流排控制訊號。這種規則的界面架 構是由一組有限數量的訊號和資料組合而成。 界面測試要列出每一個界面的所有可能的互動,因此 它是不可能的,因爲不可能產生所有測試狀況。如此,進 行有限的驗證。接下來是要檢查每個核心是否能正確的接 收所有可能的資料。這個測試也是不可能完成的,因此, 只進行整體而言不完全的驗證,因爲在每次互動中的全部 不同資料値太大。 時序驗證 時序的驗證要比功能驗證更難上許多。目前最常使用 的靜態的時序分析。靜態時序分析主要是計依核心在電路 合成所使用的半導體製程技術對電路作分析,靜態時序分 析對電路的效能評估太過悲觀,主要是因爲有些無效路徑 沒被合理的過濾掉。移除無效路徑只能用人力去做,這是 另一個導致錯誤的原因。邏輯閘階層的模擬對這類問題提 供一個合理的核對方式,但是不夠完整,因爲這種模擬不 論是在建立激勵條件或是模擬本身都非常耗時。另一方面 ,電路閘的模擬須考量製程和操作環境的最差情況,但因 爲對工程師而言數量多且太複雜,所以通常不執行這一環 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) & ----»----------------訂---------線 (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 494325 A7 B7 五、發明說明(6 ) 完整的系統單晶片設計驗J登 系統單晶片設計驗證’其主要目的是要以產品最終使 用者使用的方式來驗證整個系統。此方法需要所有核心的 全功能模型和爲數合理的系統應用程式,若是一個新系統 ,則可能無應用程式可用。驗證中最主要的問題是模擬速 度。舉例說,即使在暫存器傳輸階層,系統裡的一個處理 器開機的模擬就要好幾個小時。要縮短模擬的時間有兩個 方法:(a )用較抽象階層的方式去模擬,或(b )用雛 型機或硬體仿真來加速。 對更高階抽象模型而言,R T L模型被用在功能核心 ,行爲描述模型或是指令集模型被用於記憶體及處理器, 匯流排模型及匯流排監視器被用於產生及檢查各區塊間溝 通的訊號轉變。對系統單晶片(例如媒體處理器)而言, 產生一些應用程式在模擬環境中在它上面執行。這樣的方 式能做的很有限,只能檢查設計的動作與否,或是一些簡 單的錯誤,目前,是透過匯流排監視器或是次序檢查器以 人力的方式來診查界面上的溝通情形。然而,這樣的模擬 速度是非常慢的,估計每秒只能模擬1 0個系統週期,這 對要執行一個大小合理的應用程式而言,實在是太慢了。 硬體和軟體一起模擬時,有個專用的名稱叫co-si m u 1 a t i ο η 。 硬體可 以做成 C 程式 語言的 一個功 能模組 ,整 個系統可以和要執行的應用程式整合成一個C語言程式來 執行。然而,這不能當成設計的驗證,因爲這和實際設計 有很大的不同,寧可說這是行爲功能的驗證或可行性的評 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) .----B----------------訂---------線 (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 494325 A7 ___B7 五、發明說明(7 ) 估。整個系統驗證都需要硬體描述語言,因爲它表示硬體 元件的實施。齊模擬需要一或多個H D L模擬器與 C / C + +程式的溝通(需要編譯程式、載入程式、連結 程式及其他從電腦作業系統而來的程式)。因此,齊模擬 的額外的問題是在不同模擬器之間的溝通。 硬體雛型 所有設計團隊都企圖在第一次將設計變成半導體時就 達成全部功能,但超過5 0 %的系統在第一次做成半導體 時失散。這歸咎於缺乏系統的驗證或系統單晶片的系統層 級設計驗證。爲確保在第一次就成功,應該模擬愈來愈多 的實際應用。當模擬時間變成不合理地長,現在唯一實際 的解決之道是做半導體雛型來驗證,但缺點是太昂貴,另 一取代方案是用F P GA/L P GA和仿真器。 對較小的設計,一個F P G Α (場效規劃閘陣列)或 L P G A (雷射規劃閛陣列)就可將雛形做出來。然而, F P GA/L P GA在電路閘數目和速度上比不上 A S I C,它們只適合在較小的核心設計和區塊設計,不 適用於系統單晶片。幾顆F P G A用電路板連接起來就可 以合成一個系統單晶片,如果有個錯誤要修改,則須更動 晶片的區域關係,那麼這幾顆F P G A的連線關係也要跟 著改變,這意味要一片新的電路板,這樣的更動和修改是 昂貴而耗時的。 仿真器的技術人員提供一個替代方案,它提供了可程 --------訂---------線 (請先閱讀背面之注意事項再填寫本頁) 494325 經濟部智慧財產局員工消費合作社印製 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) A7 _____^_ 五、發明說明(8 ) 式化的連線關係,可以改進電路板設計的問題,並且提供 了大量的電路閘和支援使用特殊記憶體、處理器的功能。 若設計的電路可以整個放到仿真器裡,則它的速度是比模 擬的方式快,但和實際的半導體電路速度比起來還是非常 慢。另外,若有大量的測試資料載入主控電腦,則會降低 仿真器的功效。這個方法還有一個缺點,就是目前所有商 用仿真器的售價都超過一百萬美元。 設計太大時(有幾百萬電路閘時),只能用半導體將 雛型做出來,一版電路可以用來偵察幾個錯誤,整個流程 下來可能會有2 - 3版的電路進晶圓廠製造。這樣整個設 計發展所付出的成本實在是非常的高。 如前述,目前的所有方法在系統單晶片設計驗證上都 無法兼顧速度和成本。因此,對半導體工對來說,在系統 單晶片設計上實在需要一套能兼顧速度快和成本低的方法 和設備。 發明槪論 因此,本發明的目的是提供系統單晶片設計驗證方法 及設備,它能藉核心功能、核心間的連接及整體系統表現 以進行。 本發明的另一個目的是提供能在系統單晶片設計驗證 上能兼顧速度和成本的方法和設備。 本發明更進一步要提供一個設計驗證的機制以引導系 統單晶^設計的全盤功能驗證。本發明讓使用者能更容易 ,----,-----------------訂---------線# (請先閱讀背面之注意事項再填寫本頁) 494325 A7 B7______ 五、發明說明(9 ) 的在系統單晶片中偵測和除錯。 (請先閱讀背面之注意事項再填寫本頁) 本發明是針對目前內嵌核心設計之系統單晶片在設計 驗證上的困難提出一個新的解決方法和設備。發明者叫此 發明爲設計驗證站,因爲它是用以驗證系統單晶片的全盤 功能。本發明的系統架構效率高和成本低,且和上述的驗 證系統所使用的基礎有所不同。 . 從一個觀點來看,本發明是以內嵌核心爲基礎的系統 單晶片(在其中有多個功能核心被整合)設計驗證方法。 本發明是對於整合各式不同功能核心爲基礎的系統單晶片 作驗證的方法,其驗證的步驟如下:驗證要整合到晶片裡 的每個核心,用每個核心的一個半導體I C及核心提供者 所給的測試平台;驗證在核心、晶片匯流排及連接邏輯電 路間的界面,用系統單晶片設計者發展的測試平台及連接 邏輯電路的F P G A /仿真器;驗證核心到核心間的時序 和系統時序關鍵路徑;用整體系統單晶片的模擬測試平台 及應用程式執行來進行整體設計驗證。 經濟部智慧財產局員工消費合作社印製 從另一個角度來看本發明,這是一個系統單晶片設計 驗證設備。本設備有一個主系統電腦、多數驗證單元、一 個系統匯流排。主系統電腦當作人機介面並控制驗證設備 的整體運作。測試單元從主系統電腦接收測試平台資料, 並用測試平台資料產生測試樣板,以測試將被整合在系統 單晶片中的功能核心。在本發明的設備裡,每一個驗證測 試單元連接許多矽積體電路,這些元件由驗證測試單元輸 入測試資料,其相對應的輸出資料再由各驗證測試單元及 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 42-- 經濟部智慧財產局員工消費合作社印製 494325 A7 ------ --__B7 五、發明說明(10 ) 主電腦來評估。在這些矽積體電路所含的功能和系統單晶 片中的每一個核心所含的相同。 圖式簡述 圖1顯不在功能核心設計及設計驗證中的各抽象層及 其所對應的驗證方法。 圖2是流程圖,顯示本發明的系統單晶片I C的整體 觀念及設計驗證程序。 圖3是方塊圖,顯示本發明的設計驗證的整體觀念’ 包括本發明的設計驗證站與電子設計自動化(E D A )環 境的關係。 圖4A是方塊圖,顯示圖3的電子設計自動化環境中 的系統單晶片。 圖4 B是方塊圖,顯示圖3的設計驗證台的基本配置 〇 圖5是方塊圖,顯示本發明的設計驗證站的配置的一 個更詳細的實施例,在此設計驗證站中有多個驗證單元。 圖6是方塊圖,顯示圖5的驗證單元(V U )的配置 例,其形式是事件測試器。 圖7是方塊圖,顯示待測系統單晶片中用以評估接合 邏輯的驗證單元架構的範例。 圖8是方塊圖’顯不用以s平估待測系統單晶片中接合 邏輯的驗證單元架構的另一範例。 圖9是方塊圖,顯示本發明的設計驗證站,其支援高 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) --------訂---------^ AW (請先閱讀背面之注意事項再填寫本頁) 494325 A7 B7 五、發明說明(11 ) 階應用程式語言。 圖1 0是方塊圖,顯示本發明的設計驗證站與一片附 有待測功能核心的電路板間的另一種架構關係。 圖1 1是方塊圖,顯示本發明的設計驗證站的另一例 ’其中,多數驗證單元是由主系統電腦直接控制。 主要元件對照表 4 1 4 3 4 5 4 9 5〇 5 3 5 4 5 5 5 8 5 9 經濟部智慧財產局員工消費合作社印製 6 4 6 6 6 6 1 - 6 6 6 7 電子設計自動化環境 系統單晶片 設計資料檔案 系統單晶片 設計驗證站 測試資料檔案 測試平台 資料檔案 事件檔案 事件測試器 設計資料 主系統中央處理器 測試平台資料 匯流排 驗證單元 w 驗證單元 中央處理器 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 44 ----.----------------訂---------線 (請先閱讀背面之注意事項再填寫本頁) 494325 A7 B7 五、發明說明(12 7 1 - 6 7 6 8 6 9 7 1 7 2 7 5 7 6 7 7 7 8 8 3 8 5 8 7 8 8 9 0 9 2 6 8 4 經濟部智慧財產局員工消費合作社印製 9 5 控制處理器 矽積體電路 W 矽積體電路 電子端點 匯流排 仿真器 同步單元 仲裁單元 測試平台檔案 測試平台資料 寫入解碼器 內部匯流排 錯誤記憶體 位址循序器 事件記憶體 解壓縮單元 時間計數器及變量邏輯 事件產生器 事件記憶體 發明詳述 本發明係系統單晶片設計驗證的全部流程表示在圖2 。確認的方法是含有4個步驟的系統化程序,以驗證個別 的核心與其間的連接(有關於晶片匯流排和接合邏輯), 私紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) --------IT---------^· (請先閱讀背面之注意事項再填寫本頁) -4^· 經濟部智慧財產局員工消費合作社印製 494325 A7 B7 五、發明說明(13) 驗證時序及驗證系統單晶片完整的系統效能。 更明確的說’在步驟S 3 1中,驗證的程序首先以石夕 積體電路和核心的測試平台來驗證每個單獨的核心。然後 ,在下一步S 3 2中’驗證核心間的連線,其包括晶片匯 流排的功能及接合邏輯(使用F P GA / emulation )。在 下一個步驟S 3 3中’確認的方法是用驗證核心中的時序 (以核心與核心間溝通的模擬測試平台)及系統單晶片階 層的關鍵路徑。在最後一個步驟S 3 4中,全面性的系統 單晶片設計驗證是以全功能的模擬測試平台和執行應用軟 體來測試。 用圖3所示的設備來實施本發明的方法,圖3闡明這 個新系統與電子設計自動化環境間關係的全部觀念。圖3 左上部表示一電子設計自動化環境,在此,用電腦輔助設 計工具來設計矽積體電路,例如系統單晶片4 3。圖3的 右下部,在設計驗證站5 0實施本發明的設計驗證。設計 驗證站執行的設計驗證是基於在待測的系統單晶片4 3的 設計環境中產生的測試資料及設計資料,以及真實矽積體 電路(載有將被整合在系統單晶片中的各核心)。 在這例子中,系統單晶片4 3包括功能核心A、B和 C,在圖4 A有更詳細的架構介紹。在電子設計自動化環 境4 1中設計系統單晶片4 3後,可以得到一個設計資料 檔案4 5和一個設計測試檔案5 3。透過各種資料轉換後 ,設計資料檔案會被轉成用以指派各種半導體電路閘的實 體層資料。根據此實體層的資料,經過矽積體電路製造過 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -1 〇- --------------------訂---------線 (請先閱讀背面之注意事項再填寫本頁) 494325 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明(14) 程’就可以得到一個實際的系統單晶片4 9。本發明裡的 設計驗證站不直接測完整的系統單晶片,卻是測多數砂積 體電路,這些矽積體電路代表系統單晶片4 3的分開的各 別核心,例如核心A、B和C。 在測試平台5 4用測試資料5 3 (從系統單晶片的設 計階段而來)執行邏輯模擬,就可以得到一個資料檔案 5 5,例如Venlog/VCD格式,此檔案顯示每個單獨核心以 及系統卓晶片的完整的輸入-輸出關係。稍後會解釋 V C D資料檔5 5是一種事件基礎格式。設計驗證站5 0 會將V C D檔案5 5轉換成事件檔案5 8。設計驗證站 5 0包括多個事件測試器5 9 (圖4 B中的驗證單元6 6 ),其將引導圖2所述的程序進行測試。 一個設計驗證站5 0的基本的架構範顯示在圖4 B的 方塊圖中,其作爲軟體/硬體的齊發展/驗證。設計驗證 站50包括多數驗證單干(VU) 66χ— 66n,這些單 元根據待測元件的接腳重新配置。驗證單元(V U ) 6 6 i 一 6 6 n被分配至矽積體電路6 8 ^ - 6 8 n,其承載將被 整合符評估的系統單晶片中的對應的核心A - N的功能和 電路架構。 主系統中央處理器6 2控制驗證程序中的所有操作° 主系統中央處理器6 2和驗證單元(V U ) 6 6 i — 6 6 n 透過匯流排6 4連接。在開始驗證程序之前,先提供主系 統中央處理器6 2設計資料6 1和測試平台資料6 3 (在 核心A ^ N設計階段取得)。 _______----- 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) --------------------訂---------線 (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 494325 A7 B7 五、發明說明(15) 更詳細的設計驗證站5 0如圖5所示,其中以多數設 計驗證站D V S i - D V S 6爲例。此例顯示一個使用核心 A - E和接合邏輯一起進行設計驗證的系統單晶片。此例 中,設計驗證站D V S i是被配置來測試匯流排主控制器核 心(核心A ),設計驗證站D V S 2是被配置來測試處理器 核心(core B ),設計驗證站D V S 3和D V S 4則是被配 置來測試特殊功能核心(core C and D ),設計驗證站 D V S 5是被配置來測試記憶體核心(core E )。同樣的’ 設計驗證站D V S 6是被配置來測試系統單晶片中的接合邏 輯。本發明中,上述標爲A - E的核心因設計驗證的目前 被規劃到各別的砂積體電路6 8 1 - 6 8 5。 如圖4 A和圖5所示,此系統包括一個以匯流排爲基 礎的架構。系統匯流排6 4是一個工業界標準的匯流排, 如V Μ E、V X I或P C I匯流排,可用來傳輸主系統中 央處理器6 2到驗證單元(V U s ) 6 6 ! _ 6 6 5的每個 接腳的資料。本系統的每個接腳都可由使用者自行配置, 換言之,使用者可以根據核心A - E的矽積體電路6 8 ! -6 8 5的輸出/入對測試驗證單元分類測試接腳。矽積體電 路6 8 ! — 6 8 5被焊在電子端點(pin electronics ),和元 件承載板(在電子端點後的)6 9 i - 6 9 5上,再透過匯 流排7 1互連。 如圖5所示,每個接腳群(被指派的驗證單元)還包 括一個控制用的中央處理器6 7,不但用以控制資料流程 、給予亨個核心模擬資料、反應的比較、安排每個方塊/ ^- ----.----------------訂---------線 (請先閱讀背面之注意事項再填寫本頁) 494325 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明(16) 核心的工作,而且也用以監督每個核心和系統單晶片的狀 態。這些控制處理器6 7 i - 6 7 6彼此互連,也透過系統 匯流排和主系統中央處理器連接。在當成接合邏輯的設計 驗證站DVS6中,是藉由同步單元7 5及仲裁單元7 6進 行接合邏輯到系統中央處理器6 2和設計驗證站D V S i -D V S 6的控制處理器6 7 χ - 6 7 5間的資料傳輸。 在驗證程序之前,系統中央處理器6 2安置各別的測 試平台資料7 8並將測試平台資料分配給設計驗證站 D V S i — D V S n。主系統中央處理器6 2控制設計驗證 的所有程序,包括使用者界面、各核心齊驗證的應用程式 及多重分配控制給設計驗證單元。在每設計驗證站D V S 中,驗證單元(V U ) 6 6使和核心的矽積體電路6 6相 同的測試樣板(從測試平台所產生)。每個驗證單元( V U )都將配置成一個事件測試器,在後面對此作解釋。 所有的事件(測試樣板)都透過圖5中的電子端點 6 9傳給D U T。電子端點6 9實體上把測試腳連接到所 指定元件的矽積體電路6 8 ( D U T )的接腳連。基本而 言,電子端點6 9包括與驗證單元(V U ) 6 6及待測矽 積體電路6 8間的界面電路。舉例說,每界面電路由一或 更多的驅動器和比較器(未表示於圖中)及一塊性能電路 板(performance board )組成。驅動器是把測試樣板送給 D U T的輸入端,比較器則把D U T反應的輸出和期望値 比較。性能電路板被用來機械連接待測D U T。 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) — II ί — — — — — — — ·1111111 ·11111111 I νί I I I ri (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 494325 A7 B7 五、發明說明(17 ) 獨立核心驗證 本發明中,爲了驗證各別核心A - E ,採用個別核心 的矽積體電路6 8^- 6 8s。這樣的矽積體電路6 8通常 由核心提供者或其合作製造公司提供。整個系統重新配置 成一個驗證單元對應一個核心,如圖4 A和圖5所示。爲 了驗證,每個核心的測試平台與其輸出輸入資訊都載入主 系統中央處理器6 2中。 主系統中央處理器6 2重新配置系統接腳而使每個核 心對應到一個驗證單元(V U ) 6 6並指派一個控制處理 器6 7。値得被提出的是,爲強化系統效能,實現本觀念 可用一個處理器對一個接腳的方式取代一個處理器對應個 驗證單元(V U ) 6 6。這樣的實現方式,可以自然而直 接加強系統的效果,如圖4 A和圖5,不在此贅述。 根據核心的輸出入,配置驗證單元(V U ) 6 6的接 腳數是2N,其値介於6 4 - 2 5 6。這些接腳基本上是事 件測試器的通道,並允許驅動/比較的操作。如圖4 B和 圖5所示,系統允許對些接腳重新配置並且對應到成各別 的核心。如此,在本質上,這整個系統可以配置到多個驗 證單元(V U ) 6 6裡頭,每個單元對應一個核心,如圖 5所示。如此一來,對每個單獨的核心,所指定的驗證單 元爲其專屬的事件爲主驗證系統。事件爲主驗證系統已見 於美國專利申請案No · 09/406,300 、、事件 爲主 Semiconductor Test System 〃,稍後會簡單說明。核 心的事件爲主模擬向量(作爲功能性和結構性檢查)不但 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) --------------------訂---------線 (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 494325 A7 _B7__ 五、發明說明(18 ) 可用於該核心(矽積體電路6 8 ) ’且驗證單元(V U ) 6 6可以觀察其反應並拿它跟模擬資料比較。 爲了核心的功能及時序驗證,主系統中央處理器6 2 把測試平台模擬資料傳遞到相關的驗證單元(V U ) 6 6 的控制處理器6 7。此資料是核心設計模擬的測試平台。 它內含的訊號値和時序訊息以指認訊號値從0到1或從1 ’到0的時刻,也就是事件爲主的測試樣板。如此,就不須 再作資料轉換,且本資料可直接用於核心矽積體電路6 8 〇 因爲本資料爲設計模擬的資料,一個無暇疵的核心, 如模擬所預期地運作。這種反應是由驗證單元(V U ) 6 6中的控制處理器6 7來觀察和比較。任何在模擬中出 現的差錯都可由主系統中央處理器6 2分辨出來。這樣可 以知道在任何驗證單元(V U ) 6 6上的核心矽積體電路 中出現的任何缺陷。這步驟可以在系統單晶片階層驗證前 確定每個驗證單元(V U ) 6 6上的矽積體電路都是沒有 缺陷的核心。 必須注意’與目前其他驗證系統相比,本發明讓使用 者較可以容易地對核心的缺陷除錯。這是因爲本驗證環境 和原本設計模擬的環境(E D A環境)相同,使用事件爲 主的資料。 驗證單元(事件測試器) 如占述’本發明的驗證單元6 6是配置成事件測試器 -- ----.----------------訂---------線 (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 494325 A7 B7_____ 五、發明說明(19 ) 。參考圖6 ,簡單的解釋事件測試器。再詳細的內容在本 發明的受讓人擁有的美國專利申請案
No . 0 9/ 4 0 6,3 0 0 '、事件爲主 Semiconductor
Test System 〃 。在事件測試器中,測試樣板是記錄訊號由 1到0或0到1變化時相對某一參考點的時間的參數。在 傳統的事件爲主的測試資料,測試樣板是由每個週期的時 序資料、波形資料和向量資料組合而成。既然目前設計自 動化工具可以在執行邏輯模擬時產生事件爲主的測試資料 ,事件爲主的驗證系統也可以直接用這些模擬來測矽積體 電路。 在圖6的例子中,驗證單元(V U ) 6 6包括連接到 匯流排6 4的寫入解碼器8 3、連接到接腳單元匯流排的 控制處理器6 7、一個內部匯流排8 5、一個位址循序器 8 8、一個錯誤記憶體、一個事件記憶體9 0、一個解壓 縮單元9 2、一個時序計數器及變量邏輯9 3及一個事件 產生器9 4。驗證單元(VU) 6 6透過一個電子端點提 供測試樣板給內含核心功能的矽積體電路6 8。 驗證單元寫入解碼器8 3是來分辨每個驗證單元6 6 ,如此主系統中央處理器6 2就可以送出分類的位址到系 統匯流排6 4的方式來配置驗證單元6 6。事件測試器中 的內部匯流排是用以連接大部分的功能區塊如位址循序漸 進器8 8、錯誤記憶體8 7、解壓單元9 2、時序計數器 及變量邏輯9 3和事件產生器9 4。 如上述,控制處理器6 7根據從主系統中央處理器 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) *---------------------訂---------線 (請先閱讀背面之注意事項再填寫本頁) 22 經濟部智慧財產局員工消費合作社印製 494325 A7 B7 五、發明說明(2ί)) 6 2來的測試平台資料提供指令給在驗證單元6 6中的其 他方塊。錯誤記億體8 7根據位址循序器8 8產生的位址 資訊來貯存測試結果’例如從比較器(沒有畫出)而來的 核心矽積體電路6 8的錯誤資訊。這些於在錯誤記憶體 8 7的資訊用於核心和單晶片的錯誤分析 位址循序器8 8產生位址給事件記憶體9 0。事件記 憶體記錄每個事件的時序資訊。例如,事件記憶體9 0以 兩種不同方式記錄事件資料,一是參考時脈週期的整數倍 的時序資料,另一是時脈週期的部分的時序資料。 存放在事件記憶體9 9的時序資料是用壓縮的方式來 降低所需的記憶體容量。解壓縮單元9 2從事件記憶體 9 0收到一筆壓縮資料後解壓縮成時序資料,解壓縮的資 料送到時序計數器和比例邏輯9 3。 時序計數器和比例邏輯9 3可以從事件記憶體9 0中 的時序資料產生現在的事件。全部的時序資料可由目前時 序資料和前一筆時序資料加總而得。時序計數器和比例邏 輯9 3還正比於比例參數而修改時序資料。時序資料的比 例調整作業是用比例參數乘時序資料(時間差或絕對時間 )。事件產生器9 4依據從時序計數器和比例邏輯9 3而 來的整體時序資料而產生實際時間的時序資料。事件產生 益產生的事件訊號(測試樣板)則送往端點6 9。 界面晶片內匯流排和接合玀輯的驗證 系碑單晶片大部分是由已設計好的核心組成,然而核 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) •----.----------------訂---------線 (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 494325 A7 B7 五、發明說明(21 ) 心整合者會設計一些邏輯來執行一些非常特殊功能並連接 各個不同的核心。這些邏輯通稱爲接合邏輯。在過去,接 合邏輯是訂做設計的,然而,最近內嵌F P GA被提出用 來實現這類邏輯。如上述,現今的技術,一個極度不完整 的驗證是因這類邏輯。 在所提出的方法,這類邏輯的驗證的完成是靠專屬的 子系統成將接合邏輯當成是一個子系統,如被出在圖5的 設計驗證站D V S 6用來接合邏輯的驗證。其基本方法如下 (1 )用連接各個矽積體電路6 8的匯流排7 1 ,如 圖5所示,來塑造系統晶片的晶片匯流排。這是一個用來 連接各種核心A - E的系統匯流排,其塑造晶片內匯流排 的行爲模式。這把在系統單晶片階層(從一核心到另一核 心)流的指令和資料對照到設計驗證站流的指令和資料( 從一驗證單元到另一驗證單元)。由此,此匯流排記錄每 個系統單晶片的晶片匯流排的要求/同意協定及個核心的 界面的所有傳輸資料。 (2 )用一個F P G A來實現在某特定子系統的接合 邏輯。另一取代方法是仿真在某特定子系統的接合邏輯。 這兩種方法分別表示在圖7和圖8。 圖7所示爲仿真器子系統。此方法中,可用市面上任 一種仿真器部。圖7中,被載入仿真器7 2的可合成電路 的接合邏輯R T L及在接合邏輯的測試平台檔案7 7中的 測試平芦資料。採用商用仿真器的同步單元和仲裁單元以 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公爱) ϋ ϋ ϋ ϋ ϋ βϋ «^1 ^1 ^1 ϋ ϋ ·1_— ^1 n n βϋ 一« ϋ ϋ ·ϋ i ϋ I ϋ - S ί ϋ 1_1 tt (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 494325 A7 B7 五、發明說明(22 ) 連接其他驗證單元6 6 °控制處理器6 7執行與主系統中 央處理器6 2間的同步和溝通工作。 圖8顯示F P GA的方法。這個方法將接合邏輯實施 於一 FPGA73 ,並把此一 FPGA73當成一個智慧 財產或核心。若接合邏輯在系統單晶片是以內嵌的 FPGA來實施,則F PGA7 3是內嵌式FPGA的獨 立複製品。此F P G A 7 3被視爲獨立的智慧財產’並被 指派到特定的驗證單元。 若以訂做設計把接合邏輯實施在系統單晶片中’則接 合邏輯的RTL被實施於獨立FPGA (用於特定驗證單 元)。在這個情況下,大部分的情形F P G A的速度會比 系統單晶片中訂做的接合邏輯慢。因此,此特定單元需要 一個額外的同步單元7 5和匯流排仲裁單元7 6。除緩慢 的操作速度外,此驗證單元和其他驗證單元是一樣的’其 操作也類似其他驗證單元。 時序的驗證 一旦各別核心、界面和接合邏輯的功能被驗證過’在 系統單晶片階層的關鍵性路徑檢查時序驗證。値得一提的 是,完成圖2的步驟3 1和3 2後,系統單晶片所有各別 的部分都可在本發明的設計驗證站得到。每個核心的功能 和驗證過接合邏輯時序的各別核心也已經被驗證。因此’ 可在此完整的系統,執行系統單晶片的模擬測試平台和全 部應用程式,發生任何錯誤時,即可斷定錯誤存在於核心 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) „ --------訂---------線 (請先閱讀背面之注意事項再填寫本頁) 494325 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明(23) 的整合。 在本發明的方法中’執行少單晶片階層模擬向量(測 試平台)’以驗證核心到核心間時序的正確及系統單晶片 階層的關鍵路徑時序的正確。爲此目的,系統單晶片階層 的模擬測試平台被載入主處理器。在系統單晶片設計期..間 ’這類模擬測試平台是被發展來操練設計時序關鍵路徑。 這些測試平台以資料事件格式存在,在目前的技術,通常 可用的是從Verilog/VHDL模擬器而來的VCD ( Value Change dump )格式。 '測試資料中的向量操練系統單晶片中連接系統單晶片 的不同部分的各種時序關鍵路徑。如所述,本發明的設計 驗證站有系統單晶片的所有組件,吾人期望時.序驗證能執 行並與模擬產生相同的結果。從模擬結果而來的任何偏差 都視爲錯誤’在本發明的事件爲主環境進行除錯是容易的 ,此環境相當於設計模擬的環境。 系統單晶片的驗證或全盤件的設計驗證 爲使系統單晶片像一個系統般進行完整的功能驗證, 設計模擬時發展的系統單晶片階層的功能向量在設計驗證 站上被執行。這些向量以事件格式存在。很多時候,這些 向量是執行系統單晶片設計的應用軟體(Vedlog/VHDL RTL model or behavioral model )產生。這些向量在同時或 不同的時間操練系統單晶片的各個部分,所以系統單晶片 的整體行爲是由這些反應組合決定。 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) ^----------------^--------- (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 494325 A7 B7 五、發明說明(24) 當應用程式是採較高階的程式語言,如C/C + +或 是二進制的格式,就需要一個A P I (應用程式界面)和 一個P L I (程式語言界面)’把這些程式載入主系統中 央處理器6 2,來和外部的程式進行溝通,如圖9所示。 要達成這個目的,主系統中央處理器6 2有一個帶多 重匯流排協定的多重配發控制(如圖5和9中的mulU-BP 所示)。它在應用任務(軟體應用程式)上執行'' fork 〃 操作,將其分成數個子任務,並予以排程及分配到各別核 心對應的驗證單元6 6。必須說明,在應用軟體上執行此 '' fork 〃操作,此應用軟體以高階語言寫成,例如 Verilog/VHDL或甚至C / C + +。如此,系統編譯器可在 應用任務上執行fork 〃 ,在多個驗證單元6 6組成的分 散式計算環境中執行。 在此'' fork 〃操作後,這些 ''次任務〃經由系統匯流 排6 4被分散到各別的驗證單元6 6。控制處理器6 7、 仲裁單元7 6和同步單元7 5容許溝通和無誤的資料傳遞 ,從主系統中央處理器6 2到驗證單元6 6的控制處理器 6 7。這個由控制處理器6 7、仲裁單元7 6和同步單元 7 5構成的架構被示於圖9。 基於次任務的分配,控制處理器6 7把事件爲主向量 供給各別核心並從那裏收集反應。再次,用匯流排控制處 理器、匯流排仲裁單元及同步單元,以傳遞無誤的資料。 主系統中央處理器6 2執行聯結動作,以合倂各種反應, 並組成系統單晶片層的反應。此反應和模擬反應比較,以 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) --------------------訂---------線 (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 494325 A7 __ B7 五、發明說明(25) 決定系統單晶片是否正確運作。若這是應用程式的執行’ 則反應就是應用程式的期望結果。以視訊應用爲例’其輸 出就是顯示一格一格的畫面。任何與模擬資料或期望的應 用軟體輸出的不符,由控制處理器分辨出來,且設計工程 師可以輕易的除錯,因爲此環境是事件爲主和原本的設計 環境的相同。 電路板的配看 本發明的設計驗證站需要一塊電路板,藉此連接矽積 體電路核心6 8和接合邏輯F P G A。在圖5 - 9的例子 ,提供一個元件承載板或電路板6 9給一個核心。圖1 〇 的方塊圖所示爲此電路板的另一種架構範例。在此例’電 路板9 0包括所有的待測核心和接合電路。連接器9 5被 設在驗證單元6 6和核心6 8之間而予以連接。 電路板9 0和傳統習慣的測試機很相似,是一塊多層 印刷電路板。電路板9 0和測試機之間主要的差異是測試 機只搭載一種D U T,本發明設計驗證站中的電路板9 0 卻搭載所有核心和接合邏輯F P G A的矽積體電路6 8 ° 圖1 1表示本發明的設計驗證站的另一例’其中多數 的驗證單元是直接由主電腦所控制。在此例中’不像之前 的幾個例子,設計驗證站不包括控制處理器’却由主系統 電腦6 2透過匯流排6 4直接控制。如此’所有的任務( 例如同步、核心的反應評估、時序的評估和完整的系統單 晶片評估)都由主系統電腦6 2完成。 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) ,----------------訂---------線 (請先閱讀背面之注意事項再填寫本頁) 494325 A7 ____ B7 _ 五、發明說明(26 ) 雖然只有較佳實施例特別在此被提出和說明,可發覺 本發明可能依上述所教及於後面所訴求的範圍內有許多的 修改和變異’並不違反本發明的精神和觀點。 --------^---------^ (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 0^

Claims (1)

  1. 494325 A8 B8 C8 D8 經濟部智慧財產局員工消費合作社印製 六、申請專利範圍 1 · 一種內嵌核心組成的系統單晶片之設計驗證方法 ,多數功能核心被整合在系統單晶片中’此方法包括以下 步驟: 驗證將整合到系統單晶片的個別核心’此步驟是用核 心提供者所提供的每個核心的矽積體電路及模擬測試平台 驗證在這些核心、晶片匯流排、接合邏輯之間的界面 ,此步驟是用系統整合工程師發展的模擬測試平台及接合 邏輯的F P GA/仿真器; 驗證核心到核心的時序及系統單晶片階層的關鍵路徑 ;及 執行整體的設計驗證,此步驟用整體系統單晶片的模 擬測試平台和執行應用程式。 2 ·如申請專利範圍第1項所述之設計驗證方法,其 中,這些驗證步驟在指派多數驗證單元到各核心的矽積體 電路後進行。 3 ·如申請專利範圍第1項所述之設計驗證方法,其 中,這些驗證步驟在指派多數驗證單元到各核心的矽積體 電路後進行,其中,驗證單元的測試接腳的配置是參考待 測矽積體電路的輸入輸出接腳。 4 ·如申請專利範圍第1項所述之設計驗證方法,其 中’這些驗證步驟在指派多數驗證單元到各核心的矽積體 電路後進行,其中,驗證單元配置爲事件測試器以產生測 試樣板」其測試樣板是事件爲主的資料,其描述資料變化 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) (請先閱讀背面之注意事項再填寫本頁)
    494325 經濟部智慧財4局員工消費合作社印製 A8 B8 C8 D8 六、申請專利範圍 的値和變化的時序。 5 .如申請專利範圍第4項所述之設計驗證方法’其 中,各核心所用的測試平台資料是事件爲主的格式’透過 驗證單元中事件測試機,可以容易對系統單晶片中核心的 錯誤進行除錯。 6 . —種內嵌核心組成的系統單晶片之設計驗證方法 ,多數功能核心被整合在系統單晶片中,此方法包括以下 步驟: 產生多數矽積體電路,其電路架構與對系統單晶片欲 整合的核心相同; 提供多數驗證單元,並指定每一驗證單元給核心之每 一矽積體電路; 用互連匯流排連接諸核心,該互連匯流排模造一被設 計在系統單晶片中之一晶片型匯流排,用以連結於各核心 間; 驗證整合到系統單晶片的諸核心,藉由對矽積體電路 施以測試樣板,並監督矽積體電路反應的輸出; 其中,測試樣板是直接由核心提供者的模擬測試平台 資料所產生。 7 ·如申請專利範圍第6項所述之設計驗證方法,還 包括核心與接合邏輯間的界面的驗證步驟,其中,以仿真 器來§平估核心與接合邏輯間的界面。 8 ·如申請專利範圍第6項所述之設計驗證方法,還 包括核與接合邏輯間的界面的驗證步驟,其中,使用場 本紙張尺度適用V國國家標準(CNS ) A4規格(210X297公釐)~" (請先閱讀背面之注意事項再填寫本頁}
    經濟部智慧財4局員工消費合作社印製 494325 Λ8 B8 C8 D8 六、申請專利範圍 效閘陣列(F P G A )來實現界面的功能和接合邏輯。 9 ·如申請專利範圍第6項所述之設計驗證方法,還 包括核心間的時序驗證及系統單晶片階層關鍵路徑的驗證 ,其藉由提供依系統單晶片層測試平台資料產生的激勵給 各別核心的矽積體電路。 1 0 ·如申請專利範圍第6項所述之設計驗證方法, 還包括一步驟:執行完整系統的驗證,藉由用完整的測試 平台及執行應用程式來驗證。 1 1 ·如申請專利範圍第6項所述之設計驗證方法, 其中,驗證步驟是在將各別核心的矽積體電路指定到驗證 單元後實施。 1 2 ·如申請專利範圍第6項所述之設計驗證方法, 其中,驗證步驟是在將各別核心的矽積體電路指定到驗證 單元後實施,其中,每個驗證單元被配置成事件爲主測試 器,其產生的測試樣板是根據事件資料而得,用値變化和 其時序描述測試樣板。 1 3 ·如申請專利範圍第1 2項所述之設計驗證方法 ,其中,各核心的模擬測試平台以事件爲主格式存在,因 此藉驗證單元使系統單晶片的核心錯誤除錯容易進行,此 驗證單元爲事件爲主測試器。 1 4 ·如申請專利範圍第6項所述之設計驗證方法, 其中,驗證步驟是在將各別核心的矽積體電路指定到驗證 單元後實施,其中,驗證單元的測試接腳的配置是參考待 測矽積f電路的輸入輸出接腳。 本^紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐)~~ (請先閱讀背而之注意事項再填寫本頁)
    494325 Αδ Β8 C8 _ * D8___ 六、申請專利範圍 1 5 · —種內嵌核心的系統單晶片之設計驗證設備系 統單晶片中整合有多數功能核心,該設計驗證設備包括: 一台主系統電腦,用以連接使用者及控制設計驗證設 備的所有操作; 多數驗證單元,從主電腦接收測試平台資料,並以此 測試平台資料產生測試樣板,用來測試將整合到系統單晶 片的功能核心,其中,每一驗證單元均包括一控制電腦, 用以接收主系統電腦來的測試平台資料; 一個系統匯流排,用以連接主系統電腦和各驗證單元 其中,多數矽積體電路被連接到驗證單元,以接收由 驗證單元來的測試樣板並產生反應輸出,供驗證單元和主 系統電腦評估,其中,矽積體電路搭載的內部架構和整合 到系統單晶片中的功能核心是相同的。 1 6 .如申請專利範圍第1 5項所述之設計驗證設備 ,其中,每個驗證單元中的控制電腦根據從主電腦來的測 試資料產生測試樣板給位於驗證單元的矽積體電路,並評 估矽積體電路的反應輸出。 1 7 .如申請專利範圍第1 5項所述之設計驗證設備 ,其中,每一個驗證單元被指定一個矽積體電路。 1 8 如申請專利範圍第1 5項所述之設計驗證設備 ,其中,每一個驗證單元被指定一個矽積體電路,其中, 驗證單元的測試接腳是參考待測試矽積體電路的輸入輸出 接腳來配置。 本紙張尺度適用中國國家標準(CNS ) Α4規格(210Χ 297公釐) (請先閱讀背而之注意事項再填寫本頁) 、1T 線 經濟部智慧財4.局員工消費合作社印製 -33- 494325 A8 B8 C8 D8 六、申請專利範圍 1 9 ·如申請專利範圍第1 5項所述之設計驗證設備 ,其中,每一個驗證單元有一群的測試接腳,驗證單元的 (請先閱讀背而之注意事項再填寫本頁) 接腳配置根據待測的矽積體電路自由地作改變° 2 0 ·如申請專利範圍第1 5項所述之設計驗證設備 ,其中,每一個驗證單元有一群的測試接腳,驗證單元的 接腳配置根據待測的矽積體電路自由地作改變,其中,群 的大小由主系統電腦依據指定到驗證單元的矽積體電路的 輸入輸出接腳來決定。 2 1 ·如申請專利範圍第1 5項所述之設計驗證設備 ,其中,每一個驗證單元透過系統匯流排接收從主電腦來 的測試資料,然後產生測試樣板給矽積體電路’其中’控 制電腦被提供測給試樣板的每個測試接腳。 2 2 ·如申請專利範圍第1 5項所述之設計驗證設備 ,其中,驗證單元評估被指定的矽積體電路,以驗證對應 的功能核心,且驗證單元透過用仿真器模擬界面和接合邏 輯而進一步評估將整合到系統單晶片的核心和接合邏輯間 的界面。 經濟部智慧財4局員工消費合作社印製 2 3 ·如申請專利範圍第1 5項所述之設計驗證設備 ,其中,驗證單元評估被被指定的矽積體電路,以驗證對 應的功能核心,且驗證單、元透過用場效閘陣列實施界面和 接合邏輯的功能而進一步評估將整合到系統單晶片的核心 和接合邏輯間的界面。 2 4 ·如申請專利範圍第1 5項所述之設計驗證設備 ,其中,主系統電腦和驗證單元驗證核心到核心的時序和 -34- 本紙張尺度適用中國國家標準(CNS ) A4規格(21〇x 297公釐) 494325 A8 B8 C8 D8 六、申請專利範圍 系統單晶片階層的關鍵路徑,其藉由提供依系統單晶片層 測試平台資料產生的激勵給代表各別核心的矽積體電路。 2 5 ·如申請專利範圍第1 5項所述之設計驗證設備 ’其中’主電腦和各驗證單元檢查整體的設計驗證時’是 以模擬測試平台及執行應用程式的方式進行。 2 6 ·如申請專利範圍第1 5項所述之設計驗證設備 ,其中,主電腦和各驗證單元檢查整體的設計驗證時,是 以模擬測試平台及執行應用程式的方式進行,其中,主系 統電腦將應用程式分割成多個小的工作並分配到驗證單元 ,其將運算分割成多個次任務並將其以分配的方式指派到 多數驗證單元。 2 7 ·如申請專利範圍第1 5項所述之設計驗證設備 ,其中,主電腦和各驗證單元檢查整體的設計驗證時,是 以模擬測試平台及執行應用程式的方式進行,其中,主系 統電腦將應用程式分割成多個小的工作並分配到驗證單元 ,其將運算分割成多個次任務並將其以分配的方式指派到 多數驗證單元,其中,主電腦把驗證單元來的矽積體電路 的反應組合成單晶片系統的反應,藉以決定任何錯誤/失 敗。 2 8 .如申請專利範、圍第1 5項所述之設計驗證設備 ,還包括一片電路板在每個驗證單元中,電路板搭載對應 的矽積體電路。 2 9 .如申請專利範圍第1 5項所述之設計驗證設備 ,還包括一片電路板,搭載所有的待測的矽積體電路和接 本纸張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) (請先閱讀背而之注意事項再填寫本頁) 言 經濟部智慧財4局員工消費合作社印製 -35- 494325 A8 B8 C8 D8 六、申請專利範圍 合邏輯。 3 0 ·如申請專利範圍第1 5項所述之設計驗證設備 (請先閱讀背面之注意事項再填寫本頁) ,其中,每一個驗證單元是配置成一個事件爲主測試器, 其產生的測試樣板是描述資料値變化和變化時序。 3 1 ·如申請專利範圍第3 0項所述之設計驗證設備 ,其中,各核心的測試平台以事件爲主格式存在,藉此., 有助於用驗證單元(是事件爲主測試器)對系統單晶片除 錯。 3 2 ·如申請專利範圍第1 5項所述之設計驗證設備 ,其中,每個測試單元被配置成事件爲主測試器,包括: 一個貯存時序資料的事件記憶體,記錄參考時間週期 整數倍,和參考時間週期的分數,此時間資料是目前事件 和預定參考點間的時間差距; 一個位址循序器,產生用以存取事件記憶體之位址資 料; 一個事件計數邏輯,用來產生事件起始訊號’其爲乘 以資料的整數部分之參考時間週期所延遲; 經濟部智慧財4局員工消費合作社印製 一個事件產生單元,以產生每個事件,其根據自事件 計數邏輯之事件起始訊號和資料的分數部分,來規劃測試 樣板;及 , 一個驗證單元寫入解碼器,用以偵測驗證單元的位址 ,以指定驗證單元到矽積體電路的接腳。 3 3 · —種內嵌核心的系統單晶片之設計驗證設備’ 該系統晶片予以整合以多數功能核心,該設計驗證設備包 -36 - 本紙張尺度適用中國國家標準(CNS > A4規格(210X29?公釐) 494325 A8 B8 C8 D8 六、申請專利範圍 括: 一台主電腦,做爲至使用者之介面並控制所有的驗證 設備和操作; 多數驗證單元,用以接收從主電腦傳送來的測試平台 資料並使用該資料以產生測試樣板,用以測試予以整合入 系統單晶片中的多數功能核心; 一系統匯流排,用以連接主電腦和多數驗證單元; 其中,多數矽積體電路連到驗證單元,從驗證單元接 收測試樣板,並產生反應輸出,給驗證單元及主電腦評估 其結果,其中,矽積體電路搭載的內部架構和系統單晶片 欲整合的功能核心相同;及 其中,主系統電腦執行產生供應矽積體電路的測試樣 板的所有的任務,評估矽積體電路反應輸出,進行系統單 晶片的時序和界面的評估,及完整的系統單晶片設計驗證 (請先閱讀背而之注意事項再填寫本頁) 、1Τ 線 經濟部智慧財是局員工消費合作社印製 •37- 本紙張尺度適用中國國家標準(CNS ) Α4規格(210Χ297公釐)
TW089122468A 1999-10-28 2000-10-25 Method and apparatus for SoC design validation TW494325B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/428,746 US6678645B1 (en) 1999-10-28 1999-10-28 Method and apparatus for SoC design validation

Publications (1)

Publication Number Publication Date
TW494325B true TW494325B (en) 2002-07-11

Family

ID=23700234

Family Applications (1)

Application Number Title Priority Date Filing Date
TW089122468A TW494325B (en) 1999-10-28 2000-10-25 Method and apparatus for SoC design validation

Country Status (5)

Country Link
US (1) US6678645B1 (zh)
JP (1) JP4804620B2 (zh)
KR (1) KR100491461B1 (zh)
DE (1) DE10053207A1 (zh)
TW (1) TW494325B (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7509605B2 (en) 2005-12-12 2009-03-24 International Business Machines Corporation Extending incremental verification of circuit design to encompass verification restraints
TWI381180B (zh) * 2008-10-03 2013-01-01 Holtek Semiconductor Inc Circuit Simulation System
TWI461945B (zh) * 2009-01-29 2014-11-21 Synopsys Inc 實施使用下限距離之抽象化-精緻化技術的方法及裝置
TWI486804B (zh) * 2009-03-13 2015-06-01 Synopsys Inc 電子設計自動化之執行監視
CN106599343B (zh) * 2016-11-01 2020-10-20 深圳国微技术有限公司 一种提高仿真效率的soc系统验证方法和装置

Families Citing this family (178)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7076415B1 (en) * 1998-12-17 2006-07-11 Cadence Design Systems, Inc. System for mixed signal synthesis
US6904397B1 (en) * 2000-02-22 2005-06-07 Xilinx, Inc. System and method for assisting in the development and integration of reusable circuit designs
US6970814B1 (en) * 2000-03-30 2005-11-29 International Business Machines Corporation Remote IP simulation modeling
US7191112B2 (en) * 2000-04-28 2007-03-13 Cadence Design Systems, Inc. Multiple test bench optimizer
US7124376B2 (en) * 2000-05-02 2006-10-17 Palmchip Corporation Design tool for systems-on-a-chip
US6675310B1 (en) * 2000-05-04 2004-01-06 Xilinx, Inc. Combined waveform and data entry apparatus and method for facilitating fast behavorial verification of digital hardware designs
KR100374328B1 (ko) * 2000-06-03 2003-03-03 박현숙 칩 설계 검증 및 테스트 장치 및 방법
US20030154465A1 (en) * 2000-07-21 2003-08-14 Gianmario Bollano Method and system for verifying modules destined for generating circuits
US8176296B2 (en) 2000-10-26 2012-05-08 Cypress Semiconductor Corporation Programmable microcontroller architecture
US7765095B1 (en) 2000-10-26 2010-07-27 Cypress Semiconductor Corporation Conditional branching in an in-circuit emulation system
US8160864B1 (en) 2000-10-26 2012-04-17 Cypress Semiconductor Corporation In-circuit emulator and pod synchronized boot
US6724220B1 (en) 2000-10-26 2004-04-20 Cyress Semiconductor Corporation Programmable microcontroller architecture (mixed analog/digital)
US8103496B1 (en) 2000-10-26 2012-01-24 Cypress Semicondutor Corporation Breakpoint control in an in-circuit emulation system
US8149048B1 (en) 2000-10-26 2012-04-03 Cypress Semiconductor Corporation Apparatus and method for programmable power management in a programmable analog circuit block
US7016826B2 (en) * 2000-12-21 2006-03-21 Intel Corporation Apparatus and method of developing software for a multi-processor chip
US6922665B1 (en) * 2001-01-08 2005-07-26 Xilinx, Inc. Method and system for device-level simulation of a circuit design for a programmable logic device
US20020107678A1 (en) * 2001-02-07 2002-08-08 Chuan-Lin Wu Virtual computer verification platform
US6880112B2 (en) * 2001-04-04 2005-04-12 Nec Corporation Method and apparatus for online detection and correction of faults affecting system-on-chip buses
US6876941B2 (en) * 2001-04-12 2005-04-05 Arm Limited Testing compliance of a device with a bus protocol
JP4078435B2 (ja) * 2001-06-06 2008-04-23 株式会社ルネサステクノロジ 論理集積回路及び論理集積回路設計方法及び論理集積回路のハードウェア動作記述を生成するハードウェア記述生成方法
US20030005396A1 (en) * 2001-06-16 2003-01-02 Chen Michael Y. Phase and generator based SOC design and/or verification
US7260517B2 (en) * 2001-06-17 2007-08-21 Brian Bailey Synchronization of multiple simulation domains in an EDA simulation environment
US7401015B1 (en) 2001-06-17 2008-07-15 Brian Bailey Coherent state among multiple simulation models in an EDA simulation environment
KR100725135B1 (ko) * 2001-06-30 2007-06-25 어보브반도체 주식회사 시스템 온 칩
JP4102752B2 (ja) * 2001-08-14 2008-06-18 ベリシティー デザイン, インコーポレイテッド Vcd−オン−デマンドのシステムおよび方法
US7406674B1 (en) 2001-10-24 2008-07-29 Cypress Semiconductor Corporation Method and apparatus for generating microcontroller configuration information
US8078970B1 (en) 2001-11-09 2011-12-13 Cypress Semiconductor Corporation Graphical user interface with user-selectable list-box
US8042093B1 (en) 2001-11-15 2011-10-18 Cypress Semiconductor Corporation System providing automatic source code generation for personalization and parameterization of user modules
US6971004B1 (en) 2001-11-19 2005-11-29 Cypress Semiconductor Corp. System and method of dynamically reconfiguring a programmable integrated circuit
US7774190B1 (en) 2001-11-19 2010-08-10 Cypress Semiconductor Corporation Sleep and stall in an in-circuit emulation system
US8069405B1 (en) 2001-11-19 2011-11-29 Cypress Semiconductor Corporation User interface for efficiently browsing an electronic document using data-driven tabs
US7770113B1 (en) 2001-11-19 2010-08-03 Cypress Semiconductor Corporation System and method for dynamically generating a configuration datasheet
US7844437B1 (en) 2001-11-19 2010-11-30 Cypress Semiconductor Corporation System and method for performing next placements and pruning of disallowed placements for programming an integrated circuit
US7627462B2 (en) * 2001-11-27 2009-12-01 Arm Limited Hardware simulation using a test scenario manager
US20030105617A1 (en) * 2001-12-05 2003-06-05 Nec Usa, Inc. Hardware acceleration system for logic simulation
US7171602B2 (en) * 2001-12-31 2007-01-30 Advantest Corp. Event processing apparatus and method for high speed event based test system
US7353156B2 (en) * 2002-02-01 2008-04-01 International Business Machines Corporation Method of switching external models in an automated system-on-chip integrated circuit design verification system
US6941538B2 (en) * 2002-02-22 2005-09-06 Xilinx, Inc. Method and system for integrating cores in FPGA-based system-on-chip (SoC)
US7577540B2 (en) * 2002-03-01 2009-08-18 Nec Corporation Re-configurable embedded core test protocol for system-on-chips (SOC) and circuit boards
JP2003271694A (ja) * 2002-03-18 2003-09-26 Fujitsu Ltd プロセッサを含む論理回路の検証用シミュレーション方法及び装置並びに論理回路検証用エラー検出プログラム
US8103497B1 (en) 2002-03-28 2012-01-24 Cypress Semiconductor Corporation External interface for event architecture
US7099813B2 (en) * 2002-04-09 2006-08-29 Arm Limited Simulating program instruction execution and hardware device operation
US7308608B1 (en) 2002-05-01 2007-12-11 Cypress Semiconductor Corporation Reconfigurable testing system and method
US6880133B2 (en) * 2002-05-15 2005-04-12 Sonics, Inc. Method and apparatus for optimizing distributed multiplexed bus interconnects
FR2841668B1 (fr) * 2002-06-26 2006-08-11 Emulation And Verification Eng Procede et systeme d'emulation d'un circuit sous test associe a un environnement de test
KR100487535B1 (ko) * 2002-08-14 2005-05-03 삼성전자주식회사 다른 종류의 반도체 장치들을 동시에 테스트하는 시스템
US7340585B1 (en) * 2002-08-27 2008-03-04 Xilinx, Inc. Method and system for fast linked processor in a system on a chip (SoC)
US7761845B1 (en) * 2002-09-09 2010-07-20 Cypress Semiconductor Corporation Method for parameterizing a user module
US7092865B1 (en) * 2002-09-10 2006-08-15 Xilinx, Inc. Method and apparatus for timing modeling
US20040078179A1 (en) * 2002-10-17 2004-04-22 Renesas Technology Corp. Logic verification system
US8332197B2 (en) * 2002-12-12 2012-12-11 Pmc-Sierra Us, Inc. Simulation of complex system architecture
JP3951925B2 (ja) * 2003-01-31 2007-08-01 セイコーエプソン株式会社 ハードウェア/ソフトウェア協調検証方法
US7587690B1 (en) * 2003-04-29 2009-09-08 Cadence Design Systems, Inc. Method and system for global coverage analysis
US7216318B1 (en) * 2003-04-29 2007-05-08 Cadence Design Systems, Inc. Method and system for false path analysis
US7007264B1 (en) * 2003-05-02 2006-02-28 Xilinx, Inc. System and method for dynamic reconfigurable computing using automated translation
US20040236562A1 (en) * 2003-05-23 2004-11-25 Beckmann Carl J. Using multiple simulation environments
US7194658B2 (en) * 2003-07-24 2007-03-20 Sonics, Inc. Various methods and apparatuses for interfacing of a protocol monitor to protocol checkers and functional checkers
US6920621B1 (en) * 2003-08-20 2005-07-19 Xilinx, Inc. Methods of testing for shorts in programmable logic devices using relative quiescent current measurements
US7376917B1 (en) * 2003-08-25 2008-05-20 Xilinx, Inc. Client-server semiconductor verification system
US7606695B1 (en) * 2003-09-30 2009-10-20 Sun Microsystems, Inc. Self-checking simulations using dynamic data loading
US8065128B1 (en) * 2003-10-23 2011-11-22 Altera Corporation Methods and apparatus for automated testbench generation
US8504992B2 (en) * 2003-10-31 2013-08-06 Sonics, Inc. Method and apparatus for establishing a quality of service model
WO2005043617A1 (en) * 2003-10-31 2005-05-12 Semiconductor Energy Laboratory Co., Ltd. Semiconductor integrated circuit and design method thereof
US9087036B1 (en) * 2004-08-12 2015-07-21 Sonics, Inc. Methods and apparatuses for time annotated transaction level modeling
US7409602B2 (en) * 2003-11-12 2008-08-05 Lsi Corporation Methodology for debugging RTL simulations of processor based system on chip
DE10353580A1 (de) * 2003-11-14 2005-06-30 Infineon Technologies Ag Verfahren zur Simulation der Systemleistung eines On-Chip-Systems
US20050120278A1 (en) * 2003-11-19 2005-06-02 Smith Zachary S. Systems and methods for verifying lockstep operation
US20050114735A1 (en) * 2003-11-20 2005-05-26 Smith Zachary S. Systems and methods for verifying core determinacy
US8856401B2 (en) * 2003-11-25 2014-10-07 Lsi Corporation Universal controller for peripheral devices in a computing system
US7475303B1 (en) 2003-12-29 2009-01-06 Mips Technologies, Inc. HyperJTAG system including debug probe, on-chip instrumentation, and protocol
US8180620B2 (en) * 2004-01-27 2012-05-15 Arm Limited Apparatus and method for performing hardware and software co-verification testing
JP2007528553A (ja) * 2004-03-09 2007-10-11 セヤン ヤン 検証性能と検証效率性を高める動的検証−基盤方式の検証装置及びこれを用いた検証方法論
US6972571B2 (en) * 2004-03-22 2005-12-06 Freescale Semiconductor, Inc. Load board with embedded relay tracker
US7295049B1 (en) 2004-03-25 2007-11-13 Cypress Semiconductor Corporation Method and circuit for rapid alignment of signals
US8818784B1 (en) 2004-06-23 2014-08-26 Cypress Semiconductor Corporation Hardware description language (HDL) incorporating statistically derived data and related methods
KR100921314B1 (ko) * 2004-07-12 2009-10-13 양세양 검증결과 재활용 기법을 채용한 고성능 설계검증 장치 및이를 활용한 신속한 설계검증 방법
US8069436B2 (en) 2004-08-13 2011-11-29 Cypress Semiconductor Corporation Providing hardware independence to automate code generation of processing device firmware
US8286125B2 (en) 2004-08-13 2012-10-09 Cypress Semiconductor Corporation Model for a hardware device-independent method of defining embedded firmware for programmable systems
TWI299468B (en) * 2004-09-06 2008-08-01 Matsushita Electric Ind Co Ltd Debug system for debugging multi-task system and a circuit device connected with a host computer
US7516058B2 (en) * 2004-09-21 2009-04-07 Faraday Technology Corp. Method for IP characterization and path finding, and computer readable recording medium for storing program thereof
US7676661B1 (en) 2004-10-05 2010-03-09 Xilinx, Inc. Method and system for function acceleration using custom instructions
US7526745B2 (en) * 2004-12-08 2009-04-28 Telefonaktiebolaget L M Ericsson (Publ) Method for specification and integration of reusable IP constraints
KR100626663B1 (ko) 2004-12-14 2006-09-25 한국전자통신연구원 인터넷 전화용 시스템온칩 개발 및 검증 장치
US7290194B2 (en) * 2004-12-17 2007-10-30 Lsi Corporation System for performing automatic test pin assignment for a programmable device
KR100638476B1 (ko) * 2004-12-22 2006-10-26 삼성전자주식회사 버추얼 플랫폼 기반의 시스템 온 칩 개발 환경 및 개발 방법
US7332976B1 (en) 2005-02-04 2008-02-19 Cypress Semiconductor Corporation Poly-phase frequency synthesis oscillator
US7353162B2 (en) * 2005-02-11 2008-04-01 S2C, Inc. Scalable reconfigurable prototyping system and method
US7313738B2 (en) * 2005-02-17 2007-12-25 International Business Machines Corporation System and method for system-on-chip interconnect verification
EP1859289A4 (en) * 2005-03-16 2011-03-30 Gaterocket Inc FPGA MATRIX EMULATION SYSTEM
US7400183B1 (en) 2005-05-05 2008-07-15 Cypress Semiconductor Corporation Voltage controlled oscillator delay cell and method
US8089461B2 (en) * 2005-06-23 2012-01-03 Cypress Semiconductor Corporation Touch wake for electronic devices
US7562001B2 (en) * 2005-07-29 2009-07-14 International Business Machines Corporation Creating a behavioral model of a hardware device for use in a simulation environment
US20070073999A1 (en) * 2005-09-28 2007-03-29 Verheyen Henry T Hardware acceleration system for logic simulation using shift register as local cache with path for bypassing shift register
US7444276B2 (en) 2005-09-28 2008-10-28 Liga Systems, Inc. Hardware acceleration system for logic simulation using shift register as local cache
US20070074000A1 (en) * 2005-09-28 2007-03-29 Liga Systems, Inc. VLIW Acceleration System Using Multi-state Logic
JP4667206B2 (ja) * 2005-10-31 2011-04-06 富士通セミコンダクター株式会社 マルチコアモデルシミュレーションプログラム、該プログラムを記録した記録媒体、マルチコアモデルシミュレータ、およびマルチコアモデルシミュレーション方法
US20070129926A1 (en) * 2005-12-01 2007-06-07 Verheyen Henry T Hardware acceleration system for simulation of logic and memory
US20070129924A1 (en) * 2005-12-06 2007-06-07 Verheyen Henry T Partitioning of tasks for execution by a VLIW hardware acceleration system
US7444258B2 (en) * 2005-12-05 2008-10-28 International Business Machines Corporation Automated simulation testbench generation for serializer/deserializer datapath systems
US7711534B2 (en) * 2005-12-09 2010-05-04 International Business Machines Corporation Method and system of design verification
US8085067B1 (en) 2005-12-21 2011-12-27 Cypress Semiconductor Corporation Differential-to-single ended signal converter circuit and method
US20070150702A1 (en) * 2005-12-23 2007-06-28 Verheyen Henry T Processor
US7469401B2 (en) * 2006-02-22 2008-12-23 International Business Machines Corporation Method for using partitioned masks to build a chip
US7496464B2 (en) * 2006-03-21 2009-02-24 Mediatek Usa Inc. Validation system with flow control capability
US8067948B2 (en) 2006-03-27 2011-11-29 Cypress Semiconductor Corporation Input/output multiplexer bus
US7571414B2 (en) * 2006-06-15 2009-08-04 National Chip Implementation Center, National Applied Research Laboratories Multi-project system-on-chip and its method
WO2008013968A2 (en) 2006-07-28 2008-01-31 Vast Systems Technology Corporation Virtual processor generation model for co-simulation
US7823017B2 (en) * 2006-08-02 2010-10-26 International Business Machines Corporation Structure for task based debugger (transaction-event-job-trigger)
KR100750184B1 (ko) * 2006-08-11 2007-08-17 삼성전자주식회사 반도체 집적 회로의 간접 시뮬레이션 방법 및 장치
KR100873956B1 (ko) 2006-08-17 2008-12-15 삼성전자주식회사 에뮬레이션 시스템
US8868397B2 (en) 2006-11-20 2014-10-21 Sonics, Inc. Transaction co-validation across abstraction layers
KR100851524B1 (ko) 2006-12-29 2008-08-11 전자부품연구원 SoC 코아로직의 천이 지연 고장 테스트를 지원하는IEEE 1500 래퍼 셀 및 이를 이용한 테스트 방법
KR101375171B1 (ko) 2006-12-30 2014-03-18 삼성전자주식회사 시스템 온 칩 모델 검증 방법 및 장치
WO2008091575A2 (en) 2007-01-22 2008-07-31 Vast Systems Technology Corporation Method and system for modeling a bus for a system design incorporating one or more programmable processors
JP5099119B2 (ja) * 2007-03-15 2012-12-12 富士通セミコンダクター株式会社 デバイス間接続試験回路生成方法、生成装置、および生成プログラム
US8516025B2 (en) 2007-04-17 2013-08-20 Cypress Semiconductor Corporation Clock driven dynamic datapath chaining
US8040266B2 (en) 2007-04-17 2011-10-18 Cypress Semiconductor Corporation Programmable sigma-delta analog-to-digital converter
US9564902B2 (en) 2007-04-17 2017-02-07 Cypress Semiconductor Corporation Dynamically configurable and re-configurable data path
US8130025B2 (en) * 2007-04-17 2012-03-06 Cypress Semiconductor Corporation Numerical band gap
US7737724B2 (en) 2007-04-17 2010-06-15 Cypress Semiconductor Corporation Universal digital block interconnection and channel routing
US8092083B2 (en) 2007-04-17 2012-01-10 Cypress Semiconductor Corporation Temperature sensor with digital bandgap
US8026739B2 (en) 2007-04-17 2011-09-27 Cypress Semiconductor Corporation System level interconnect with programmable switching
US20080263489A1 (en) * 2007-04-23 2008-10-23 Canada Miles G Method to identify and generate critical timing path test vectors
US8065653B1 (en) 2007-04-25 2011-11-22 Cypress Semiconductor Corporation Configuration of programmable IC design elements
US9720805B1 (en) 2007-04-25 2017-08-01 Cypress Semiconductor Corporation System and method for controlling a target device
US8266575B1 (en) 2007-04-25 2012-09-11 Cypress Semiconductor Corporation Systems and methods for dynamically reconfiguring a programmable system on a chip
US8049569B1 (en) 2007-09-05 2011-11-01 Cypress Semiconductor Corporation Circuit and method for improving the accuracy of a crystal-less oscillator having dual-frequency modes
US8145967B2 (en) * 2007-10-12 2012-03-27 Oracle America, Inc. System and method for verifying the receive path of an input/output component
US8136065B2 (en) * 2007-12-10 2012-03-13 Inpa Systems, Inc. Integrated prototyping system for validating an electronic system design
US8275975B2 (en) * 2008-01-25 2012-09-25 Mtekvision Co., Ltd. Sequencer controlled system and method for controlling timing of operations of functional units
US8046726B2 (en) * 2008-09-16 2011-10-25 Lsi Corporation Waiver mechanism for physical verification of system designs
WO2010054669A1 (en) * 2008-11-11 2010-05-20 Verigy (Singapore) Pte.Ltd. Re-configurable test circuit, method for operating an automated test equipment, apparatus, method and computer program for setting up an automated test equipment
US8020126B2 (en) * 2009-02-05 2011-09-13 Texas Instruments Incorporated Links and chains verification and validation methodology for digital devices
US9448964B2 (en) 2009-05-04 2016-09-20 Cypress Semiconductor Corporation Autonomous control in a programmable system
US8015537B1 (en) 2009-05-19 2011-09-06 Xilinx, Inc. Automated rate realization for circuit designs within high level circuit implementation tools
US8042079B1 (en) * 2009-05-19 2011-10-18 Xilinx, Inc. Synchronization for a modeling system
US9081924B2 (en) * 2010-03-29 2015-07-14 Synopsys, Inc. Method and apparatus for transaction recording and visualization
KR20110124617A (ko) 2010-05-11 2011-11-17 삼성전자주식회사 시스템-온-칩 및 그것의 디버깅 방법
TWI450118B (zh) * 2010-11-02 2014-08-21 Global Unichip Corp 混合的電子設計系統及其可重組連接矩陣
US20120330637A1 (en) * 2011-06-21 2012-12-27 International Business Machines Corporation Method for providing debugging tool for a hardware design and debugging tool for a hardware design
US8429581B2 (en) * 2011-08-23 2013-04-23 Apple Inc. Method for verifying functional equivalence between a reference IC design and a modified version of the reference IC design
US9734263B2 (en) * 2012-12-20 2017-08-15 Intel Corporation Method and apparatus for efficient pre-silicon debug
US8645897B1 (en) * 2013-01-07 2014-02-04 Freescale Semiconductor, Inc. Integrated circuit design verification system
KR20140113175A (ko) 2013-03-15 2014-09-24 삼성전자주식회사 버스 프로토콜 검사기, 이를 포함하는 시스템 온 칩 및 버스 프로토콜 검사 방법
US9038006B2 (en) * 2013-04-30 2015-05-19 Freescale Semiconductor, Inc. Method and apparatus for generating gate-level activity data for use in clock gating efficiency analysis
US9195575B2 (en) 2013-05-17 2015-11-24 Coherent Logix, Incorporated Dynamic reconfiguration of applications on a multi-processor embedded system
US8856708B1 (en) * 2013-07-12 2014-10-07 Hamilton Sundstrand Corporation Multi-tier field-programmable gate array hardware requirements assessment and verification for airborne electronic systems
US9298250B2 (en) 2013-08-06 2016-03-29 Globalfoundries Inc. Reconfigurable circuit to emulate system critical paths
KR102122455B1 (ko) 2013-10-08 2020-06-12 삼성전자주식회사 프로세서의 디코더 검증을 위한 테스트 벤치 생성 방법 및 이를 위한 장치
JP6478562B2 (ja) 2013-11-07 2019-03-06 株式会社半導体エネルギー研究所 半導体装置
JP6393590B2 (ja) 2013-11-22 2018-09-19 株式会社半導体エネルギー研究所 半導体装置
US9317385B2 (en) 2013-12-30 2016-04-19 Samsung Electronics Co., Ltd. Hardware profiling
JP6444723B2 (ja) 2014-01-09 2018-12-26 株式会社半導体エネルギー研究所 装置
US9379713B2 (en) 2014-01-17 2016-06-28 Semiconductor Energy Laboratory Co., Ltd. Data processing device and driving method thereof
JP6545970B2 (ja) 2014-02-07 2019-07-17 株式会社半導体エネルギー研究所 装置
JP2015165226A (ja) 2014-02-07 2015-09-17 株式会社半導体エネルギー研究所 装置
US9760663B2 (en) * 2014-10-30 2017-09-12 Synopsys, Inc. Automatic generation of properties to assist hardware emulation
US9804911B2 (en) 2015-05-27 2017-10-31 Apple Inc. Concurrent validation of hardware units
US10176078B1 (en) * 2015-08-28 2019-01-08 Cadence Design Systems, Inc. Debugging process
CN105302950B (zh) * 2015-10-19 2018-07-24 北京精密机电控制设备研究所 一种软、硬件协同的可编程逻辑器件交联仿真测试方法
US10338138B2 (en) * 2015-12-31 2019-07-02 Chinsong Sul Low cost design for test architecture
US10534882B2 (en) * 2016-03-29 2020-01-14 Qualcomm Incorporated Method and apparatus for configuring an integrated circuit with a requested feature set
US10437946B1 (en) * 2016-09-01 2019-10-08 Xilinx, Inc. Using implemented core sources for simulation
KR20180043626A (ko) 2016-10-20 2018-04-30 삼성전자주식회사 버퍼를 포함하는 버스를 라우팅하기 위한 시스템 및 방법
CN109933880B (zh) * 2019-03-06 2022-10-11 西安微电子技术研究所 基于配置驱动的SoC原型验证用例提取模型建模方法
KR20210047127A (ko) 2019-10-21 2021-04-29 삼성전자주식회사 반도체 회로를 검증하기 위한 최적화된 검증 벡터를 생성하는 전자 장치 및 그 동작 방법
CN110907798A (zh) * 2019-10-23 2020-03-24 盛科网络(苏州)有限公司 集成SoC的交换芯片的测试验证板、测试装置及方法
US11144696B1 (en) * 2020-05-27 2021-10-12 Chinsong Sul Low cost design for test architecture
KR102183267B1 (ko) * 2020-05-28 2020-11-26 최훈기 Ic 간의 설계 적합성 체크 시스템
CN111859832B (zh) * 2020-07-16 2022-07-08 山东云海国创云计算装备产业创新中心有限公司 一种芯片仿真验证方法、装置及相关设备
US20220019720A1 (en) * 2020-07-17 2022-01-20 University Of Florida Research Foundation, Incorporated Framework for automated synthesis of secure, optimized system-on-chip architectures
CN111949470A (zh) * 2020-08-24 2020-11-17 海光信息技术有限公司 一种芯片验证方法、装置、电子设备及存储介质
CN114722750A (zh) * 2022-03-18 2022-07-08 北京遥感设备研究所 Soc芯片仿真验证方法、装置、设备和存储介质
CN114860519B (zh) * 2022-04-08 2022-12-23 中国人民解放军国防科技大学 一种面向大规模asic芯片的多芯片联合验证方法及装置
CN115248998B (zh) * 2022-09-22 2023-01-03 济南新语软件科技有限公司 一种SoC芯片分布式仿真验证平台和方法
CN115639461A (zh) * 2022-12-23 2023-01-24 长沙驰芯半导体科技有限公司 一种基于双处理器的超宽带芯片原型验证平台
CN116011392A (zh) * 2022-12-23 2023-04-25 摩尔线程智能科技(北京)有限责任公司 用于验证环境级联的装置、方法、设备、介质和程序产品
CN115993952B (zh) * 2023-03-23 2023-05-30 中大智能科技股份有限公司 基于risc-v的桥梁支座监测芯片及设计系统、方法

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0673363B2 (ja) * 1984-07-02 1994-09-14 株式会社東芝 システムlsiの設計方法
US5109353A (en) * 1988-12-02 1992-04-28 Quickturn Systems, Incorporated Apparatus for emulation of electronic hardware system
US5555201A (en) * 1990-04-06 1996-09-10 Lsi Logic Corporation Method and system for creating and validating low level description of electronic design from higher level, behavior-oriented description, including interactive system for hierarchical display of control and dataflow information
JP2752262B2 (ja) * 1991-04-19 1998-05-18 株式会社東芝 1チップlsiの製造方法
JP3168839B2 (ja) * 1994-09-09 2001-05-21 株式会社日立製作所 論理エミュレーションシステム及び等価回路生成方法
JP2888512B2 (ja) * 1995-09-22 1999-05-10 三菱電機マイコン機器ソフトウエア株式会社 エミュレーション装置
US5903475A (en) * 1996-07-18 1999-05-11 Lsi Logic Corporation System simulation for testing integrated circuit models
JP4020462B2 (ja) * 1996-08-30 2007-12-12 テキサス インスツルメンツ インコーポレイテツド テストインタフェースを含む集積回路及びテストインタフェースを使用する方法
US5828824A (en) * 1996-12-16 1998-10-27 Texas Instruments Incorporated Method for debugging an integrated circuit using extended operating modes
US6009256A (en) * 1997-05-02 1999-12-28 Axis Systems, Inc. Simulation/emulation system and method
US6094726A (en) * 1998-02-05 2000-07-25 George S. Sheng Digital signal processor using a reconfigurable array of macrocells
JP3004641B2 (ja) * 1998-02-05 2000-01-31 松下電器産業株式会社 シミュレ―ション装置及び当該シミュレ―ション装置を実現するコンピュ―タに実行させるプログラムを記録したコンピュ―タ読み取り可能な記録媒体
US6360353B1 (en) * 1998-02-21 2002-03-19 Adaptec, Inc. Automated alternating current characterization testing
US6304837B1 (en) * 1998-02-21 2001-10-16 Adaptec, Inc. Automated test vector generation and verification
KR19990079355A (ko) * 1998-04-03 1999-11-05 윤종용 테스트벤치 발생기 및 이를 이용한 시뮬레이션방법
JP2002526908A (ja) * 1998-09-30 2002-08-20 ケイデンス デザイン システムズ インコーポレイテッド ブロックをベースとする設計方法
US6249893B1 (en) * 1998-10-30 2001-06-19 Advantest Corp. Method and structure for testing embedded cores based system-on-a-chip
US6532561B1 (en) * 1999-09-25 2003-03-11 Advantest Corp. Event based semiconductor test system

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7509605B2 (en) 2005-12-12 2009-03-24 International Business Machines Corporation Extending incremental verification of circuit design to encompass verification restraints
US7779378B2 (en) 2005-12-12 2010-08-17 International Business Machines Corporation Computer program product for extending incremental verification of circuit design to encompass verification restraints
TWI381180B (zh) * 2008-10-03 2013-01-01 Holtek Semiconductor Inc Circuit Simulation System
TWI461945B (zh) * 2009-01-29 2014-11-21 Synopsys Inc 實施使用下限距離之抽象化-精緻化技術的方法及裝置
TWI486804B (zh) * 2009-03-13 2015-06-01 Synopsys Inc 電子設計自動化之執行監視
CN106599343B (zh) * 2016-11-01 2020-10-20 深圳国微技术有限公司 一种提高仿真效率的soc系统验证方法和装置

Also Published As

Publication number Publication date
DE10053207A1 (de) 2001-05-03
US6678645B1 (en) 2004-01-13
JP4804620B2 (ja) 2011-11-02
KR20010067370A (ko) 2001-07-12
KR100491461B1 (ko) 2005-05-25
JP2001189387A (ja) 2001-07-10

Similar Documents

Publication Publication Date Title
TW494325B (en) Method and apparatus for SoC design validation
Rashinkar et al. System-on-a-chip Verification: Methodology and Techniques
US8020124B2 (en) Various methods and apparatuses for cycle accurate C-models of components
TW544523B (en) Event based test system for testing memory devices
US6269467B1 (en) Block based design methodology
US8997034B2 (en) Emulation-based functional qualification
US7729877B2 (en) Method and system for logic verification using mirror interface
KR20130081354A (ko) 분산 병렬 시뮬레이션에서의 통신 방법
US6917998B1 (en) Reusable complex multi-bus system hardware prototype system
US10664563B2 (en) Concurrent testbench and software driven verification
CN110785761A (zh) 存在时钟动态重编程时仿真时间线的压缩方法
Mohanty et al. Test bench automation to overcome verification challenge of SOC Interconnect
Ke et al. Verification of AMBA bus model using SystemVerilog
Choi et al. Early HW/SW Co-Verification Using Virtual Platforms
Logaras et al. Using Python tools to assist mixed-signal ASIC design and verification methodologies
Siddiqui System-on-Chip (SoC) emulation
Avinun Concurrent hardware/software development platforms speed system integration and bring-up
Sharath UVM based Verification of Watchdog Timer with APB
Kuitunen SoC FPGA based integration testing platform
Schalick Addressing the verification challenge of SERDES-based FPGAs: The performance/accuracy/efficiency trade-off
Carmona A study on transactors in multi language, mixed-level simulation of digital electronic systems

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees