JP2001093902A - 半導体デバイスの銅の酸化および汚染を減少するための方法および装置 - Google Patents

半導体デバイスの銅の酸化および汚染を減少するための方法および装置

Info

Publication number
JP2001093902A
JP2001093902A JP2000231957A JP2000231957A JP2001093902A JP 2001093902 A JP2001093902 A JP 2001093902A JP 2000231957 A JP2000231957 A JP 2000231957A JP 2000231957 A JP2000231957 A JP 2000231957A JP 2001093902 A JP2001093902 A JP 2001093902A
Authority
JP
Japan
Prior art keywords
interface
layer
plasma
conductive material
insulating layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2000231957A
Other languages
English (en)
Inventor
Judy H Huang
エイチ. ハン ジュディ
Christopher Dennis Bencher
デニス ベンチャー クリストファー
Sudha Rathi
ラティ スドゥハ
Christopher S Ngai
エス. ガイ クリストファー
Bok Hoen Kim
ホーエン キム ボー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=23437585&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=JP2001093902(A) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2001093902A publication Critical patent/JP2001093902A/ja
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G5/00Cleaning or de-greasing metallic material by other methods; Apparatus for cleaning or de-greasing metallic material with organic solvents
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02074Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a planarization of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3148Silicon Carbide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/301Electrical effects
    • H01L2924/3011Impedance

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Optics & Photonics (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)
  • Drying Of Semiconductors (AREA)
  • Element Separation (AREA)

Abstract

(57)【要約】 (修正有) 【課題】 半導体デバイスのインタフェースの酸化を減
少するための方法および装置を開示する。 【解決手段】 半導体デバイスの第1の層104と第2
の層108との間の部分的に酸化されたインタフェース
110を持つ第1の層104に水素含有プラズマを作用
させて、酸化されたインタフェース110を減少させ、
第2の層108形成材料にも水素含有プラズマを作用さ
せて、酸化防止の環境下で第1の層104上に堆積させ
る。第1の層104を絶縁層として、その中に配置され
た単一または複数の導電性材料デバイス106を含む場
合も、両者の間のインタフェースに連続のプラズマ処理
を行って酸化を除去し、その上に第2の層108を堆積
する。

Description

【発明の詳細な説明】
【0001】関連出願の相互参照 本出願は、参考形式で本願に組み込まれる、一般に譲渡
され1998年11月17日に提出された同時出願の、
09/193920号「酸化銅減少のためのプラズマ処
理(PLASMA TREATMENT FOR COPPER OXIDE REDUCTIO
N)」の一部継続出願である。
【0002】開示の背景 1.発明の分野 本発明は、半導体デバイスの製造に関し、特に、半導体
デバイスの製造中に半導体デバイスにおける導電材料の
酸化の可能性を減少させるための方法と装置に関する。
【0003】2.背景技術の説明 超大規模集積化(ULSI)半導体基板上に製造された
集積回路は、回路を含む個別の半導体デバイスを電気的
に接続するために、複数の導電性相互接続のレベルを必
要とする。従来、相互接続の複数のレベルは絶縁材料の
層で分離される。挿入された絶縁材料の層は、あるレベ
ルの相互接続を他のレベルの相互接続に接続する為に用
いられる孔を介してエッチングされる。一般に、導電性
相互接続材料はアルミニウム、チタン、タングステン、
タンタルである。デバイスの大きさが減少しデバイスの
密度が増加するにつれ、銅などの低いレベルの抵抗率を
持つ導電性材料を利用する必要が出てくる。
【0004】上記のような集積回路を作るための周知方
法は化学気相成長法(CVD)である。一般に、先行ガ
スは搬送ガスと混合され、高温で堆積チャンバに導入さ
れる。チャンバ内の基板(すなわち、半導体ウエーハ)
と接触するや否や、先行ガスは様々な成分に分解され
て、表面と反応し所望の材料(絶縁層、一般に酸化物ま
たは銅などの導電性材料)が作られる。このような処理
も、チャンバ内のプラズマを使用することで強化されて
もよいが、チャンバはより均一な堆積処理に備える、す
なわち導電性材料で酸化層の開口を塞ぐときに備える。
しかし、CVD処理の欠陥が好ましくない結果をもたら
す。導電性材料が基板上に堆積され、絶縁層または障壁
層が導電性材料上に堆積され、導電性材料が酸化減少反
応をする時間のためであることが判明した。例えば、銅
の相互接続の一番上でさらされる表面は酸化銅と化す。
このような表面酸化物は、その上に堆積されるその後の
材料層の粘着を抑制する。
【0005】導電性相互接続から天然酸化物を除去する
ための、この分野で知られた1つの特有の方法はスパッ
タリングによるものである。すなわち、相互接続は不活
性ガス(すなわち、アルゴン、キセノンなど)の非常に
活発なプラズマ形態にさらされる。プラズマの非常に活
気に満ちた分子は酸化表面に当たり、その結果、酸化物
分子を剥がしたりスパッタリングする。しかし、スパッ
タリング作用の物理的な特質のために、堆積された銅も
少量がスパッタリングされることを防止するのは困難で
ある。銅がスパッタリングされることが好ましくないの
は、相互接続から要求された導電性材料が除去されるか
らであり、また処理チャンバでクロス汚染をもたらすか
らである。クロス汚染は、それによって特に堆積された
もの以外の材料も層に混合される状態である。例えば、
部分的に形成された半導体デバイスに、銅の相互接続か
ら酸化銅を除去するため、処理チャンバでスパッタリン
グステップが行われる。このステップ中、銅もまたスパ
ッタリングされ、意図せずチャンバに放出される。同一
のチャンバで行われる以下の絶縁層堆積ステップにおい
て、スパッタリングされた銅は絶縁層に堆積され、クロ
ス汚染をもたらす。クロス汚染はまた、それによってス
パッタリングされた銅が半導体ウエーハ処理システムの
処理チャンバに搬送される状態であってもよい。どちら
の状況でも、クロス汚染は半導体デバイス製造処理の好
ましくない側面である。
【0006】物理的なスパッタリングは最適の解決策で
はないので、天然酸化物の化学的除去が考慮される。当
業者に周知のある特有の化学的除去の方法は、水素ベー
スのプラズマの利用を含む。例えば、第1のプラズマ
は、アンモニア(NH3)または水素(H2)などの水素
ベースの化学的反応の種類から形成される。反応の種類
は、酸化物と化学的に反応し減少させ、銅(Cu)と副
生成物(すなわち、水(H2O)と水酸化物(OH))
を形成する。これらの副生成物は、処理チャンバから汲
み出され、プラズマは減少処理を終わらせるために消さ
れる。次に、窒化物形成ガス、すなわちシランSi
4、アンモニアNH3、窒素N2が、窒化物のCVDに
適する第2のプラズマを形成するために導入される。残
念ながら、この処理中に粘着も悪く影響するが、それは
シランがチャンバから排出されなかった残留水または残
留水酸化物と反応するためである。このような反応は、
導電性相互接続の上に形成される好ましくない霞んだ膜
をもたらす。加えて、次の処理ステップに備えてプラズ
マが消されるとき、銅とシランは熱反応しケイ化銅(C
uSiX)を形成する。これらの膜はどちらもその後の
堆積のためには好ましくない。
【0007】従って、当分野には、デバイスを形成する
ために用いられる導電性材料の天然酸化物形成の量を減
少させる、半導体デバイス構造の方法が必要とされてい
る。
【0008】発明の要約 先行技術に付随する短所は、本発明の、半導体デバイス
のインタフェースの酸化を減少させるための方法により
克服される。半導体デバイスは少なくとも第1の層と第
2の層を持ち、第1の層と第2の層との間にインタフェ
ースが配置される。この方法は、部分的に酸化されたイ
ンタフェースを持つ第1の層を供給するステップ、水素
含有プラズマをインタフェースに導入するステップ、第
2の層形成材料を水素含有プラズマに導入するステップ
を含み、その結果、インタフェース上に減少/堆積の連
続のプラズマ処理が形成される。第1の層はさらに、第
1の層の中に配置された単一または複数の導電性材料デ
バイスを持つ絶縁材料を含み、インタフェースは概して
均一な表面と定義され、絶縁材料と導電性材料は一致す
る。導電性材料はインタフェースの酸化を構成する。こ
の方法はさらに、水素含有プラズマを導入するステップ
の代わりに、インタフェースにアンモニアを導入するス
テップを含む。このようなアンモニアは約50〜300
sccmの流量で導入され、水素含有プラズマには約5
0〜500Wの電力が通電され、このステップは約2〜
200秒で行われる。本発明の好適な実施の形態では、
アンモニア流量は75sccm、プラズマ電力は150
Wで、このステップは10秒で行われる。
【0009】第2の層形成材料を水素含有プラズマに導
入するステップはさらに、インタフェースにシランを導
入するステップを含む。このようなシランは、約220
sccmの流量で導入され、プラズマが導入された水素
含有の第2の層形成材料には約460Wの電力が通電さ
れ、このステップは約9秒で行われる。加えて、この方
法の全てのステップを一つのチャンバで単独に行う代わ
りに、この方法の最初の2つのステップが第1の処理チ
ャンバで行われ、第3のステップが第2の処理チャンバ
で行われてもよい。
【0010】本発明に従った装置(すなわち、半導体デ
バイスインタフェース)は、第1の絶縁層、絶縁層内に
配置された単一または複数の導電性デバイス、インタフ
ェースを定義する絶縁層および導電性デバイスを含み、
インタフェースに連続のプラズマ処理を行い酸化を除去
しインタフェース上に第2の層を堆積する。インタフェ
ースの絶縁層は酸化物と窒化物から選択され、望ましく
は窒化物である。インタフェースの導電性材料は、チタ
ン、タンタル、タングステン、銅から選択され、望まし
くは銅である。インタフェースの第2の層は、絶縁層で
あり、望ましくはシランのプラズマ強化CVDから形成
される窒化物、アンモニア、窒素から構成される。
【0011】本発明に記載される方法と装置により、半
導体デバイスインタフェースの酸化された材料の量の減
少が認識される。それ自体の次に形成される層は、より
大きい粘着力を持ち、このような層から形成されるデバ
イスの完全性と品質は高められる。
【0012】詳細な説明 図1は、本発明に従って部分的に形成された集積回路装
置100を示す。装置100は、基板材料102(一般
にSiO2などの誘電材料)で構成され、様々な材料の
複数の層103が基板材料102の上に配置されてい
る。様々な層は、導電性の経路、回路デバイスなどを作
製するために異なる電気的な性質を持つ。これらの経路
やデバイスは、多様な他の線、相互接続、デバイス(図
示せず)を介して他のデバイスに基板上で連結される。
例えば、第1の層104は基板102の上部に配置され
た絶縁層で、一次絶縁体の役割を果たす。このような層
は、二酸化ケイ素、窒化ケイ素、炭化ケイ素、酸化物、
BLACKDIAMONDなどの2.4〜3.0の値を
持つkの小さい材料から成るグループから選択された誘
電材料で作られている。BLACKDIAMONDは、
カリフォルニア州のサンタクララのアプライドマテリア
ルズ社の商標である。当業者に既知の絶縁材料の他のタ
イプは、第1の絶縁層104を形成するために用いられ
てもよい。絶縁層104のうちで、様々な回路経路また
は回路デバイス106は、アルミニウム、チタン、タン
グステン、タンタル、好ましくは銅などの導電性材料で
構成される。絶縁層104と導電性の回路経路または回
路デバイス106の上に配置されるのは、第2の絶縁層
108である。一般に、第2の絶縁層108は前述の材
料などの導電性材料であるが、必ずしも第1の絶縁層1
04と同一の材料ではない。
【0013】インタフェース110は、導電性の経路
(デバイス)106と絶縁層104が接触する、概して
均一な表面と定義されている。このインタフェース11
0の部分112は、導電性の経路(デバイス)106に
よって構成され、それ自体は第2の絶縁層108の配置
に先立って酸化される。本発明に従い、特に以下に記述
される方法ステップに対し従い、インタフェース部分1
12は酸化の量が大幅に減少されるように処理される。
従って、第2の絶縁層108は、より大きい粘着力と全
体的な製品の完全性と信頼性をもってインタフェース1
10の上に配置される。
【0014】集積回路装置100は、化学気相成長法
(CVD)とプラズマ強化化学気相成長法(PECV
D)から成るグループから選択された処理により形成さ
れる。CVD/PECVDおよびこれを行うための装置
は、米国特許番号5,000,113に記載され、参考
形式で本願に組み込んである。図2は、前述のプラズマ
減少処理が行われるCVDプラズマリアクタ200の断
面図である。このようなリアクタは、カリフォルニア州
のサンタクララのアプライドマテリアルズ社が製造し販
売しているCentura DxZプラットフォームの
一部であってもよい。本発明は、ランプ加熱リアクタな
どの他のリアクタでも用いられる。リアクタ200は、
ガス分配マニホルド202を含み、ガス分配マニホルド
202は、マニホルド202の穿孔(図示せず)を通じ
処理ガスを基板またはウエーハ204へと分散させる為
のシャワーヘッドであってもよい。ウエーハ204は、
基板支持板またはサセプタ206の上に載っている。マ
ニホルド202は、ガスパネル224に接続されてい
る。ガスパネル224も同様に、複数の処理源および搬
送ガス源226に接続されている。各ガス源226は、
システムコントローラ218に接続されている。システ
ムコントローラ218および付随メモリ220は、リア
クタ200の機能を制御する。例えば、行われる特定の
処理によると、コントローラ218は、適切なガスを適
切な流量でガスパネル224へと放出するため信号を送
る。ガスパネルは、ガスを受け取り混合しマニホルド2
02へと配送する。
【0015】サセプタ206は、熱に対し抵抗力があ
り、支持ステム208の上に取り付けられているので、
サセプタ206および、サセプタ206の上面に支持さ
れているウエーハ204が、低充填/放出位置と高処理
位置との間をリフトモータ210によって制御可能に移
動できるが、高処理位置は、マニホルド202に接近し
隣接して間隔をあけて配置される。サセプタ206およ
びウエーハ204は処理位置にあるとき、絶縁リング2
12に囲まれている。処理中、マニホルド202へのガ
ス導入口は、基板表面全体に放射状に均一な分布をして
いる。ガスはポート214を通じ真空ポンプシステム2
16により排気される。
【0016】リアクタ200において行われる分散処理
は、熱的処理またはプラズマ強化処理のどちらかにする
ことができる。プラズマ処理では、制御されたプラズマ
は、RF電源222からのRFエネルギーによりウエー
ハ付近で形成される。RFエネルギーは、分散マニホル
ド202に用いられ、サセプタ206は接地されてい
る。サセプタ206が接地されている一方、ガス分散マ
ニホルド202もまたRF電極である。RF電源222
は、チャンバ200へ導入されるあらゆる反応の種類の
分解を強化するマニホルド202への単一または混合周
波数RF電力を供給できる。混合周波数RF電源は一般
に、13.56MHzの高いRF周波数(RF1)およ
び350kHzの低いRF周波数(RF2)で電力を供
給する。
【0017】本発明に一致して、前述のチャンバはイン
タフェースをプラズマ処理し(すなわち、酸化物を減少
剤で減少させる、特に酸化銅をアンモニアで減少させ
る)続いてインタフェース上に第2の層を堆積するため
に用いられる。減少剤は、マニホルド202を通じて導
入されウエーハ表面に放射状に均一な分布が可能であ
る。これは、上述の方法でのプラズマ減少処理のためで
あり、この処理に引き続いてポート214を通じてガス
が排気される。同様に、第2の層を堆積するために必要
なガスは、以前に形成されたプラズマを消すことなく、
マニホルド202を通じて導入し得る。すなわち、堆積
ガスはプラズマの特性を変え、その結果、減少直後のシ
ームレスな方法での堆積を考慮している。
【0018】本発明は酸化が減少されたインタフェース
を持つ半導体デバイスを形成する方法も含む。特に、図
3は本発明に従った一連の方法ステップ300を示す。
この方法はステップ302で開始し、単一または複数の
処理パラメータの安定化を行うステップ304に進む。
特に、温度や圧力などの条件、基板からシャワーヘッド
までの間隔、処理ガス流量が、処理チャンバ(すなわ
ち、チャンバ202)内で安定化される。本発明の好適
な実施の形態では、安定化ステップ302は約5〜60
秒で行われ、望ましくは15秒である。これは、約40
0℃の処理温度、約2.0〜6.0Torr、望ましく
は4.2Torrの処理圧力、約250〜650mi
l、望ましくは350milの基板からシャワーヘッド
までの間隔、約2,000〜20,000sccm、望
ましくは5,000sccmの窒素(N2)流量、に達
するためである。ステップ306では、プラズマを含む
水素が、酸化されたインタフェース部分112を含む基
板に導入される。このような酸化を除去しインタフェー
ス部分112に最初の導電特性を復元するために、プラ
ズマを含む水素は酸化されたインタフェース部分112
と化学的に反応する。プラズマを含む水素は、適切なエ
ネルギー源(すなわち、DC電源228)の作用を受け
た望ましくはアンモニア(NH3)または水素(H2)ガ
スの流量から形成される。ステップ306は、約5〜6
0秒、望ましくは10秒、400℃の処理温度、約2.
0〜6.0Torr、望ましくは4.2Torrの圧
力、350milの基板からシャワーヘッドまでの間
隔、約50〜500watt、望ましくは150wat
tのRF電力、約50〜3,000sccm、望ましく
は75sccmのアンモニア(NH3)または水素
(H2)ガスの流量、2,000〜20,000scc
m、望ましくは5,000sccmの窒素(N2)流量
で行われる。
【0019】ステップ306が終わるとすぐ、単一また
は複数の絶縁体を形成する化合物がプラズマを含む水素
に導入され絶縁層を形成するステップ308が行われ
る。この絶縁層は実質上、装置100の第2の絶縁層1
08である。さらに、ステップ308は「現場で」実行
される、すなわち、以前のステップと同一のチャンバで
クロス汚染の可能性が減少するように実行される。第2
の絶縁層を第1の絶縁層104と同一の材料で製造する
必要はない。さらに第2の絶縁層は、当業者に周知の複
数の異なる処理パラメータから形成される複数の層であ
ってもよい。以下の開示は本質的に具体例であり、本発
明の範囲を制限するとみなすべきではない。望ましく
は、絶縁体を形成する化合物は、シラン(SiH4)、
アンモニア(NH3)、窒素(N2)から成るグループか
ら選択された窒化物形成化合物である。本発明の好適な
実施の形態では、ステップ308は、約9秒、400℃
の温度、約2.0〜6.0Torr、望ましくは4.2
Torrの圧力、約250〜650mil、望ましくは
550milの基板からシャワーヘッドまでの間隔、約
200〜1,000ワット、望ましくは460ワットの
RF電力、約220sccmのシラン(SiH4)流
量、約50〜3,000sccm、望ましくは75sc
cmのアンモニア(NH3)の流量、2,000〜2
0,000sccm、望ましくは5,000sccmの
窒素(N2)流量、で行われる。この方法はステップ3
10で終了する。表1は、ステップ306とステップ3
08の両方のみでのNH3プラズマの処理パラメータの
代替の設定を表示する。
【0020】
【表1】
【0021】本発明の利益は、化学反応の結果として導
電性のあるインタフェース部分の酸化が大幅に減少され
ることで認識される。それ自体の上に堆積された層は、
未処理の層または処理されているがまだ残留副生成物が
ある層の持つ以前の可能性より、粘着の大きい可能性を
持つ。加えて、連続的なプラズマが用いられると、ケイ
化銅の形成の可能性が大幅に減少する。すなわち、(イ
ンタフェース部分112に銅が含まれる)基板でシラン
が熱反応可能なとき、ケイ化銅は形成される。本発明の
続けざまのプラズマの特徴により、シランはSiとHに
分解され、ケイ化銅が形成される前にインタフェース上
に窒化物が形成される。加えて、処理全体が「現場で」
実行されるため、クロス汚染の結果が大幅に減少され
る。
【0022】ここに本発明の教示を組み込んだ様々な実
施の形態が示され、詳細に記載されたが、当業者は、や
はりこれらの教示を組み込んだ多くの他の多様な実施の
形態を容易に考案することができる。
【図面の簡単な説明】
【図1】本発明に従い半導体基板上に構成された集積回
路を持つ半導体基板の部分断面図を示す。
【図2】本発明と共に用いられる堆積システムの略図を
示す。
【図3】本発明の一連の方法ステップを示す。
【符号の説明】
100…集積回路装置、102…基板、104…第1の
絶縁層、108…第2の絶縁層、110…インタフェー
ス、200…CVDプラズマリアクタ、202…マニホ
ルド、204…ウエーハ、206…サセプタ。
フロントページの続き (72)発明者 ジュディ エイチ. ハン アメリカ合衆国, カリフォルニア州, ロス ガトス, レロイ アヴェニュー 16788 (72)発明者 クリストファー デニス ベンチャー アメリカ合衆国, カリフォルニア州, サニーヴェイル, ロビア ドライヴ 1071 (72)発明者 スドゥハ ラティ アメリカ合衆国, カリフォルニア州, サン ノゼ, ウエストベリー ドライヴ 2748 (72)発明者 クリストファー エス. ガイ アメリカ合衆国, カリフォルニア州, バーリンゲーム, サミット ドライヴ 2606 (72)発明者 ボー ホーエン キム アメリカ合衆国, カリフォルニア州, サン ノゼ, ノルウォーク ドライヴ 4241 ナンバー208ゼット

Claims (20)

    【特許請求の範囲】
  1. 【請求項1】 半導体デバイスのインタフェースの酸化
    を減少するための方法であり、前記半導体デバイスは少
    なくとも第1の層と第2の層を持ち、上記インタフェー
    スは前記第1の層と前記第2の層との間に配置され、
    (a) 部分的に酸化されたインタフェースを持つ前記
    第1の層を供給するステップ、(b) 水素含有プラズ
    マを前記インタフェースに導入するステップ、(c)
    上記前記インタフェースの酸化された部分を化学的に減
    少するステップ、(d) 第2の層形成材料を前記水素
    含有プラズマに導入するステップを含む、方法。
  2. 【請求項2】 前記第1の層がさらに、絶縁材料の中に
    配置された単一または複数の導電性材料デバイスを持つ
    絶縁材料を含む、請求項1の方法。
  3. 【請求項3】 前記インタフェースが概して均一な表面
    として定義され前記絶縁材料と前記導電性材料が一致す
    る、請求項2の方法。
  4. 【請求項4】 前記導電性材料が酸化される、請求項3
    の方法。
  5. 【請求項5】 ステップ(b)がさらに、上記インタフ
    ェースにアンモニアを導入するステップを含む、請求項
    4の方法。
  6. 【請求項6】 上記アンモニアが約50〜3,000s
    ccmの流量で導入される、請求項5の方法。
  7. 【請求項7】 水素含有プラズマに約50〜500Wの
    電力が通電される、請求項4の方法。
  8. 【請求項8】 ステップ(b)が約2〜60秒で行われ
    る、請求項4の方法。
  9. 【請求項9】 ステップ(c)がさらに、前記インタフ
    ェースにシランを導入するステップを含む、請求項4の
    方法。
  10. 【請求項10】 上記シランが約50〜500sccm
    の流量で導入される、請求項9の方法。
  11. 【請求項11】 プラズマが導入された水素含有の第2
    の層形成材料に約200〜1,000Wの電力が通電さ
    れる、請求項4の方法。
  12. 【請求項12】 半導体デバイスのインタフェースの酸
    化を減少するための方法であり、前記半導体デバイスは
    少なくとも、第1の絶縁層を有し、上記第1の絶縁層
    は、その中に配置された単一または複数の導電性材料デ
    バイスと、第2の層を持ち、上記インタフェースは前記
    第1の層と前記第2の層との間に配置され、前記方法
    は、(a) 前記インタフェースに酸化された導電性材
    料を持つ前記第1の層を供給するステップ、(b) ア
    ンモニア/窒素プラズマを前記インタフェースに導入す
    るステップ、(c) シランを前記アンモニア/窒素プ
    ラズマに導入するステップを含む、方法。
  13. 【請求項13】 上記アンモニアが50〜3,000s
    ccmの流量で導入され、上記窒素が2000〜20,
    000sccmの流量で導入され、前記流量に約50〜
    150Wの電力が通電される、請求項12の方法。
  14. 【請求項14】 上記シランが約50〜3,000sc
    cmの流量で導入され、約460Wの電力が通電され
    る、請求項12の方法。
  15. 【請求項15】 半導体デバイスインタフェースであっ
    て、第1の絶縁層と、前記絶縁層中に配置された単一ま
    たは複数の導電性材料デバイスとを含み、前記絶縁層と
    導電性材料デバイスは前記インタフェースを定義し、前
    記インタフェースに連続のプラズマ処理を行い酸化を除
    去し前記インタフェース上に第2の層を堆積する、イン
    ターフェース。
  16. 【請求項16】 前記絶縁層は酸化物と窒化物から選択
    される、請求項15のインタフェース。
  17. 【請求項17】 前記導電性材料が、チタン、タンタ
    ル、タングステン、銅からなるグループから選択され
    る、請求項15のインタフェース。
  18. 【請求項18】 前記導電性材料が銅である、請求項1
    7のインタフェース。
  19. 【請求項19】 前記第2の層が絶縁層である、請求項
    15のインタフェース。
  20. 【請求項20】 前記第2の層が、シランのプラズマ強
    化CVDから形成される窒化物、アンモニア、窒素から
    構成される、請求項19のインタフェース。
JP2000231957A 1999-07-30 2000-07-31 半導体デバイスの銅の酸化および汚染を減少するための方法および装置 Withdrawn JP2001093902A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/365129 1999-07-30
US09/365,129 US6355571B1 (en) 1998-11-17 1999-07-30 Method and apparatus for reducing copper oxidation and contamination in a semiconductor device

Publications (1)

Publication Number Publication Date
JP2001093902A true JP2001093902A (ja) 2001-04-06

Family

ID=23437585

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000231957A Withdrawn JP2001093902A (ja) 1999-07-30 2000-07-31 半導体デバイスの銅の酸化および汚染を減少するための方法および装置

Country Status (6)

Country Link
US (5) US6355571B1 (ja)
EP (1) EP1073106B1 (ja)
JP (1) JP2001093902A (ja)
KR (1) KR100773188B1 (ja)
DE (1) DE60040507D1 (ja)
TW (1) TW459304B (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013058799A (ja) * 1998-11-17 2013-03-28 Applied Materials Inc プラズマ処理による酸化物又は他の還元可能な汚染物質の基板からの除去

Families Citing this family (74)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6355571B1 (en) * 1998-11-17 2002-03-12 Applied Materials, Inc. Method and apparatus for reducing copper oxidation and contamination in a semiconductor device
US6821571B2 (en) * 1999-06-18 2004-11-23 Applied Materials Inc. Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
JP4554011B2 (ja) * 1999-08-10 2010-09-29 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
JP2001160558A (ja) * 1999-12-02 2001-06-12 Nec Corp 半導体装置の製造方法及び製造装置
JP2001291720A (ja) * 2000-04-05 2001-10-19 Hitachi Ltd 半導体集積回路装置および半導体集積回路装置の製造方法
US6794311B2 (en) 2000-07-14 2004-09-21 Applied Materials Inc. Method and apparatus for treating low k dielectric layers to reduce diffusion
JP2002110679A (ja) * 2000-09-29 2002-04-12 Hitachi Ltd 半導体集積回路装置の製造方法
JP2002164428A (ja) * 2000-11-29 2002-06-07 Hitachi Ltd 半導体装置およびその製造方法
DE10059143B4 (de) * 2000-11-29 2006-12-28 Advanced Micro Devices, Inc., Sunnyvale Oberflächenbehandlungs- und Deckschichtverfahren zur Herstellung einer Kupfergrenzfläche in einem Halbleiterbauteil
US6693020B2 (en) * 2001-03-12 2004-02-17 Motorola, Inc. Method of preparing copper metallization die for wirebonding
US7084070B1 (en) 2001-03-30 2006-08-01 Lam Research Corporation Treatment for corrosion in substrate processing
US6506677B1 (en) * 2001-05-02 2003-01-14 Advanced Micro Devices, Inc. Method of forming capped copper interconnects with reduced hillock formation and improved electromigration resistance
US6630406B2 (en) 2001-05-14 2003-10-07 Axcelis Technologies Plasma ashing process
US6951823B2 (en) * 2001-05-14 2005-10-04 Axcelis Technologies, Inc. Plasma ashing process
JP2003051481A (ja) * 2001-08-07 2003-02-21 Hitachi Ltd 半導体集積回路装置の製造方法
US6797652B1 (en) 2002-03-15 2004-09-28 Advanced Micro Devices, Inc. Copper damascene with low-k capping layer and improved electromigration reliability
US6664202B2 (en) * 2002-04-18 2003-12-16 Applied Materials Inc. Mixed frequency high temperature nitride CVD process
DE10224167B4 (de) * 2002-05-31 2007-01-25 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung einer Kupferleitung mit erhöhter Widerstandsfähigkeit gegen Elektromigration in einem Halbleiterelement
US20040018715A1 (en) * 2002-07-25 2004-01-29 Applied Materials, Inc. Method of cleaning a surface of a material layer
US6790777B2 (en) * 2002-11-06 2004-09-14 Texas Instruments Incorporated Method for reducing contamination, copper reduction, and depositing a dielectric layer on a semiconductor device
US7582185B2 (en) * 2002-12-26 2009-09-01 Canon Kabushiki Kaisha Plasma-processing apparatus
KR100483594B1 (ko) * 2002-12-27 2005-04-15 매그나칩 반도체 유한회사 반도체 소자의 금속배선 형성방법
US7273808B1 (en) 2003-02-03 2007-09-25 Novellus Systems, Inc. Reactive barrier/seed preclean process for damascene process
KR101352995B1 (ko) * 2003-02-14 2014-01-21 어플라이드 머티어리얼스, 인코포레이티드 수소-함유 라디칼을 이용한 자연 산화물 세정
JP2004247675A (ja) * 2003-02-17 2004-09-02 Renesas Technology Corp 半導体装置の製造方法
US8361340B2 (en) * 2003-04-28 2013-01-29 Air Products And Chemicals, Inc. Removal of surface oxides by electron attachment
US7897029B2 (en) * 2008-03-04 2011-03-01 Air Products And Chemicals, Inc. Removal of surface oxides by electron attachment
US7387738B2 (en) * 2003-04-28 2008-06-17 Air Products And Chemicals, Inc. Removal of surface oxides by electron attachment for wafer bumping applications
US20040219795A1 (en) * 2003-05-01 2004-11-04 Taiwan Semiconductor Manufacturing Co. Method to improve breakdown voltage by H2 plasma treat
US7723228B2 (en) * 2003-05-20 2010-05-25 Applied Materials, Inc. Reduction of hillocks prior to dielectric barrier deposition in Cu damascene
US7371427B2 (en) * 2003-05-20 2008-05-13 Applied Materials, Inc. Reduction of hillocks prior to dielectric barrier deposition in Cu damascene
US6790778B1 (en) * 2003-09-10 2004-09-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method for capping over a copper layer
US7309651B2 (en) * 2003-10-30 2007-12-18 Texas Instruments Incorporated Method for improving reliability of copper interconnects
KR100587659B1 (ko) * 2003-12-31 2006-06-08 동부일렉트로닉스 주식회사 듀얼 다마신 공정 중 구리 산화 방지 방법
US6897147B1 (en) 2004-01-15 2005-05-24 Taiwan Semiconductor Manufacturing Company Solution for copper hillock induced by thermal strain with buffer zone for strain relaxation
US20050186339A1 (en) * 2004-02-20 2005-08-25 Applied Materials, Inc., A Delaware Corporation Methods and apparatuses promoting adhesion of dielectric barrier film to copper
US7229911B2 (en) * 2004-04-19 2007-06-12 Applied Materials, Inc. Adhesion improvement for low k dielectrics to conductive materials
US20050233555A1 (en) * 2004-04-19 2005-10-20 Nagarajan Rajagopalan Adhesion improvement for low k dielectrics to conductive materials
US7338903B2 (en) * 2004-04-24 2008-03-04 Taiwan Semiconductor Manufacturing Co., Ltd. Sequential reducing plasma and inert plasma pre-treatment method for oxidizable conductor layer
US20060081965A1 (en) * 2004-10-15 2006-04-20 Ju-Ai Ruan Plasma treatment of an etch stop layer
US20070012661A1 (en) * 2005-07-13 2007-01-18 Vasilyeva Irina V Silicon nitride passivation layers having oxidized interface
KR20090047211A (ko) * 2007-11-07 2009-05-12 삼성전자주식회사 도전 패턴의 형성 방법 및 이를 이용한 반도체 소자의 제조방법
KR20100099094A (ko) * 2007-12-27 2010-09-10 램 리써치 코포레이션 베벨 에칭 프로세스에 후속하는 구리 변색 방지
US7737029B2 (en) * 2008-03-18 2010-06-15 Samsung Electronics Co., Ltd. Methods of forming metal interconnect structures on semiconductor substrates using oxygen-removing plasmas and interconnect structures formed thereby
US8043976B2 (en) * 2008-03-24 2011-10-25 Air Products And Chemicals, Inc. Adhesion to copper and copper electromigration resistance
US20090269507A1 (en) * 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
US20100270262A1 (en) * 2009-04-22 2010-10-28 Applied Materials, Inc. Etching low-k dielectric or removing resist with a filtered ionized gas
US8454850B2 (en) * 2009-09-02 2013-06-04 Air Products And Chemicals, Inc. Method for the removal of surface oxides by electron attachment
US8329575B2 (en) 2010-12-22 2012-12-11 Applied Materials, Inc. Fabrication of through-silicon vias on silicon wafers
US8758638B2 (en) 2011-05-10 2014-06-24 Applied Materials, Inc. Copper oxide removal techniques
US20140117545A1 (en) * 2012-10-26 2014-05-01 Globalfoundries Singapore Pte. Ltd Copper hillock prevention with hydrogen plasma treatment in a dedicated chamber
US20140187045A1 (en) * 2013-01-02 2014-07-03 Applied Materials, Inc. Silicon nitride gapfill implementing high density plasma
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9865501B2 (en) 2013-03-06 2018-01-09 Lam Research Corporation Method and apparatus for remote plasma treatment for reducing metal oxides on a metal seed layer
US8980740B2 (en) 2013-03-06 2015-03-17 Globalfoundries Inc. Barrier layer conformality in copper interconnects
US9070750B2 (en) 2013-03-06 2015-06-30 Novellus Systems, Inc. Methods for reducing metal oxide surfaces to modified metal surfaces using a gaseous reducing environment
US9524889B2 (en) 2013-03-15 2016-12-20 Applied Materials, Inc. Processing systems and apparatus adapted to process substrates in electronic device manufacturing
US20150001728A1 (en) * 2013-06-26 2015-01-01 Taiwan Semiconductor Manufacturing Company, Ltd. Pre-treatment method for metal-oxide reduction and device formed
US20150206798A1 (en) * 2014-01-17 2015-07-23 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect Structure And Method of Forming
US9054308B1 (en) 2014-03-04 2015-06-09 Sandisk 3D Llc Plasma reduction method for modifying metal oxide stoichiometry in ReRAM
US10854472B2 (en) * 2014-03-19 2020-12-01 Globalfoundries Inc. Method for forming a metal gate including de-oxidation of an oxidized surface of the metal gate utilizing a reducing agent
US9469912B2 (en) 2014-04-21 2016-10-18 Lam Research Corporation Pretreatment method for photoresist wafer processing
US20150380296A1 (en) * 2014-06-25 2015-12-31 Lam Research Corporation Cleaning of carbon-based contaminants in metal interconnects for interconnect capping applications
US9472377B2 (en) 2014-10-17 2016-10-18 Lam Research Corporation Method and apparatus for characterizing metal oxide reduction
US9558955B2 (en) * 2015-02-03 2017-01-31 Taiwan Semiconductor Manufacturing Co., Ltd. Formation method of semiconductor device that includes performing hydrogen-containing plasma treatment on metal gate stack
US9397011B1 (en) * 2015-04-13 2016-07-19 Lam Research Corporation Systems and methods for reducing copper contamination due to substrate processing chambers with components made of alloys including copper
US9524905B1 (en) 2015-08-31 2016-12-20 Sandisk Technologies Llc Nitrided tungsten via
US20180144973A1 (en) * 2016-11-01 2018-05-24 Applied Materials, Inc. Electromigration Improvement Using Tungsten For Selective Cobalt Deposition On Copper Surfaces
US10443146B2 (en) 2017-03-30 2019-10-15 Lam Research Corporation Monitoring surface oxide on seed layers during electroplating
KR102331718B1 (ko) 2017-06-08 2021-11-26 삼성전자주식회사 반도체 장치 제조 방법
US11152455B2 (en) * 2019-09-23 2021-10-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method to reduce breakdown failure in a MIM capacitor
US11508617B2 (en) 2019-10-24 2022-11-22 Applied Materials, Inc. Method of forming interconnect for semiconductor device
US11257677B2 (en) * 2020-01-24 2022-02-22 Applied Materials, Inc. Methods and devices for subtractive self-alignment
US11430729B2 (en) 2020-09-16 2022-08-30 Taiwan Semiconductor Manufacturing Company, Ltd. MIM capacitor with a symmetrical capacitor insulator structure

Family Cites Families (95)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US62A (en) * 1836-10-20 Cooking-stove
US11A (ja) * 1836-08-10
US7A (en) * 1836-08-10 Thomas blanchard
US1116912A (en) * 1914-05-14 1914-11-10 Lawson Mfg Company Gas-burner.
US2155862A (en) * 1936-01-15 1939-04-25 Manifold Supplies Company Duplicating ink
US2290166A (en) * 1941-02-17 1942-07-21 Edward C Craig Close fitting, insulating, watertight underwear
US2319532A (en) * 1941-04-29 1943-05-18 Willard Storage Battery Co Liquid dispensing apparatus
US2319533A (en) * 1941-08-15 1943-05-18 Willard Storage Battery Co Charging cover
US4072724A (en) * 1975-11-03 1978-02-07 The Goodyear Tire & Rubber Company Process for preparing hindered alkenyl phenols
DE2815074C2 (de) * 1978-04-07 1983-03-03 Max-Planck-Gesellschaft zur Förderung der Wissenschaften e.V., 3400 Göttingen Spektralfotometer für medizinische Zwecke
JPS55158275A (en) 1979-05-28 1980-12-09 Hitachi Ltd Corrosion preventing method for al and al alloy
JPS5821324A (ja) 1981-07-30 1983-02-08 Agency Of Ind Science & Technol 水素添加した半導体薄膜成長用金属表面基板の前処理方法
US4420386A (en) 1983-04-22 1983-12-13 White Engineering Corporation Method for pure ion plating using magnetic fields
DE3574997D1 (de) 1984-03-03 1990-02-01 Stc Plc Pulsierendes plasmaverfahren.
JPS61579A (ja) 1984-06-14 1986-01-06 Ricoh Co Ltd 薄膜製造方法
JPS619579A (ja) 1984-06-25 1986-01-17 Nippon Steel Corp 鉄鋼構造物の防食工法
JPS62158859A (ja) 1986-01-07 1987-07-14 Sumitomo Electric Ind Ltd 前処理方法
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4849797A (en) * 1987-01-23 1989-07-18 Hosiden Electronics Co., Ltd. Thin film transistor
US5121706A (en) 1987-10-16 1992-06-16 The Curators Of The University Of Missouri Apparatus for applying a composite insulative coating to a substrate
JPH01116912A (ja) 1987-10-29 1989-05-09 Sony Corp 磁気抵抗効果型磁気ヘッド
US4994410A (en) 1988-04-04 1991-02-19 Motorola, Inc. Method for device metallization by forming a contact plug and interconnect using a silicide/nitride process
US5232872A (en) 1989-05-09 1993-08-03 Fujitsu Limited Method for manufacturing semiconductor device
JPH0338953A (ja) 1989-07-05 1991-02-20 Nec Corp 電話機のダイアル送出用集積回路
EP0416400B1 (en) 1989-08-25 1996-02-07 Applied Materials, Inc. Cleaning method for semiconductor wafer processing apparatus
US4980196A (en) 1990-02-14 1990-12-25 E. I. Du Pont De Nemours And Company Method of coating steel substrate using low temperature plasma processes and priming
JPH0445534A (ja) 1990-06-12 1992-02-14 Sony Corp 銅薄膜の選択的エッチング方法
JP2646811B2 (ja) 1990-07-13 1997-08-27 ソニー株式会社 ドライエッチング方法
FR2666324B1 (fr) 1990-09-03 1993-04-09 Saint Gobain Vitrage Int Couches minces de nitrure de silicium a proprietes ameliorees.
DE4034842A1 (de) 1990-11-02 1992-05-07 Thyssen Edelstahlwerke Ag Verfahren zur plasmachemischen reinigung fuer eine anschliessende pvd oder pecvd beschichtung
US5232871A (en) 1990-12-27 1993-08-03 Intel Corporation Method for forming a titanium nitride barrier layer
JP3038953B2 (ja) 1991-02-28 2000-05-08 ソニー株式会社 配線形成方法
US5324360A (en) 1991-05-21 1994-06-28 Canon Kabushiki Kaisha Method for producing non-monocrystalline semiconductor device and apparatus therefor
JPH05308107A (ja) 1991-07-01 1993-11-19 Sumitomo Electric Ind Ltd 半導体装置及びその製作方法
JPH05144811A (ja) 1991-11-22 1993-06-11 Hitachi Ltd 薄膜半導体装置及びその製造方法
AU3726593A (en) 1992-02-26 1993-09-13 Materials Research Corporation Ammonia plasma treatment of silicide contact surfaces in semiconductor devices
JPH0697111A (ja) 1992-09-11 1994-04-08 Sony Corp バリアメタルの形成方法
JPH06204191A (ja) 1992-11-10 1994-07-22 Sony Corp 金属プラグ形成後の表面処理方法
US5409543A (en) 1992-12-22 1995-04-25 Sandia Corporation Dry soldering with hot filament produced atomic hydrogen
JPH0763105B2 (ja) 1993-02-12 1995-07-05 日本電気株式会社 印刷配線板の製造方法
JP3399583B2 (ja) 1993-04-06 2003-04-21 東京エレクトロン株式会社 半導体装置の製造方法
JPH07142416A (ja) 1993-06-21 1995-06-02 Applied Materials Inc 改良された界面を有する層のプラズマ化学蒸着法
JPH0793276B2 (ja) 1993-12-14 1995-10-09 アプライド マテリアルズ インコーポレイテッド 薄膜形成前処理方法および薄膜形成方法
JP2837087B2 (ja) 1993-12-28 1998-12-14 アプライド マテリアルズ インコーポレイテッド 薄膜形成方法
US6475903B1 (en) * 1993-12-28 2002-11-05 Intel Corporation Copper reflow process
US5738956A (en) * 1994-01-28 1998-04-14 Kyowa Hakko Kogyo Agent for maintaining and recovering the function of lead storage battery and electrolyte for lead storage battery using the same
US5451263A (en) 1994-02-03 1995-09-19 Harris Corporation Plasma cleaning method for improved ink brand permanency on IC packages with metallic parts
US5441768A (en) 1994-02-08 1995-08-15 Applied Materials, Inc. Multi-step chemical vapor deposition method for thin film transistors
IT1271636B (it) 1994-05-04 1997-06-04 Alcatel Italia Metodo per la preparazione e la passivazione degli specchi terminali di laser a semiconduttore ad alta potenza di emissione e relativo dispositivo
US5975912A (en) * 1994-06-03 1999-11-02 Materials Research Corporation Low temperature plasma-enhanced formation of integrated circuits
US5665640A (en) * 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
KR0144956B1 (ko) 1994-06-10 1998-08-17 김광호 반도체 장치의 배선 구조 및 그 형성방법
JP3326974B2 (ja) 1994-07-28 2002-09-24 ソニー株式会社 多層配線の形成方法および半導体装置の製造方法
US5736002A (en) 1994-08-22 1998-04-07 Sharp Microelectronics Technology, Inc. Methods and equipment for anisotropic, patterned conversion of copper into selectively removable compounds and for removal of same
DE69531980T2 (de) 1994-08-23 2004-07-29 At & T Corp. Metallisierung von keramischen Materialien durch Auftrag einer haftenden reduzierbaren Schicht
JP2809113B2 (ja) 1994-09-29 1998-10-08 日本電気株式会社 半導体装置の製造方法
JPH08186085A (ja) 1994-12-28 1996-07-16 Nec Corp 半導体装置の製造方法
US5599736A (en) * 1995-06-28 1997-02-04 Vanguard International Semiconductor Corporation Fabrication method for polysilicon contact plugs
BE1009839A3 (fr) 1995-12-20 1997-10-07 Cockerill Rech & Dev Procede et dispositif pour le nettoyage d'un substrat metallique.
US6013574A (en) * 1996-01-30 2000-01-11 Advanced Micro Devices, Inc. Method of forming low resistance contact structures in vias arranged between two levels of interconnect lines
US5843847A (en) 1996-04-29 1998-12-01 Applied Materials, Inc. Method for etching dielectric layers with high selectivity and low microloading
US5780163A (en) 1996-06-05 1998-07-14 Dow Corning Corporation Multilayer coating for microelectronic devices
JPH1019244A (ja) 1996-06-27 1998-01-23 Nkk Corp ドレン排出装置、ドレン排出装置を備える交番燃焼炉及び交番燃焼炉のドレン排出方法
US5801098A (en) 1996-09-03 1998-09-01 Motorola, Inc. Method of decreasing resistivity in an electrically conductive layer
US5970378A (en) 1996-09-03 1999-10-19 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-step plasma treatment process for forming low resistance titanium nitride layer
GB2319533B (en) 1996-11-22 2001-06-06 Trikon Equip Ltd Methods of forming a barrier layer
GB2319532B (en) 1996-11-22 2001-01-31 Trikon Equip Ltd Method and apparatus for treating a semiconductor wafer
KR19980064441A (ko) 1996-12-20 1998-10-07 윌리엄비.켐플러 전도물질을 반도체 소자 표면에 선택적으로 결합시키는 방법
JP4142753B2 (ja) 1996-12-26 2008-09-03 株式会社東芝 スパッタターゲット、スパッタ装置、半導体装置およびその製造方法
DE19702124A1 (de) 1997-01-22 1998-07-23 Linde Ag Verfahren und Vorrichtung zum Reinigen, Aktivieren Benetzen und/oder Beschichten der Oberflächen von Werkstücken
US5834371A (en) * 1997-01-31 1998-11-10 Tokyo Electron Limited Method and apparatus for preparing and metallizing high aspect ratio silicon semiconductor device contacts to reduce the resistivity thereof
DE19717698A1 (de) 1997-04-26 1998-10-29 Fraunhofer Ges Forschung Verfahren und Vorrichtung zur Reinigung von Aktivierung von elektrischen Leiterbahnen und Platinenoberflächen
JPH1116912A (ja) 1997-06-25 1999-01-22 Hitachi Ltd 半導体集積回路装置の製造方法および半導体集積回路装置の製造装置
US6872429B1 (en) * 1997-06-30 2005-03-29 Applied Materials, Inc. Deposition of tungsten nitride using plasma pretreatment in a chemical vapor deposition chamber
US5893752A (en) * 1997-12-22 1999-04-13 Motorola, Inc. Process for forming a semiconductor device
US5821168A (en) * 1997-07-16 1998-10-13 Motorola, Inc. Process for forming a semiconductor device
US6100184A (en) * 1997-08-20 2000-08-08 Sematech, Inc. Method of making a dual damascene interconnect structure using low dielectric constant material for an inter-level dielectric layer
US6143640A (en) * 1997-09-23 2000-11-07 International Business Machines Corporation Method of fabricating a stacked via in copper/polyimide beol
US6071813A (en) * 1997-10-20 2000-06-06 Advanced Micro Devices, Inc. Method and system for electrical coupling to copper interconnects
TW507015B (en) * 1997-12-02 2002-10-21 Applied Materials Inc In-situ, preclean of wafers prior to a chemical vapor deposition titanium deposition step
US6033584A (en) * 1997-12-22 2000-03-07 Advanced Micro Devices, Inc. Process for reducing copper oxide during integrated circuit fabrication
JP3501937B2 (ja) 1998-01-30 2004-03-02 富士通株式会社 半導体装置の製造方法
FR2775986B1 (fr) 1998-03-10 2000-05-05 Air Liquide Procede et installation de traitement de surface d'une piece metallique
US6174810B1 (en) * 1998-04-06 2001-01-16 Motorola, Inc. Copper interconnect structure and method of formation
US6319728B1 (en) * 1998-06-05 2001-11-20 Applied Materials, Inc. Method for treating a deposited film for resistivity reduction
KR100290835B1 (ko) * 1998-06-23 2001-07-12 윤종용 반도체소자의제조방법
US6492266B1 (en) * 1998-07-09 2002-12-10 Advanced Micro Devices, Inc. Method of forming reliable capped copper interconnects
US6303505B1 (en) * 1998-07-09 2001-10-16 Advanced Micro Devices, Inc. Copper interconnect with improved electromigration resistance
US6287977B1 (en) * 1998-07-31 2001-09-11 Applied Materials, Inc. Method and apparatus for forming improved metal interconnects
US6172421B1 (en) * 1998-08-11 2001-01-09 Advanced Micro Devices, Inc. Semiconductor device having an intermetallic layer on metal interconnects
US6355571B1 (en) * 1998-11-17 2002-03-12 Applied Materials, Inc. Method and apparatus for reducing copper oxidation and contamination in a semiconductor device
US6097111A (en) * 1998-12-29 2000-08-01 Anrod; Stephen C. Mode selectable power receptacle
US6251775B1 (en) 1999-04-23 2001-06-26 International Business Machines Corporation Self-aligned copper silicide formation for improved adhesion/electromigration
US6365527B1 (en) 2000-10-06 2002-04-02 United Microelectronics Corp. Method for depositing silicon carbide in semiconductor devices
US20040155268A1 (en) * 2003-02-06 2004-08-12 Infineon Technologies North America Corp. Method and apparatus for improving the electrical resistance of conductive paths

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013058799A (ja) * 1998-11-17 2013-03-28 Applied Materials Inc プラズマ処理による酸化物又は他の還元可能な汚染物質の基板からの除去

Also Published As

Publication number Publication date
TW459304B (en) 2001-10-11
US20020081856A1 (en) 2002-06-27
US8183150B2 (en) 2012-05-22
DE60040507D1 (de) 2008-11-27
US20050263900A1 (en) 2005-12-01
US6355571B1 (en) 2002-03-12
US6700202B2 (en) 2004-03-02
KR20010039772A (ko) 2001-05-15
EP1073106A2 (en) 2001-01-31
EP1073106A3 (en) 2005-08-24
KR100773188B1 (ko) 2007-11-02
EP1073106B1 (en) 2008-10-15
US6946401B2 (en) 2005-09-20
US20090050902A1 (en) 2009-02-26
US20040046260A1 (en) 2004-03-11

Similar Documents

Publication Publication Date Title
JP2001093902A (ja) 半導体デバイスの銅の酸化および汚染を減少するための方法および装置
JP3942672B2 (ja) 基板処理方法および基板処理装置
US6551665B1 (en) Method for improving thickness uniformity of deposited ozone-TEOS silicate glass layers
US7807579B2 (en) Hydrogen ashing enhanced with water vapor and diluent gas
US7001850B2 (en) Method of depositing dielectric films
US7718553B2 (en) Method for forming insulation film having high density
US6693030B1 (en) Reactive preclean prior to metallization for sub-quarter micron application
US6218301B1 (en) Deposition of tungsten films from W(CO)6
US20040161535A1 (en) Method of forming silicon carbide films
JP4071069B2 (ja) 絶縁膜のエッチング方法
WO2005069367A1 (ja) 半導体装置の製造方法および成膜システム
KR20030001939A (ko) 반도체소자의 장벽층 형성 방법 및 장치
JP2010212694A (ja) プラズマ処理による酸化物又は他の還元可能な汚染物質の基板からの除去
US20040168705A1 (en) Method of cleaning a surface of a material layer
US7713864B2 (en) Method of cleaning semiconductor substrate conductive layer surface
JP3992588B2 (ja) 成膜方法
KR20080053239A (ko) 듀얼 다마신 분야에서 바닥부 무반사 코팅층의 2단계 에칭
US20020142104A1 (en) Plasma treatment of organosilicate layers
JP4058669B2 (ja) シリコン基板上への導電性珪化物層の形成方法および導電性珪化物接点の形成方法
JP2001176859A (ja) アッシング方法
KR20110013418A (ko) 유전성 및 전도성 층 사이의 접착 및 전자 이동 개선
US10256112B1 (en) Selective tungsten removal
JPH05291182A (ja) Ecrプラズマ処理方法
JPH0684834A (ja) 半導体装置の製造装置及び半導体装置の製造方法

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20071002