JP2000503479A - 半導体デバイスと、同デバイスの製造方法 - Google Patents

半導体デバイスと、同デバイスの製造方法

Info

Publication number
JP2000503479A
JP2000503479A JP9526145A JP52614597A JP2000503479A JP 2000503479 A JP2000503479 A JP 2000503479A JP 9526145 A JP9526145 A JP 9526145A JP 52614597 A JP52614597 A JP 52614597A JP 2000503479 A JP2000503479 A JP 2000503479A
Authority
JP
Japan
Prior art keywords
semiconductor
layer
deuterium
silicon
insulating layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP9526145A
Other languages
English (en)
Inventor
リディング,ジョゼフ・ダブリュー
ヘス,カール
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
University of Illinois
Original Assignee
University of Illinois
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=24345606&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=JP2000503479(A) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by University of Illinois filed Critical University of Illinois
Publication of JP2000503479A publication Critical patent/JP2000503479A/ja
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28176Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28202Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/3003Hydrogenation or deuterisation, e.g. using atomic hydrogen from a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/91Controlling charging state at semiconductor-insulator interface
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/974Substrate surface preparation

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

(57)【要約】 動作特徴を改良し、半導体デバイスの操作の過程中に生ずる脱不動態を減じるためにジュウテリウムによって半導体デバイスをコンディショニングするための好ましい方法を述べる。周期律表のIII族、IV族又はV族からの1種類以上の元素を含有する半導体(12)と、半導体層(13、14)と、絶縁層(17)と、導電層(20)とを含む半導体デバイス(11)をも述べる。

Description

【発明の詳細な説明】 半導体デバイスと、同デバイスの製造方法 発明の背景 本発明は半導体デバイスの分野に関し、特に、半導体デバイスの特性の経時的 劣化を減ずるための半導体デバイス又はその要素の処理方法に関する。 さらなる背景として、水素不動態化は半導体デバイスの製造における周知の確 立された慣習になっている。水素不動態化プロセスでは、半導体デバイスの作用 に影響を与える欠陥を除去する。例えば、このような欠陥は半導体デバイスの活 性要素の再結合/発生中心として述べられている。これらの中心は、一部は印加 バイアスに依存して、荷電キャリヤーを除去する又は好ましくない荷電キャリヤ ーを加える状態をエネルギーギャッブに導入するボンドをダングリングする(dan gling)ことによって惹起されると考えられる。ダングリングボンドは主として、 デバイス中の表面又は界面に生ずるが、これらはまた、空孔、微細孔、転位にお いて生ずると考えられ、不純物にも結合すると考えられる。 数年間にわたって、多くの水素不動態化方法が提案されている。例えば、米国 特許第3,923,559号は、例えば金属酸化物半導体電界効果型トランジス ター(MOSFET)デバイスのようなデバイスの製造において、金属電極を付 着させる前に水素ガスを二酸化ケイ素の層中に導入する方法を述べている。その 後、金属電極を付着させることによって、デバイス内に水素ガスをトラップさせ る。その後、デバイスを高温においてアニールして、予め導入された水素をケイ 素表面に移動させて、デバイス製造中に生じた望ましくない界面状態を中和させ る。 米国特許第4,151,007号は、デバイス製造の最後の製造工程がデバイ スを水素ガス雰囲気において650℃〜950℃の温度において加熱することを 含む不動態化方法を述べている。この最終水素アニール工程は報告によれば緩慢 なトラッピングの効果を否定することによって、MOS構造の安定性を改良した 。 米国特許第4,113,514号は、例えば、450℃未満の温度において分 子状水素に作用するグロー放電装置を用いて発生させた原子状水素にデバイスを 暴露させることを含む不動態化方法を述べている。これと幾らか類似して、米国 特許第4,331,486号は、水素プラズマを発生させて、半導体デバイスを 原子状水素によって処理する不動態化方法を述べている。 米国特許第3,849,204号は、水素イオンを欠陥領域に注入し、その後 に、基板を不活性雰囲気中でアニールして、界面状態を排除することを含む不動 態化方法を述べている。 半導体工業において生じている他の問題は、ホットキャリア効果によるデバイ ス性能の劣化である。これは特に、対応して大きい電圧が用いられる小さいデバ イスに関して重要である。このような高い電圧が用いられる場合には、チャンネ ルキャリアは絶縁層に入って、デバイス作用(device behavier)を劣化させるた めに充分に強力である。例えば、シリコンに基づくP−チャンネルMOSFET では、ドレイン近くに正の酸化物電荷を生じる、酸化物中にトラップされたエネ ルギーホール(energetric hole)によってチャンネル強度を減ずることができる 。他方では、N−チャンネルMOSFETでは、酸化物に入って、界面トラップ と酸化物摩耗とを生じる電子によって、ゲート〜ドレイン短絡(gate−to-drain short)が惹起されうる。“ドレイン エンジニアリング”は、これらの問題に対 処することを試みて登場した分野であり、例えば、チャンネルとドレイン本体と の間に軽度にドープされたドレイン延長部が形成される、軽度にドープされたド レイン(LDD)の使用を含む。ホットキャリア効果に対する感受性を低下させ るための上記及びその他の可能な処置に関する、この他の詳細に関しては、例え ば米国特許第5,352,914号、第5,229,311号、第5,177, 571号、第5,098,866号、第4,859,620号、第4,691, 433号及び第4,521,698号を参照することができる。しかし、これら の解決策は典型的に製造方法を複雑にするので、費用がかかる。これらの回避、 又は少なくともこれらの簡単化が望ましい。 この背景を考慮すると、改良された不動態化方法と、このような方法に基づく デバイスとの必要性が存在する。本発明はこれらの必要性に対処する。 発明の概要 例えばMOSデバイスを含めた半導体デバイスを、それらの動作特性(operati onal characteristics)を改良するために、ジュウテリウムによって有利に処理 することができることが発見されている。したがって、本発明の好ましい1実施 態様は、デバイスをジュウテリウムによって不動態化する工程を含む、半導体デ バイスを処理するための方法を提供する。このように不動態化された半導体デバ イスも本発明の一部を形成する。 さらに好ましい態様では、本発明はIII族、IV族又はV族元素又はこれら の混合物を包含する半導体層を含む半導体デバイスを提供する。このデバイスは 半導体層上に絶縁(誘電)層をも含み、この層では、キャリア効果に対するデバ イスのレジリエンスを有意に高めるために充分な量でジュウテリウム原子がII I族、IV族若しくはV族元素の原子に共有結合する。 本発明の他の実施態様は、本発明のジュウテリウム処理済み半導体デバイスを ホットキャリア効果を生じるような条件下で動作させ、製造が完了した後の半導 体デバイス中に及び/又は多様な製造工程の1つ以上においてジュテリウムを導 入し、導入されたジュウテリウムを用いてデバイスの動作特徴を改良する方法を 提供する。 本発明の方法及びデバイスは半導体、それらの製造及びそれらの使用の分野に 特有の利益を提供する。例えば、提供するデバイスは改良された動作特徴を実証 し、ホットキャリア効果によるエージング又は“脱不動態(depassivation)”に 安定である。さらに、本発明のデバイスは、性能を高めるために高い電圧を用い て操作されることができ、しかもホットキャリア効果による劣化に良好に耐える ことができる。同様に、本発明の方法は、高い電圧で通常操作される放射線高透 過性(radiation hard)デバイスを製造するために有利である。さらに、本発明の 方法を容易にかつ経済的に実施して、既存の製造方法に組み込むことができ、本 発明の方法は、さもなくばホットキャリア効果から保護するために必要である、 費用のかかる及び/又は複雑な処置、例えば軽度にドープされたドレイン(LD D)テクノロジーの必要性を除去するか、又はこのような処置の実施により製造 プロセス上の柔軟性を与えることができる。本発明のこの他の目的、特徴及び利 益は以下の説明から明らかになるであろう。図面の簡単な説明 図1は、本発明を適用することができる、1種類の具体的な金属酸化物半導体 電界効果型トランジスターの線図である。 図2は、実験の項で考察するように水素中(ソリッド(solid)記号)及びジュ ウテリウム中(オープン記号)で焼結された5種類のNMOSトランジスターの 相互コンダクタンスの時間依存性劣化の比較を示すグラフである。 図3は、実験の項で考察するように水素中(ソリッド(solid)記号)及びジュ ウテリウム中(オープン記号)で焼結された5種類のNMOSトランジスターの しきい電圧の時間依存性増加の比較を示すグラフである。 好ましい実施態様の説明 本発明の原理の理解を容易にするために、その実施態様を次に参照し、専門用 語を用いて、同実施態様を説明する。それにも拘わらず、これによって本発明の 範囲の限定が意図されず、本明細書に述べるような変更、さらなる改良及び応用 が本発明が属する技術分野に熟練した人に通常思いつくものと見なされることは 理解されるであろう。 上記で開示したように、本発明の好ましい実施態様は半導体デバイスとその要 素との製造にジュウテリウムの使用を含む。半導体デバイスの動作特徴を劇的に 改良するために半導体デバイスをジュウテリウムによって有利に処理することが できることが発見されている。例えば、ジュウテリウムによる処理はホットキャ リア効果による半導体デバイスの脱不動態又は”エージング”を減ずる。このよ うなエージングは例えばしきい電圧、相互コンダクタンス、又は他のデバイス特 徴の実質的な劣化によって立証される。本発明によると、デバイスをコンディシ ョニングして(condition)、これらの劣化の程度を安定に減ずるためにジュウテ リウムを用いて、半導体デバイスを製造する。これは、例えば、ホットキャリア 効果に対する保護が望ましい、デバイスの領域に分子状(D2)、原子状(0D) 又はイオン状(D+)ジュウテリウムを配置して、例えば半導体層の原子に結合 して、安定に組み込まれるように、ジュウテリウムをこの領域の原子と共有結合 させることによって、達成されることができる。この共有結合は加熱によって便 利に達成されることができる。これらに関して、例えば分子状(ガス状)ジュウ テリウ ムの拡散又は原子状若しくはイオン状ジュウテリウムの注入によって、ジュウテ リウムを所望の領域に供給して、この所望の領域に共有結合させる特定の形式は 、本発明の広範囲な態様にとって重要ではない。 同様に、本発明は広範囲な半導体デバイスとそれらの製造方法とに適用可能で ある。一般的にいうと、半導体デバイスは少なくとも1個の活性要素、例えばダ イオード、トランジスター、サイリスター等をその中に含む。具体的な例は、C MOS及びnMOSテクノロジー、発光ダイオード、レーザーダイオード等を含 めた、例えばMOSFETデバイスのような、MOSに基づくデバイスを包含す る。これに関して、本明細書で考察する、MOSに基づくテクノロジーは、一般 におこなわれるように、金属以外のゲート導体の使用を包含するように意図され るので、MOSに基づくデバイスへの言及は他の絶縁ゲートテクノロジー(insul ated gate technology)(例えば、IGFET)を包含する。次に、本発明の態 様をMOSFET(即ち、IGFET)に関してさらに詳細に説明するが、本発 明が、ホットキャリア効果及び一般にエネルギー電荷キャリア(energetic charg e carrier)の効果のためにエージングを受け易い、上記及び他の半導体デバイス に適用可能であることは理解されるであろう。 次に図1に関しては、本発明を適用することができる具体的なMOSFETの 線図を示す。デバイス11は、例えば周期律表のIII族、IV族又はV族から 選択された1種以上のメンバーを含む半導体基板12を包含する。半導体基板は p−型基板でもn−型基板でもよく、例えば、ドープされた又はドープされない 結晶質シリコン又は非晶質シリコン、ヒ化ガリウム又はヒ化ガリウムアルミニウ ムであることができる。デバイス11は、基板12中に形成された、ドレイン1 3(基板の型に依存して、n−型又はp−型)及びソース14(同様に、n−型 又はp−型)と、それらの間に伸びるチャンネル15とを含む。フィールド酸化 物(field oxide)又は他の電気的絶縁体(誘電体)層16も、ゲート絶縁体(誘 電体)17と同様に備えられる。絶縁体16と17は単層からも多重層からも形 成されることができ、例えば二酸化ケイ素、窒化ケイ素、オキシ窒化ケイ素、又 はシリコン富化酸化物フィルムのような、シリコンの酸化物及び/又は窒化物を 含むことができる。デバイス11はドレイン13、ソース14及びゲート17の た めの導電性接点18、19及び20をも含み、これらは例えばアルミニウム、金 又は銅のような金属;例えばケイ化タングステン、ケイ化モリブデン、ケイ化タ ンタル又はケイ化チタン、又はこれらの組合せのような金属ケイ化物;ポリシリ コン;及び窒化チタンのような、1種以上の導電性物質を包含することができる 。これらの及び他の導電性物質は技術上公知であり、本発明に用いることができ る。図示したデバイスはポリシリコンゲート接点を用いるMOSFETの典型的 なデバイスであり、ゲート接点20上に絶縁体21を包含する。本発明の半導体 デバイスの一般的な製造方法は、種々な層の通常の成長又は付着、適当なマスク を用いるドーピング操作、カプセル封入、パッケージング及び他の工程を含む、 慣用的な方法であることができる。 本発明によると、半導体デバイスをコンディショニングして、その動作特徴を 改良するために、半導体デバイスを製造中又は製造の完了後にジュウテリウムに よって処理する。MOSFETデバイスの場合には、このような改良は半導体基 板12(例えば、シリコン)とゲート絶縁体17(例えば、二酸化ケイ素)との 間でジュウテリウム原子を界面に共有結合させることによって界面状態を除去す ることによって生ずると考えられる。それ故、本発明の好ましい態様では、デバ イス11の製造中又は後に(例えば、ゲート、ソース及びドレイン接点の製造後 に)、原子形、イオン形又は分子形のいずれかのジュウテリウムを基板12とゲ ート絶縁体17との界面に配置し、界面に、例えば半導体層の表面の原子に共有 結合させる。 これに関して、デバイス12のジュウテリウムコンディショニング又は不動態 化は種々な方法で達成することができる。例えば、デバイス11を、製造の1つ 以上の工程において又は製造が完了した後に(即ち、金属接点が完成した後に) 流動する又は混合される又は静止するジュウテリウム富化雰囲気の存在下で加熱 することができる。本発明によるジュウテリウム富化雰囲気は、自然に(in natu re)生ずるレベルを越えるレベルで、及び他の供給ガス(例えば、半導体の水素 不動態化プロセスに現在用いられる精製水素ガス)中の低レベル不純物として生 ずるレベルを越えるレベルでジュウテリウムを含有する。一般的にいうと、0. 1容量%〜100容量%まで、より好ましくは約5%〜50%、好都合には約5 % 〜20%のジュウテリウムを含有する雰囲気が用いられる。ジュウテリウム富化 雰囲気は完全に又は本質的に酸素を含まないことが好ましいが、アニーリング処 置に有用な又はアニーリング処置に有害でない1種以上の他のガスを含有するこ とができる。例えば、水素ガスをジュウテリウムと組み合わせて用いることがで きる、及び/又は例えば窒素、ヘリウム、アルゴン等のような不活性ガスが存在 することができる。アニーリングプロセスは大気圧、減圧又は過圧において、好 ましくは少なくとも約200℃からデバイスの他の要素の溶融又は分解温度まで 、より好ましくは約200℃〜約1000℃の範囲内、最も典型的には約200 ℃〜約800℃の範囲内の温度でおこなうことができる。さらに、雰囲気内での 処理がひと度完了したならば、雰囲気に残留するジュウテリウムをリサイクルと 後の使用とのために回収することができる。例えば、雰囲気を燃焼させて、重水 (D2O)を形成することができ、この重水を処理して(例えば、電気分解又は 他のやり方で)再びジュウテリウムガスを形成することができる。 半導体/ゲート絶縁体の界面、又は半導体デバイスの他の領域にジュウテリウ ムを供給して、ホットキャリア効果によるデバイス性能の劣化を軽減させる他の 方法も本発明から逸脱せずに用いることができる。例えば、イオン状又は原子状 ジュウテリウム注入とアニーリング方法とによって原子状ジュウテリウムを所望 の位置(例えば、界面)に配置することができる(例えば、米国特許第3,84 9,204号と第4,113,514号を参照のこと)、及び/又は原子状ジュ ウテリウムを製造中の半導体デバイスの層内にトラップさせて、その後に界面に 移動させることができる(例えば、米国特許第3,923,559号を参照のこ と)。さらに、製造の初期段階中に、半導体デバイス基板12の表面をコンディ ショニングして、例えば臭化ジュウテリウム、塩化ジュウテリウム若しくはフッ 化ジュウテリウムのようなハロゲン化ジュウテリウムによるエッチングによって 、又はジュウテリウムプラズマによる処理によって共有結合ジュウテリウムを含 有させることができる。例えばフッ化水素若しくは臭化水素エッチング又は水素 プラズマ処理のような、現在用いられている処理の代わりに、このような処理を 用いることは、半導体デバイス製造の分野に熟練した人の充分に理解しうる範囲 内である。このような処理は結果として望ましくは、ジュウテリウム原子を、半 導 体を構成する物質(例えば、III族、IV族若しくはV族元素、若しくはこれ らの混合物)の表面原子に共有結合させる、例えばこのような物質の原子に直接 結合させる(例えば、Si−D結合の場合)又はこのような原子に酸素若しくは 他の原子を介して結合させる(例えば、Si−O−D共有結合の場合)。したが って、シリコン半導体の場合には、このような表面処理方法は望ましくは半導体 の表面をジュウテリウム−シリコン(D−Si)及び/又はジュウテリウム−酸 素−シリコン(D−O−Si)結合によって占有させる。処理済み半導体物質を 次に用いて、半導体デバイスを製造することができる。 デバイス製造に水素含有化合物の代わりにジュウテリウム含有化合物を用いる ことを含む、他の処理は例えば、拡散バリヤーとして作用する窒化ケイ素(Si34)スペーサーの形成におけるジュウテリウム化化合物の使用を包含する。慣 用的には、アンモニア(NH3)を例えばシラン(SiH4)、ジシラン(Si3 6)又はジクロロシラン(SiCl22)のような、適当なシランと反応させ て、このような窒化ケイ素スペーサーを形成する。本発明の特別な態様では、1 個以上の水素、好ましくは全ての水素がジュウテリウムによって置換された対応 化学薬品から窒化ケイ素スペーサーを製造することができる。したがって、式: ND(n)(3-n)(式中、nは1、2又は3である)を有する化合物を適当なシラ ン:例えばSiD(m)(4-m)(式中、mは1、2、3又は4である)又はSi2 opq(式中、oは1、2、3、4、5又は6であり、pは0、1、2、3 ,4又は5であり、qは0、1、2、3,4又は5であり、Xは例えばブロモ− 又はクロローのようなハロゲンである、但し、o+p+q=6である)と反応さ せることによって、窒化ケイ素スペーサーを形成することができる。これらの中 で、ND3をSiD4及び/又はSiCl22と反応させて、窒化ケイ素スペーサ ーを形成することが好ましい。このやり方で窒化ケイ素スペーサーを構成するこ とは、ジュウテリウム含有バックグラウンドを残し、これがデバイス中にジュウ テリウムソースを与えて、このソースは例えば熱処理中に放出されて、MOSト ランジスター又は他の同様なデバイス中の酸化物/シリコン界面を不動態化する 。これらの目的のための適当な化学薬品は化学的に得ることができるか、又は技 術上一般に公知の方法を用いて製造することができる。例えば、ジュウテリウム 化アン モニア(ND3)はオハイオ州、MiamisburgのIstech社から商 業的に入手可能である。ジュウテリウム化シラン(SiD4)はテトラクロロシ ラン(SiC14)をジュウテリウム化リチウムアルミニウム(LiAlD4)と 反応させて、ジュウテリウム化シランを形成することによって製造することがで きる(例えば、Journal of Organometallic Che mistry,18巻,371頁(1969)と;Inorganic Syn thesis,11巻,170〜181頁(1968)を参照のこと)。このよ うな反応のためのジュウテリウム化リチウムアルミニウムは公知の方法を用いて 製造することができる、又はIsotech社から商業的に得ることができる。 ジジュウテロジクロロシラン(D2SiCl2)は、シリコン金属(Si)を塩化 ジュウテリウム(DCl)と反応させて、ジュウテロトリクロロシラン(DSi Cl3)を形成し、次にこれを触媒の存在下で反応させて、ジジュウテロジクロ ロシランを形成しうることによって、製造することができる(例えば、Ind. Eng.Chem.Res.27(9),1600〜1606(1988)を参 照のこと)。ジュウテリウム化化合物を製造するための上記及び他の適当な化学 は当業者に容易に理解されるであろう。 慣用的に水素含有化学薬品が用いられるが、それらに対して対応するジュウテ リウム含有化学薬品が用いられることができる、さらに他の製造工程には、金属 不純物を除去するためにHClの代わりにDClを用いる酸化物の成長、NH3 の代わりに例えばND3のようなジュウテリウム化アンモニアによるオキシ窒化 物の成長、ジュウテリウム化シラン若しくは関連化合物によって作成されるポリ シリコンゲートの製造、H2Oの代わりにD2Oを用いる湿式酸化プロセス、及び 例えばAsD3、PD3、B26等のようなジュウテリウム化ドーパントの使用が ある。これらのプロセス及び他の同様なプロセスを用いて、デバイス中のジュウ テリウム含有バックグラウンドを与えることができ、これがジュウテリウムを放 出して、半導体デバイスをコンディショニングする。 ジュウテリウム雰囲気内でのアニーリング以外の本明細書に述べた方法、例え ば、後の移動及び不動態化のためのイオン注入及び/又はジュウテリウムのトラ ッピング(entrapment)を含む方法は、不動態化を容易に促進することができるが 、 この場合には半導体と絶縁層との界面へのジュウテリウムガスの通過を妨害する 構造体がデバイス中に含まれる。例えば、この界面上の窒化ケイ素の存在はこの 界面へのジュウテリウムガスの拡散を妨害するので、上述したように、界面にジ ュウテリウムガスを供給する代替え方法又は付加的方法を任意に用いてデバイス 不動態化を促進することができる。 ジュウテリウムによる半導体デバイスのコンディショニングは、ホットキャリ ア(例えば、ホット電子)効果によるデバイスの脱不動態に関連した効果を有意 に減ずることが判明している。例えば、以下の実験の項で報告するように、ジュ ウテリウムを用いてデバイスを不動態化する場合には、水素不動態化に比べて、 しきい電圧の劣化と相互コンダクタンスとの劇的な減少が観察される(それぞれ 、図2と3を参照のこと)。これらの減少は約10〜50の係数による実用寿命 の改良を表し、ホット電子効果によるエージングに良好に耐えながらの、高電圧 における半導体デバイスの操作も可能にする。 本発明とその利点とのさらなる理解と、正当な評価とを容易にするために、下 記実験を報告する。この実験が本発明の例示であり、本発明を限定しないことは 理解されるであろう。 実験 1.材料と装置 1.1 ウェファ これらの実施例に用いたウェファは、一般にI.C.Kizilyalliと M.J.Thoma等,IEEE Trans.SemiconductorM anufacturing 8,440(1995)に述べられているようなA T&T’s0.5μm 3.3volt CMOSテクノロジーを用いて、下記 変化を加えて製造したNMOSトランジスタ一構造体を含有した。ゲート酸化物 をtox〜55Åに縮小して、p孔中のドーピングを高め、リンドープされたLD D領域を浅いヒ素注入した(用量=4x1014cm-2、30keVにおいて)ソ ース−ドレイン延長部と取り替えた。これらの変更によって、ゲートのドレイン エッジ近くのソース−ドレインピーク電界のピーク値は強化されて、より多くの チャンネルホット電子を生じる。この浅いソース−ドレイン延長は、これらの ホット電子がSi/SiO2界面の近くに存在して、重大な界面損傷を惹起する ことを確実にする。これらのホットキャリアによって惹起された界面損傷はNM OSトランジスタ一相互コンダクタンス(即ち、gm=△IDS/△VGS|vDS)の変 化をモニターすることによって、又はトランジスターしきい電圧Vthのシフトに よって容易に観察することができる。J.M.Pembley等,Advanc ed CMOS Process Technology,VLSI Elec tronics Microstructure Science,19巻,A cademic Press:San Diego,1989を参照のこと。 .2 ガス 水素ガス、窒素ガス及びジュウテリウムガスはS.J.Smith Weld ing Supply,米国、イリノイ州,Decaturから入手した。全て のガスが超高純度(UHP)、99.999%純粋であった。ジュウテリウムガ スの供給源は米国、ペンシルバニア州,MorrisvilleのMG Ind ustrirsであった。1.3 炉セットアップ ゾーン(zone)に通して窒素と、水素又はジュウテリウムとを供給する、二段ゾ ーンMarshallマッフル炉セットアップを用いて、ウェファをアニーリン グした。ウェファをスライド可能な石英トレーに載せて、石英プッシュロッドに よって位置決めした。炉の両ゾーンを所望のアニーリング温度に設定し、次に、 石英トレーの保持領域の全体にわたって実質的に一定の温度を得るように、ウェ ファアニーリングゾーンのレオスタットを調節した。このトレーは各ランに対し て同じに位置決めした。炉管差し込み末端キャップ上のOリング密封ステンレス 鋼フィードスルーを通して炉中に供給されたK型熱電対を用いて、温度を測定し た。別のK型熱電対を零℃基準として役立つように氷浴(脱イオン水)に入れた 。2つの熱電対間の温度をPROTEK TM BOOKバッテリー操作熱電対 メーターを用いて測定した。フィードバックのために固定熱電対(炉の両末端か ら10.5インチ)を用いた2個のBarber Coleman570温度制 御装置に、炉の両ゾーンを結合させた。ガスの流動のために、炉石英管差し込み の両端部はテーパー状磨りガラス接合部であり、これに対して嵌合するガラス末 端 キャップが作成された。管の両端部は充分に炉の外側であるので、熱くならず、 テフロンテープを用いて、気密なシールを容易に形成することができた。ガス純 度を維持するために金属ダイヤフラムを備えたMatheson Model3 122〜350二段階調節器によって、水素又はジュウテリウムを含有するシリ ンダーを炉ガス管に接続させた。ガスは304ステンレス鋼管によって石英管末 端キャッブまで垂直に導かれた(plumbed)。窒素ガスラインはOリング密封ステ ンレス鋼クイックコネクターによってガラス末端キャップに連絡された。水素ガ スラインとジュウテリウムガスラインとは同じコネクターを共有し、任意の一定 の時点ではこれらのガスの一方のみが接続されて、水素ラインとジュウテリウム ラインとの間での交差汚染の可能性を回避した。さらなる予防策として、ジュウ テリウムガスラインは液体窒素中に浸漬された銅管の連続コイルを含有して、さ もなくば炉中に水素を導入する恐れがある水分を除去した。アニーリングラン中 に、ガスはウェファサンプルを含有しない炉ゾーンを通って流れてから、ウェフ ァゾーンに入った。このようにして、ガスは予熱されたので、ウェファゾーン温 度を混乱させなかった。ウェファゾーンを出た後に、ガスは反対側末端キャップ 上の取付け部品を通って流出し、Matheson P6−1000シリーズ流 量計を通過した(0.1〜2.0標準リットル/分(SLPM)範囲)。流量計 後に、ガスは標準フードベント(hood vent)を通って排出された。2.アニーリングラン 全てのランにおいて、窒素ガス流は0.55SLPMに設定された。約10容 量%の水素又はジュウテリウムガスを含有する雰囲気を得るために、窒素又はジ ュウテリウムガス調節器を開放することによって、約0.61SLPMに加圧を 強化した。第1ランでは、ウェファサンブルを窒素中10%ジュウテリウムの雰 囲気中で約1時間アニーリングした。温度は約400℃に維持した。第2ランで は、ウェファサンブルを窒素中10容量%水素の雰囲気中で、約400℃の温度 において、約1時間アニーリングした。得られたウェファ上のデバイスに対して 、電気応力試験をおこなった。特に、ピーク基板電流条件において異なるゲート 長さ(0.5μm〜15μm)を有するトランジスタ一上で、促進ホットキャリア DC応力実験をおこなった。印加応力電圧はVDS=5Vと、VGS〜2Vであった 。 応力前のトランジスター測定値は、水素中で焼結されたデバイスとジュウテリウ ム中で焼結されたデバイスとが同じ電気的特性(例えば、相互コンダクタンス、 しきい電圧、閾値以下勾配(subthreshold-slope)、飽和電流等)を有することを 実証する。 図2は、0.5μm〜0.7μmの範囲の5種類のゲート長さを有するNMO Sトランジスターに関する応力時間の関数としての相互コンダクタンス劣化を示 す。図3では、応力時間の関数としてのしきい電圧上昇が同じデバイスに関して 示される。見ることができるように、ジュウテリウム雰囲気中で焼結されたウェ ファは、チャンネルホットキャリア応力に対して劇的に高レベルのレジリエンス を示す。さらなる比較試験では、追加の約80個のトランジスターに同様に応力 を与えたところ、同様に強い傾向が観察された。これらの結果は、20%の相互 コンダクタンス劣化を実用寿命基準と見なすならば、ジュウテリウム雰囲気中で 焼結されたトランジスターが、水素中で焼結されたデバイスよりも10倍長い寿 命を有することを示す。しきい電圧の100mV(又は200mV)のシフトを 劣化基準と見なすならば、寿命の10倍の改良も推測される。 これまでの説明では、本発明を例示し、詳述したが、これは性質において実例 と見なすべきであり、限定と見なすべきでなく、好ましい実施態様のみが記載さ れていること、及び本発明の要旨に含まれる全ての変化及び改良が保護されるこ とが望ましいことは理解されるであろう。さらに、本明細書に挙げられた全ての 刊行物は当該技術分野の知識のレベルを示すものであり、あたかも各々が個別に 参考文献として包含され、完全に記載されているかのごとく、本明細書に援用さ れる。
【手続補正書】 【提出日】1998年7月21日(1998.7.21) 【補正内容】 請求の範囲 1.半導体デバイスの処理方法であって、前記デバイスをジュウテリウムで 不動態化することを含む上記方法。 2.前記半導体デバイスがシリコンを含む、請求項1記載の方法。 3.前記不動態化が前記デバイスを加熱されたジュウテリウムガス富化雰囲 気にさらす工程を含む、請求項1記載の方法。 4.前記不動態化が、原子状又はイオン状ジュウテリウムを前記デバイス中 に注入する工程と;前記デバイスを加熱する工程とを含む、請求項1記載の方法 。 5.前記デバイスがシリコン層と;前記シリコン層に隣接した絶縁層とを含 む、請求項1記載の方法。 6.前記半導体デバイスが複数の活性要素を含む、請求項1記載の方法。 7.前記ジュウテリウム富化雰囲気がジュウテリウムガスと1種類以上の不 活性ガスとを含む、請求項3記載の方法。 8.前記雰囲気が1容量%〜100容量%のジュウテリウムガスを含む、請 求項7記載の方法。 9.前記雰囲気がジュウテリウムガスと、水素、窒素、アルゴン及びヘリウ ムガスのうちの1種類以上とを含む、請求項8記載の方法。 10.前記絶縁層がケイ素の酸化物又は窒化物を含む、請求項5記載の方法 。 11.前記デバイスが前記絶縁層に隣接した導電層をも包含する、請求項7 記載の方法。 12.前記デバイスを少なくとも約200℃の温度において加熱することを 含む、請求項3記載の方法。 13.前記デバイスを少なくとも200℃の温度において加熱することを含 む、請求項4記載の方法。 14.前記デバイス上にジュウテリウム富化雰囲気を流しながら、前記デバ イスを約200℃〜約1000℃の温度において加熱することを含む、請求項1 2記載の方法。 15.ジュウテリウムによって不動態化された半導体デバイス。 16.周期律表のIII族、IV族又はV族からの1種類以上の元素を含有 する半導体層を含む、請求項15記載のデバイス。 17.本質的に、シリコン又はヒ化ガリウムから成る半導体層を含む、請求 項15記載のデバイス。 18.半導体シリコン層と;前記半導体層に隣接した絶縁層とを包含する、 請求項16記載のデバイス。 19.前記絶縁層がケイ素の酸化物又は窒化物を含む、請求項18記載のデ バイス。 20.絶縁層に隣接した導電層を包含する、請求項19記載のデバイス。 21.前記導電層が金属、ポリシリコン、窒化チタン又はケイ化金属を含む 、請求項20記載のデバイス。 22.前記導電層がアルミニウム、金及び銅から選択される金属;ケイ化タ ングステン、ケイ化モリブデン、ケイ化タンタル、ケイ化ニッケル及びケイ化コ バルト若しくはこれらの組合せから選択されるケイ化金属;ポリシリコン;又は 窒化チタンを含む、請求項21記載のデバイス。 23.III族、IV族又はV族元素又はこれらの混合物を含む半導体層と ;前記半導体層に隣接する絶縁層とを含む半導体デバイスであって、 前記デバイスのホットキャリア効果に対するレジリエンスを高めるために前記 III族、IV族又はV族元素の原子にジュウテリウム原子が共有結合している 上記デバイス。 24.前記半導体層がシリコンであり、前記絶縁層がケイ素の酸化物又は窒 化物を含む、請求項23記載のデバイス。 25.前記絶縁層上の導電層をさらに含む、請求項24記載のデバイス。 26.金属酸化物半導体電界効果型トランジスターである、請求項25記載 のデバイス。 27.複数の活性要素を包含する、請求項25記載のデバイス。 28.半導体デバイスをコンディショニングして、ホットキャリア効果に対 するデバイスのレジリエンスを高める方法であって、 ホットキャリア効果を受ける前記デバイスの領域に原子状、分子状又はイオン 状のジュウテリウムを配置する工程と;前記デバイスを加熱する工程とを含む上 記方法。 29.前記デバイスが少なくとも1個の金属酸化物半導体電界効果型トラン ジスターを包含する、請求項28記載の方法。 30.前記デバイスが複数個の金属酸化物半導体電界効果型トランジスター を包含する、請求項29記載の方法。 31.前記デバイスが結晶質シリコン半導体層と;前記半導体層上の二酸化 ケイ素層と;前記二酸化ケイ素層上の導電層とを包含する、請求項28記載の方 法。 32.前記デバイスが窒化ケイ素層を包含する請求項28記載の方法であっ て、製造中の半導体デバイスの層内に分子状ジュウテリウムをトラッピングさせ ることを包含する上記方法。 33.ホットキャリア効果に対するレジリエンスを有する半導体デバイスの 操作方法であって、デバイスの半導体層及び絶縁層と相互作用するホットキャリ アを形成する条件下で半導体デバイスを操作することを含み、かつ、前記半導体 がジュウテリウムによって不動態化されている上記方法。 34.前記デバイスが複数個の金属酸化物半導体電界効果型トランジスター を包含する、請求項33記載の方法。 35.前記デバイスが結晶質シリコン半導体層と;前記半導体層上の二酸化 ケイ素層と;前記二酸化ケイ素層上の導電層とを包含する、請求項34記載の方 法。 36.ジュウテリウムによって不動態化された半導体デバイスと;前記半導 体デバイスを覆うカプセルとを含むカプセル化半導体ユニット。 37.前記半導体デバイスが複数個の金属酸化物半導体電界効果型トランジ スターを包含する、請求項36記載のカプセル化半導体ユニット。 38.前記デバイスが結晶質シリコン半導体層を包含する、請求項37記載の カプセル化半導体ユニット。 39.前記デバイスが前記半導体層上の二酸化ケイ素層と、前記二酸化ケイ 素層上の導電層とを包含する、請求項38記載のカプセル化半導体ユニット。 40.半導体シリコン層が半導体結晶質シリコン層である、請求項18記載 のデバイス。 41.金属酸化物半導体電界効果型トランジスターである、請求項40記載 のデバイス。 42.半導体シリコン層が半導体結晶質シリコン層である、請求項23記載 のデバイス。 43.金属酸化物半導体電界効果型トランジスターである、請求項42記載 のデバイス。 44.結晶質シリコン半導体層と絶縁層との間に界面を有する電界効果型ト ランジスターであって、前記界面において共有結合したジュウテリウム原子によ って不動態化されており、それによってホットキャリア効果に対して増強したレ ジリエンスを有する上記トランジスター。 45.絶縁層がケイ素の酸化物又は窒化物を含む、請求項44記載のトラン ジスター。 46.絶縁層がケイ素の酸化物を含む、請求項45記載のトランジスター。
───────────────────────────────────────────────────── フロントページの続き (81)指定国 EP(AT,BE,CH,DE, DK,ES,FI,FR,GB,GR,IE,IT,L U,MC,NL,PT,SE),OA(BF,BJ,CF ,CG,CI,CM,GA,GN,ML,MR,NE, SN,TD,TG),AP(KE,LS,MW,SD,S Z,UG),UA(AM,AZ,BY,KG,KZ,MD ,RU,TJ,TM),AL,AU,BA,BB,BG ,BR,CA,CN,CU,CZ,EE,GE,HU, IL,IS,JP,KP,KR,LC,LK,LR,L T,LV,MG,MK,MN,MX,NO,NZ,PL ,RO,RU,SG,SI,SK,TR,TT,UA, US,UZ,VN

Claims (1)

  1. 【特許請求の範囲】 1.半導体デバイスの処理方法であって、前記デバイスをジュウテリウムで 不動態化することを含む上記方法。 2.前記半導体デバイスがシリコンを含む、請求項1記載の方法。 3.前記不動態化が前記デバイスを加熱されたジュウテリウムガス富化雰囲 気にさらす工程を含む、請求項1記載の方法。 4.前記不動態化が、原子状又はイオン状ジュウテリウムを前記デバイス中 に注入する工程と;前記デバイスを加熱する工程とを含む、請求項1記載の方法 。 5.前記デバイスがシリコン層と;前記シリコン層に隣接した絶縁層とを含 む、請求項1記載の方法。 6.前記半導体デバイスが複数の活性要素を含む、請求項1記載の方法。 7.前記ジュウテリウム富化雰囲気がジュウテリウムガスと1種類以上の不 活性ガスとを含む、請求項3記載の方法。 8.前記雰囲気が1容量%〜100容量%のジュウテリウムガスを含む、請 求項7記載の方法。 9.前記雰囲気がジュウテリウムガスと、水素、窒素、アルゴン及びヘリウ ムガスのうちの1種類以上とを含む、請求項5記載の方法。 10.前記絶縁層がケイ素の酸化物又は窒化物を含む、請求項5記載の方法 。 11.前記デバイスが前記絶縁層に隣接した導電層をも包含する、請求項7 記載の方法。 12.前記デバイスを少なくとも約200℃の温度において加熱することを 含む、請求項3記載の方法。 13.前記デバイスを少なくとも200℃の温度において加熱することを含 む、請求項4記載の方法。 14.前記デバイス上にジュウテリウム富化雰囲気を流しながら、前記デバ イスを約200℃〜約1000℃の温度において加熱することを含む、請求項1 2記載の方法。 15.ジュウテリウムによって不動態化された半導体デバイス。 16.周期律表のIII族、IV族又はV族からの1種類以上の元素を含有 する半導体を含む、請求項15記載のデバイス。 17.本質的に、シリコン又はヒ化ガリウムから成る半導体を含む、請求項 15記載のデバイス。 18.半導体シリコン層と;前記半導体層に隣接した絶縁層とを包含する、 請求項16記載のデバイス。 19.前記絶縁層がケイ素の酸化物又は窒化物を含む、請求項18記載のデ バイス。 20.絶縁層に隣接した導電層を包含する、請求項19記載のデバイス。 21.前記導電層が金属、ポリシリコン、窒化チタン又はケイ化金属を含む 、請求項20記載のデバイス。 22.前記導電層がアルミニウム、金及び銅から選択される金属;ケイ化タ ングステン、ケイ化モリブデン、ケイ化タンタル、ケイ化ニッケル及びケイ化コ バルト若しくはこれらの組合せから選択されるケイ化金属;ポリシリコン;又は 窒化チタンを含む、請求項21記載のデバイス。 23.III族、IV族又はV族元素又はこれらの混合物を含む半導体層と ;前記半導体層に隣接する絶縁層とを含む半導体デバイスであって、 前記デバイスのホットキャリア効果に対するレジリエンスを高めるために前記 III族、IV族又はV族元素の原子にジュウテリウム原子が共有結合している 上記デバイス。 24.前記半導体層がシリコンであり、前記絶縁層がケイ素の酸化物又は窒 化物を含む、請求項23記載のデバイス。 25.前記絶縁層上の導電層をさらに含む、請求項24記載のデバイス。 26.金属酸化物半導体電界効果型トランジスターである、請求項25記載 のデバイス。 27.複数の活性要素を包含する、請求項25記載のデバイス。 28.半導体デバイスをコンディショニングして、ホットキャリア効果に対 するデバイスのレジリエンスを高める方法であって、 ホットキャリア効果を受ける前記デバイスの領域に原子状、分子状又はイオン 状のジュウテリウムを配置する工程と;前記デバイスを加熱する工程とを含む上 記方法。 29.前記デバイスが少なくとも1個の金属酸化物半導体電界効果型トラン ジスターを包含する、請求項28記載の方法。 30.前記デバイスが複数個の金属酸化物半導体電界効果型トランジスター を包含する、請求項29記載の方法。 31.前記デバイスが結晶質シリコン半導体層と;前記半導体層上の二酸化 ケイ素層と;前記二酸化ケイ素層上の導電層とを包含する、請求項28記載の方 法。 32.前記デバイスが窒化ケイ素層を包含する請求項28記載の方法であっ て、製造中の半導体デバイスの層内に分子状ジュウテリウムをトラッピングさせ ることを包含する上記方法。 33.ホットキャリア効果に対するレジリエンスを有する半導体デバイスの 操作方法であって、デバイスの半導体層及び絶縁層と相互作用するホットキャリ アを形成する条件下で半導体デバイスを操作することを含み、かつ、前記半導体 がジュウテリウムによって不動態化されている上記方法。 34.前記デバイスが複数個の金属酸化物半導体電界効果型トランジスター を包含する、請求項33記載の方法。 35.前記デバイスが結晶質シリコン半導体層と;前記半導体層上の二酸化 ケイ素層と;前記二酸化ケイ素層上の導電層とを包含する、請求項34記載の方 法。 36.ジュウテリウムによって不動態化された半導体デバイスと;前記半導 体デバイスを覆うカプセルとを含むカプセル化半導体ユニット。 37.前記半導体デバイスが複数個の金属酸化物半導体電界効果型トランジ スターを包含する、請求項36記載の方法。 38.前記デバイスが結晶質シリコン半導体層を包含する、請求項37記載 の方法。 39.前記デバイスが前記半導体層上の二酸化ケイ素層と、前記二酸化ケイ 素層上の導電層とを包含する、請求項38記載の方法。
JP9526145A 1996-01-16 1997-01-16 半導体デバイスと、同デバイスの製造方法 Withdrawn JP2000503479A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US08/586,411 US5872387A (en) 1996-01-16 1996-01-16 Deuterium-treated semiconductor devices
US08/586,411 1996-01-16
PCT/US1997/000629 WO1997026676A1 (en) 1996-01-16 1997-01-16 Semiconductor devices, and methods for same

Publications (1)

Publication Number Publication Date
JP2000503479A true JP2000503479A (ja) 2000-03-21

Family

ID=24345606

Family Applications (1)

Application Number Title Priority Date Filing Date
JP9526145A Withdrawn JP2000503479A (ja) 1996-01-16 1997-01-16 半導体デバイスと、同デバイスの製造方法

Country Status (7)

Country Link
US (4) US5872387A (ja)
EP (1) EP0875074A4 (ja)
JP (1) JP2000503479A (ja)
KR (1) KR100484340B1 (ja)
AU (1) AU1579697A (ja)
CA (1) CA2243170A1 (ja)
WO (1) WO1997026676A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012186490A (ja) * 2012-05-07 2012-09-27 National Institute Of Advanced Industrial & Technology 半導体装置及び半導体基板の重水素処理装置

Families Citing this family (103)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5872387A (en) 1996-01-16 1999-02-16 The Board Of Trustees Of The University Of Illinois Deuterium-treated semiconductor devices
US20020031920A1 (en) 1996-01-16 2002-03-14 Lyding Joseph W. Deuterium treatment of semiconductor devices
US6077791A (en) * 1996-12-16 2000-06-20 Motorola Inc. Method of forming passivation layers using deuterium containing reaction gases
US5982020A (en) * 1997-04-28 1999-11-09 Lucent Technologies Inc. Deuterated bipolar transistor and method of manufacture thereof
US6071751A (en) * 1997-04-28 2000-06-06 Texas Instruments Incorporated Deuterium sintering with rapid quenching
US6252270B1 (en) 1997-04-28 2001-06-26 Agere Systems Guardian Corp. Increased cycle specification for floating-gate and method of manufacture thereof
US6025280A (en) * 1997-04-28 2000-02-15 Lucent Technologies Inc. Use of SiD4 for deposition of ultra thin and controllable oxides
US5972765A (en) * 1997-07-16 1999-10-26 International Business Machines Corporation Use of deuterated materials in semiconductor processing
US6328801B1 (en) * 1997-07-25 2001-12-11 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method and system for recovering and recirculating a deuterium-containing gas
US6221705B1 (en) * 1997-07-28 2001-04-24 Texas Instruments Incorporated Method for improving performance and reliability of MOS technologies and data retention characteristics of flash memory cells
US6017806A (en) * 1997-07-28 2000-01-25 Texas Instruments Incorporated Method to enhance deuterium anneal/implant to reduce channel-hot carrier degradation
US6143634A (en) * 1997-07-28 2000-11-07 Texas Instruments Incorporated Semiconductor process with deuterium predominance at high temperature
US6114734A (en) * 1997-07-28 2000-09-05 Texas Instruments Incorporated Transistor structure incorporating a solid deuterium source for gate interface passivation
US6156653A (en) 1997-11-03 2000-12-05 Zilog, Inc. Method of fabricating a MOS device
US6143632A (en) * 1997-12-18 2000-11-07 Advanced Micro Devices, Inc. Deuterium doping for hot carrier reliability improvement
US6100204A (en) * 1998-07-28 2000-08-08 Advanced Micro Devices, Inc. Method of making ultra thin gate oxide using aluminum oxide
KR20000021246A (ko) * 1998-09-28 2000-04-25 김영환 중수 또는 중수소를 이용한 반도체 소자용 절연막의 형성방법
US6218245B1 (en) * 1998-11-24 2001-04-17 Advanced Micro Devices, Inc. Method for fabricating a high-density and high-reliability EEPROM device
KR20010083771A (ko) * 1998-12-28 2001-09-01 와다 다다시 실리콘 웨이퍼의 열처리 방법 및 실리콘 웨이퍼
US6674151B1 (en) * 1999-01-14 2004-01-06 Agere Systems Inc. Deuterium passivated semiconductor device having enhanced immunity to hot carrier effects
KR20000067657A (ko) * 1999-04-30 2000-11-25 김효근 사중수소실리콘을 이용한 반도체 소자용 폴리실리콘 게이트 증착방법
US6365511B1 (en) 1999-06-03 2002-04-02 Agere Systems Guardian Corp. Tungsten silicide nitride as a barrier for high temperature anneals to improve hot carrier reliability
US6281110B1 (en) 1999-07-27 2001-08-28 Lucent Technologies Inc. Method for making an integrated circuit including deutrium annealing of metal interconnect layers
US6521977B1 (en) * 2000-01-21 2003-02-18 International Business Machines Corporation Deuterium reservoirs and ingress paths
US6559007B1 (en) * 2000-04-06 2003-05-06 Micron Technology, Inc. Method for forming flash memory device having a tunnel dielectric comprising nitrided oxide
GB2370416A (en) * 2000-07-25 2002-06-26 Agere Syst Guardian Corp Hydrogenation of dangling bonds at a gate oxide/semiconductor interface
US6797644B2 (en) * 2000-08-01 2004-09-28 Texas Instruments Incorporated Method to reduce charge interface traps and channel hot carrier degradation
US6544908B1 (en) * 2000-08-30 2003-04-08 Micron Technology, Inc. Ammonia gas passivation on nitride encapsulated devices
JP2002076336A (ja) * 2000-09-01 2002-03-15 Mitsubishi Electric Corp 半導体装置およびsoi基板
JP3893608B2 (ja) * 2000-09-21 2007-03-14 信越半導体株式会社 アニールウェーハの製造方法
WO2002035265A2 (en) * 2000-10-20 2002-05-02 Corning Incorporated Using deuterated source gases to fabricate low loss germanium-doped silicon oxy nitride (gestion-sion)
US6579630B2 (en) 2000-12-07 2003-06-17 Canon Kabushiki Kaisha Deuterated semiconducting organic compounds used for opto-electronic devices
US6576522B2 (en) 2000-12-08 2003-06-10 Agere Systems Inc. Methods for deuterium sintering
US6603181B2 (en) * 2001-01-16 2003-08-05 International Business Machines Corporation MOS device having a passivated semiconductor-dielectric interface
JP4091265B2 (ja) * 2001-03-30 2008-05-28 株式会社東芝 半導体装置及びその製造方法
US6921743B2 (en) * 2001-04-02 2005-07-26 The Procter & Gamble Company Automatic dishwashing compositions containing a halogen dioxide salt and methods for use with electrochemical cells and/or electrolytic devices
USH2128H1 (en) * 2001-06-21 2005-10-04 The United States Of America As Represented By The Secretary Of The Air Force Radiation hardened microcircuits
US6614977B2 (en) 2001-07-12 2003-09-02 Little Optics, Inc. Use of deuterated gases for the vapor deposition of thin films for low-loss optical devices and waveguides
US7043133B2 (en) * 2001-07-12 2006-05-09 Little Optics, Inc. Silicon-oxycarbide high index contrast, low-loss optical waveguides and integrated thermo-optic devices
US6677213B1 (en) * 2002-03-08 2004-01-13 Cypress Semiconductor Corp. SONOS structure including a deuterated oxide-silicon interface and method for making the same
US6762131B2 (en) * 2002-04-13 2004-07-13 The Board Of Trustees Of The University Of Illinois Method for large-scale fabrication of atomic-scale structures on material surfaces using surface vacancies
US6969618B2 (en) * 2002-08-23 2005-11-29 Micron Technology, Inc. SOI device having increased reliability and reduced free floating body effects
US6833575B2 (en) * 2002-08-29 2004-12-21 Micron Technology, Inc. Dopant barrier for doped glass in memory devices
KR100515054B1 (ko) * 2002-11-19 2005-09-14 삼성전자주식회사 씨모스 반도체 소자 및 그 형성방법
US7471941B2 (en) * 2002-12-02 2008-12-30 Broadcom Corporation Amplifier assembly including variable gain amplifier, parallel programmable amplifiers, and AGC
US6943126B1 (en) * 2002-12-06 2005-09-13 Cypress Semiconductor Corporation Deuterium incorporated nitride
KR100482751B1 (ko) * 2002-12-27 2005-04-14 주식회사 하이닉스반도체 반도체 소자의 제조 방법
US6949433B1 (en) 2003-02-07 2005-09-27 Fasl Llc Method of formation of semiconductor resistant to hot carrier injection stress
US6861320B1 (en) * 2003-04-04 2005-03-01 Silicon Wafer Technologies, Inc. Method of making starting material for chip fabrication comprising a buried silicon nitride layer
US6740605B1 (en) * 2003-05-05 2004-05-25 Advanced Micro Devices, Inc. Process for reducing hydrogen contamination in dielectric materials in memory devices
US20040256671A1 (en) * 2003-06-17 2004-12-23 Kuo-Tai Huang Metal-oxide-semiconductor transistor with selective epitaxial growth film
US6881636B2 (en) * 2003-07-03 2005-04-19 Micron Technology, Inc. Methods of forming deuterated silicon nitride-containing materials
JP4458527B2 (ja) * 2003-11-20 2010-04-28 セイコーエプソン株式会社 ゲート絶縁膜、半導体素子、電子デバイスおよび電子機器
US6955965B1 (en) 2003-12-09 2005-10-18 Fasl, Llc Process for fabrication of nitride layer with reduced hydrogen content in ONO structure in semiconductor device
US6949481B1 (en) 2003-12-09 2005-09-27 Fasl, Llc Process for fabrication of spacer layer with reduced hydrogen content in semiconductor device
JP2005203730A (ja) * 2003-12-18 2005-07-28 Seiko Epson Corp 絶縁膜、半導体素子、電子デバイスおよび電子機器
CN100464427C (zh) * 2003-12-18 2009-02-25 精工爱普生株式会社 评估栅极绝缘膜的特性的方法
US7005333B2 (en) * 2003-12-30 2006-02-28 Infineon Technologies Ag Transistor with silicon and carbon layer in the channel region
US7002224B2 (en) * 2004-02-03 2006-02-21 Infineon Technologies Ag Transistor with doped gate dielectric
JP2005260177A (ja) * 2004-03-15 2005-09-22 Toshiba Corp 半導体装置の製造方法
US7094671B2 (en) * 2004-03-22 2006-08-22 Infineon Technologies Ag Transistor with shallow germanium implantation region in channel
US7087507B2 (en) * 2004-05-17 2006-08-08 Pdf Solutions, Inc. Implantation of deuterium in MOS and DRAM devices
US8035142B2 (en) * 2004-07-08 2011-10-11 Micron Technology, Inc. Deuterated structures for image sensors and methods for forming the same
US7041543B1 (en) * 2004-08-20 2006-05-09 Novellus Systems, Inc. Strained transistor architecture and method
US7253020B2 (en) * 2005-01-04 2007-08-07 Omnivision Technologies, Inc Deuterium alloy process for image sensors
JP2006222156A (ja) * 2005-02-08 2006-08-24 Toshiba Corp 有機膜加工方法
US20060270192A1 (en) * 2005-05-24 2006-11-30 International Business Machines Corporation Semiconductor substrate and device with deuterated buried layer
US7378335B2 (en) * 2005-11-29 2008-05-27 Varian Semiconductor Equipment Associates, Inc. Plasma implantation of deuterium for passivation of semiconductor-device interfaces
US7615433B2 (en) * 2005-12-15 2009-11-10 Chartered Semiconductor Manufacturing, Ltd. Double anneal with improved reliability for dual contact etch stop liner scheme
US20070187386A1 (en) * 2006-02-10 2007-08-16 Poongsan Microtec Corporation Methods and apparatuses for high pressure gas annealing
US7459403B1 (en) * 2006-05-01 2008-12-02 The United States Of America As Represented By The Secretary Of The Air Force Method for reducing device and circuit sensitivity to electrical stress and radiation induced aging
US20070259500A1 (en) * 2006-05-05 2007-11-08 International Business Machines Corporation Structure Having Isolation Structure Including Deuterium Within A Substrate And Related Method
US20080054361A1 (en) * 2006-08-30 2008-03-06 Infineon Technologies Ag Method and apparatus for reducing flicker noise in a semiconductor device
US20090179253A1 (en) 2007-05-25 2009-07-16 Cypress Semiconductor Corporation Oxide-nitride-oxide stack having multiple oxynitride layers
US8643124B2 (en) 2007-05-25 2014-02-04 Cypress Semiconductor Corporation Oxide-nitride-oxide stack having multiple oxynitride layers
US8067284B1 (en) 2007-05-25 2011-11-29 Cypress Semiconductor Corporation Oxynitride bilayer formed using a precursor inducing a high charge trap density in a top layer of the bilayer
US8940645B2 (en) 2007-05-25 2015-01-27 Cypress Semiconductor Corporation Radical oxidation process for fabricating a nonvolatile charge trap memory device
US9449831B2 (en) 2007-05-25 2016-09-20 Cypress Semiconductor Corporation Oxide-nitride-oxide stack having multiple oxynitride layers
US8633537B2 (en) 2007-05-25 2014-01-21 Cypress Semiconductor Corporation Memory transistor with multiple charge storing layers and a high work function gate electrode
US9018693B2 (en) 2007-07-20 2015-04-28 Cypress Semiconductor Corporation Deuterated film encapsulation of nonvolatile charge trap memory device
US8536640B2 (en) 2007-07-20 2013-09-17 Cypress Semiconductor Corporation Deuterated film encapsulation of nonvolatile charge trap memory device
US20090162970A1 (en) * 2007-12-20 2009-06-25 Yang Michael X Material modification in solar cell fabrication with ion doping
KR100995141B1 (ko) 2008-03-28 2010-11-18 주식회사 하이닉스반도체 반도체소자의 듀얼 폴리 게이트 형성방법
US8088683B2 (en) * 2008-03-31 2012-01-03 Cypress Semiconductor Corporation Sequential deposition and anneal of a dielectic layer in a charge trapping memory device
TW201239849A (en) * 2011-03-24 2012-10-01 Hannstar Display Corp Pixel circuit of light emitting diode display and driving method thereof
US8778448B2 (en) 2011-07-21 2014-07-15 International Business Machines Corporation Method of stabilizing hydrogenated amorphous silicon and amorphous hydrogenated silicon alloys
US9250178B2 (en) * 2011-10-07 2016-02-02 Kla-Tencor Corporation Passivation of nonlinear optical crystals
US8685813B2 (en) 2012-02-15 2014-04-01 Cypress Semiconductor Corporation Method of integrating a charge-trapping gate stack into a CMOS flow
EP2845273B1 (en) 2012-04-30 2016-06-08 Tubitak Methods for producing new silicon light source and devices
CN106571287A (zh) * 2015-10-12 2017-04-19 上海新昇半导体科技有限公司 外延层的形成方法
CN106571303B (zh) * 2015-10-13 2018-05-04 上海新昇半导体科技有限公司 半导体结构及其形成方法
CN106601681A (zh) * 2015-10-20 2017-04-26 上海新昇半导体科技有限公司 Cmos结构及其制备方法
CN107154379B (zh) 2016-03-03 2020-01-24 上海新昇半导体科技有限公司 绝缘层上顶层硅衬底及其制造方法
CN107151818A (zh) 2016-03-03 2017-09-12 上海新昇半导体科技有限公司 单晶硅的生长方法及其制备的单晶硅锭
CN107154354B (zh) 2016-03-03 2020-12-11 上海新昇半导体科技有限公司 晶圆热处理的方法
CN107154378B (zh) 2016-03-03 2020-11-20 上海新昇半导体科技有限公司 绝缘层上顶层硅衬底及其制造方法
CN107154347B (zh) 2016-03-03 2020-11-20 上海新昇半导体科技有限公司 绝缘层上顶层硅衬底及其制造方法
US10504735B2 (en) * 2017-09-29 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming a semiconductor device by high-pressure anneal and post-anneal treatment
US10566446B2 (en) 2018-05-30 2020-02-18 Globalfoundries Inc. Mitigation of hot carrier damage in field-effect transistors
US11508584B2 (en) 2019-06-17 2022-11-22 Applied Materials, Inc. Deuterium-containing films
WO2021026049A1 (en) * 2019-08-02 2021-02-11 The Research Foundation For Suny Semiconducting devices, back end of line portions for semiconducting devices, and dielectric materials incorporating deuterium
KR20220023613A (ko) 2020-08-21 2022-03-02 에스케이하이닉스 주식회사 반도체 장치 및 반도체 장치의 제조 방법
KR102451643B1 (ko) * 2020-10-26 2022-10-11 티이엠씨 주식회사 이온 주입용 가스 혼합물.

Family Cites Families (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3095564B2 (ja) * 1992-05-29 2000-10-03 株式会社東芝 半導体装置及び半導体装置の製造方法
US3849204A (en) * 1973-06-29 1974-11-19 Ibm Process for the elimination of interface states in mios structures
US4027380A (en) * 1974-06-03 1977-06-07 Fairchild Camera And Instrument Corporation Complementary insulated gate field effect transistor structure and process for fabricating the structure
US3923559A (en) * 1975-01-13 1975-12-02 Bell Telephone Labor Inc Use of trapped hydrogen for annealing metal-oxide-semiconductor devices
US4212100A (en) * 1977-09-23 1980-07-15 Mos Technology, Inc. Stable N-channel MOS structure
US4151007A (en) * 1977-10-11 1979-04-24 Bell Telephone Laboratories, Incorporated Hydrogen annealing process for stabilizing metal-oxide-semiconductor structures
US4113514A (en) * 1978-01-16 1978-09-12 Rca Corporation Method of passivating a semiconductor device by treatment with atomic hydrogen
NL7901139A (nl) * 1978-02-13 1979-08-15 Dearnaley G Werkwijze voor de vervaardiging van uit galliumarsenide bestaande inrichtingen.
US4239554A (en) * 1978-07-17 1980-12-16 Shunpei Yamazaki Semiconductor photoelectric conversion device
FR2461359A1 (fr) * 1979-07-06 1981-01-30 Commissariat Energie Atomique Procede et appareil d'hydrogenation de dispositifs a semi-conducteurs
US4352835A (en) * 1981-07-01 1982-10-05 Western Electric Co., Inc. Masking portions of a substrate
US4435896A (en) * 1981-12-07 1984-03-13 Bell Telephone Laboratories, Incorporated Method for fabricating complementary field effect transistor devices
NL8201409A (nl) * 1982-04-02 1983-11-01 Philips Nv Halfgeleiderlaser en werkwijze ter vervaardiging ervan.
US5162892A (en) * 1983-12-24 1992-11-10 Sony Corporation Semiconductor device with polycrystalline silicon active region and hydrogenated passivation layer
US4620211A (en) * 1984-08-13 1986-10-28 General Electric Company Method of reducing the current gain of an inherent bipolar transistor in an insulated-gate semiconductor device and resulting devices
US4796081A (en) * 1986-05-02 1989-01-03 Advanced Micro Devices, Inc. Low resistance metal contact for silicon devices
FR2604828B1 (fr) * 1986-10-06 1988-12-23 Centre Nat Rech Scient Procede de fabrication d'une diode p+nn+ et d'un transistor bipolaire comportant cette diode, utilisant l'effet de neutralisation des atomes donneurs par l'hydrogene atomique
FR2635611B1 (fr) * 1988-08-18 1990-10-19 Centre Nat Rech Scient Procede de neutralisation des atomes accepteurs dans inp de type p
US5254506A (en) * 1988-12-20 1993-10-19 Matsushita Electric Industrial Co., Ltd. Method for the production of silicon oxynitride film where the nitrogen concentration at the wafer-oxynitride interface is 8 atomic precent or less
US5264724A (en) * 1989-02-13 1993-11-23 The University Of Arkansas Silicon nitride for application as the gate dielectric in MOS devices
US4962065A (en) * 1989-02-13 1990-10-09 The University Of Arkansas Annealing process to stabilize PECVD silicon nitride for application as the gate dielectric in MOS devices
US5198880A (en) * 1989-06-22 1993-03-30 Kabushiki Kaisha Toshiba Semiconductor integrated circuit and method of making the same
US4992840A (en) * 1989-09-21 1991-02-12 Hewlett-Packard Company Carbon doping MOSFET substrate to suppress hit electron trapping
JPH0650738B2 (ja) * 1990-01-11 1994-06-29 株式会社東芝 半導体装置及びその製造方法
US5179029A (en) * 1990-02-07 1993-01-12 At&T Bell Laboratories Hydrogen plasma passivation of GaAs
AU632241B2 (en) * 1990-09-06 1992-12-17 Mitsui Toatsu Chemicals Inc. Amorphous silicon solar cell and method for manufacturing the same
DE69211329T2 (de) * 1992-03-27 1996-11-28 Ibm Verfahren zum Herstellen von pseudo-planaren Dünnschicht PFET-Anordnungen und hierdurch erzeugte Struktur
EP0685115A1 (en) * 1993-02-19 1995-12-06 National Semiconductor Corporation Semiconductor device comprising deuterium atoms
JPH07142743A (ja) * 1993-09-22 1995-06-02 Sharp Corp 薄膜トランジスタの製造方法
JP3761918B2 (ja) * 1994-09-13 2006-03-29 株式会社東芝 半導体装置の製造方法
JP2738315B2 (ja) * 1994-11-22 1998-04-08 日本電気株式会社 薄膜トランジスタおよびその製造方法
US5822175A (en) * 1995-04-13 1998-10-13 Matsushita Electronics Corporation Encapsulated capacitor structure having a dielectric interlayer
US5571339A (en) * 1995-04-17 1996-11-05 The Ohio State Univ. Research Found Hydrogen passivated heteroepitaxial III-V photovoltaic devices grown on lattice-mismatched substrates, and process
US5514628A (en) * 1995-05-26 1996-05-07 Texas Instruments Incorporated Two-step sinter method utilized in conjunction with memory cell replacement by redundancies
US5872387A (en) * 1996-01-16 1999-02-16 The Board Of Trustees Of The University Of Illinois Deuterium-treated semiconductor devices
US5711998A (en) * 1996-05-31 1998-01-27 Lam Research Corporation Method of polycrystalline silicon hydrogenation
US5885896A (en) * 1996-07-08 1999-03-23 Micron Technology, Inc. Using implants to lower anneal temperatures
US5830575A (en) * 1996-09-16 1998-11-03 Sandia National Laboratories Memory device using movement of protons
US5744202A (en) * 1996-09-30 1998-04-28 Xerox Corporation Enhancement of hydrogenation of materials encapsulated by an oxide
US6023093A (en) * 1997-04-28 2000-02-08 Lucent Technologies Inc. Deuterated direlectric and polysilicon film-based semiconductor devices and method of manufacture thereof
US5972765A (en) * 1997-07-16 1999-10-26 International Business Machines Corporation Use of deuterated materials in semiconductor processing
US6328801B1 (en) * 1997-07-25 2001-12-11 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method and system for recovering and recirculating a deuterium-containing gas

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012186490A (ja) * 2012-05-07 2012-09-27 National Institute Of Advanced Industrial & Technology 半導体装置及び半導体基板の重水素処理装置

Also Published As

Publication number Publication date
US6888204B1 (en) 2005-05-03
AU1579697A (en) 1997-08-11
US5872387A (en) 1999-02-16
EP0875074A1 (en) 1998-11-04
US6147014A (en) 2000-11-14
US6444533B1 (en) 2002-09-03
CA2243170A1 (en) 1997-07-24
KR100484340B1 (ko) 2005-08-24
WO1997026676A1 (en) 1997-07-24
KR19990077255A (ko) 1999-10-25
EP0875074A4 (en) 2000-01-12

Similar Documents

Publication Publication Date Title
JP2000503479A (ja) 半導体デバイスと、同デバイスの製造方法
JP5159609B2 (ja) 高性能CMOS用途のためのHfドープされた極薄の酸窒化シリコン膜及び製造方法
US6509283B1 (en) Thermal oxidation method utilizing atomic oxygen to reduce dangling bonds in silicon dioxide grown on silicon
JP3737277B2 (ja) 半導体デバイスを製造する方法
US4331709A (en) Process of reducing density of fast surface states in MOS devices
US7727340B2 (en) Inclusion of nitrogen at the silicon dioxide-silicon carbide interface for passivation of interface defects
JP3373785B2 (ja) ホット・エレクトロン効果に耐性の半導体構造体の製造方法
Pollack et al. Hydrogen passivation of polysilicon MOSFET's from a plasma nitride source
JPH08507175A (ja) 重水素原子を有する半導体デバイス
JP3593340B2 (ja) 集積回路デバイスの製造方法
JP2005539367A (ja) 半導体基盤の紫外線増強性酸窒化
US5830802A (en) Process for reducing halogen concentration in a material layer during semiconductor device fabrication
US6576522B2 (en) Methods for deuterium sintering
JP3753994B2 (ja) 半導体装置の製造方法
JP4299393B2 (ja) 半導体装置の製造方法
JPH0823095A (ja) 半導体装置及びその製造方法
JP3437111B2 (ja) 半導体装置の製造方法
JPH1197439A (ja) 半導体装置及びその製造方法
JPH10321620A (ja) 窒化酸化膜およびその形成方法
KR100312017B1 (ko) Nd₃을 이용한 반도체 소자용 극박막 절연막의 형성공정
EP1936670A2 (en) Method to improve the Selective Epitaxial Growth (SEG) Process
JP3232008B2 (ja) 窒化酸化膜の形成方法
Lim et al. Improvements in electrical characteristics of plasma enhanced chemical vapor deposition-Tetraethylorthosilicate–SiO2 by atomic hydrogen passivation via hot-wire technique
JP2002134747A (ja) ホットキャリアエージング緩和のための低温プロセス
JPH02181426A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20040114

A761 Written withdrawal of application

Free format text: JAPANESE INTERMEDIATE CODE: A761

Effective date: 20061122