JP5159609B2 - 高性能CMOS用途のためのHfドープされた極薄の酸窒化シリコン膜及び製造方法 - Google Patents

高性能CMOS用途のためのHfドープされた極薄の酸窒化シリコン膜及び製造方法 Download PDF

Info

Publication number
JP5159609B2
JP5159609B2 JP2008507818A JP2008507818A JP5159609B2 JP 5159609 B2 JP5159609 B2 JP 5159609B2 JP 2008507818 A JP2008507818 A JP 2008507818A JP 2008507818 A JP2008507818 A JP 2008507818A JP 5159609 B2 JP5159609 B2 JP 5159609B2
Authority
JP
Japan
Prior art keywords
layer
metal
gate dielectric
gate
dielectric layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2008507818A
Other languages
English (en)
Other versions
JP2008538655A5 (ja
JP2008538655A (ja
Inventor
チュー、ウェンチュアン
チャジック、マイケル、ピー
グルチェンコフ、オレグ
パーク、デギュ
章久 関口
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2008538655A publication Critical patent/JP2008538655A/ja
Publication of JP2008538655A5 publication Critical patent/JP2008538655A5/ja
Application granted granted Critical
Publication of JP5159609B2 publication Critical patent/JP5159609B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28097Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a metallic silicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28229Making the insulator by deposition of a layer, e.g. metal, metal compound or poysilicon, followed by transformation thereof into an insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823857Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • H01L29/4975Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2 being a silicide layer, e.g. TiSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Composite Materials (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Formation Of Insulating Films (AREA)
  • Thin Film Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)

Description

本発明は、一般に、半導体デバイスに関し、より具体的には、CMOSデバイスの性能を向上させる極薄の高kゲート誘電体層を有するゲート・スタックを含む相補型金属酸化膜半導体電界効果トランジスタ(MOSFET)構造体に関する。本発明はさらに、極薄の高kゲート誘電体層を有するMOSFETゲート構造体を製造する方法に関する。
高性能CMOSデバイスにおいては、ゲート誘電体の反転キャパシタンスに基づく等価酸化膜厚(Tinv)は、将来技術のために16Åを下回る規模に縮小される必要がある。ゲート誘電体材料としての通常の酸窒化物は、その技術的な限界に達しつつある。
将来の技術世代においては、二酸化シリコン誘電体又は酸窒化シリコン誘電体の代わりにより高い誘電率を有するゲート材料を使うことが極めて望ましい。これらの材料は「高k」材料として知られており、この「高k」という用語は、誘電率が4.0より高い、好ましくは約7.0より高い絶縁材料を示す。本明細書で言及される誘電率は、特に指定のない限り、真空に対するものである。種々の可能性のあるものの中では、酸化ハフニウム、ハフニウム・シリケート、又は酸窒化ハフニウム・シリコンのような遷移金属酸化物、シリケート、又は酸窒化物が、高い誘電率及び相対的に低い電気リークにより、従来のゲート誘電体の代わりとして最も好適な置換候補となり得る。さらに、Hfベースの化合物は、他の通常の金属ベースの絶縁化合物に対して高温での優れた熱安定性を示し、それにより極めて好ましい。しかしながら、高kゲート誘電体材料及び関連するゲート構造体は、最新のMOSFETデバイスに有用な多数の特性を同時にもつものであるべきである。これらの特性の好適な組み合わせは、知られている高k材料、従来のゲート構造体、及び従来の製造方法では達成することが困難である。
第1に、上記で言及されたように、ゲートに逆バイアスをかけて、トランジスタ・チャネル内に大きな表面密度の可動反転電荷を生成するときには、好適な高k含有ゲート誘電体は「電気的に薄い」ものであるべきである。この「電気的に薄い」という用語は、単位面積当たりのキャパシタンスが高いことを示す。等価酸化膜厚、すなわち、Tinvに関しては、単位面積当たりの反転キャパシタンスを表すのが通例である。本発明の目的のためには、Tinvは、二酸化シリコンの絶対誘電率(〜0.345pF/cm)を単位面積当たりの反転キャパシタンスの測定値で割ったものと等しい。例えば、単位面積当たりの反転キャパシタンス約2.16×10―6F/cmは、約16ÅのTinvに相当する。そしてまた、ゲート誘電体の反転キャパシタンスは、誘電体材料自体のキャパシタンスと、有限サイズの可動キャリア波動関数と関連するいわゆる量子力学的キャパシタンスと、隣接するゲート電極のいわゆる空乏キャパシタンスとを含む幾つかの部分からなる。最新のMOSFETについてのTinvの反転キャパシタンス破壊は、以下のように、量子力学的な部分については約3−5Å、ゲート電極の空乏部分については約2−5Å、及びゲート誘電体材料自体については約12−14Åである。当業者であれば、平均厚さのkが約7の高k含有絶縁材料によって約16ÅのTinvを達成するためには、このような高k含有絶縁膜の物理的厚さの合計は、20Åより小さいものであるべきであることを認識するであろう。
第2に、「高k」材料化合物においては、金属酸素結合は、高誘電率(高k)をもたらす外部電界の下では容易に分極可能である。これらの結合のまさにその高い分極率が、高k材料中に存在するリモート・フォノンによるチャネル可動電荷の散乱をもたらす。結果として、トランジスタ駆動電流は、ゲート絶縁体における高k材料の存在によって大幅に減少する可能性がある。トランジスタ・チャネルへの高k膜の近接性は、このような極めて望ましくないリモート・フォノン散乱の量に関して大きな役割を果たすことも知られている。高k材料がチャネルに近づけば近づくほど、リモート・フォノン散乱は強くなる。したがって、トランジスタ・チャネル内のキャリア移動度を低下させることのない極薄の高k含有ゲート絶縁体を実現することは極めて困難である。
第3に、MOSFETのチャネル領域と、ゲート誘電体と、ゲート電極とを含むゲート構造体全体は、約0.1Vから約0.4Vまでの低いトランジスタしきい値電圧で最新のCMOS技術に対応することができるものであるべきである。望ましいしきい値電圧は、チャネル・ドーピングを変化させ、ゲート電極の正しい仕事関数を選択することによって実現することができるが、ゲート誘電体の界面及び誘電体自体の内部の両方における大きな固定電荷及び/又はトラップ電荷の存在によって、偶発的に変わる可能性がある。(「及び/又は」は両方またはいずれか一方の意味で用いる。)さらに、固定電荷及び/又はトラップ電荷の密度は、高温に曝すこと(例えば、1000℃の接合活性化アニール)などの種々のプロセスにより、又はFET動作中に、偶発的に変わる可能性がある。これに加えて、トランジスタ・チャネルの近傍の固定電荷又はトラップ電荷の高密度は、チャネル・キャリアの過度のクーロン散乱をもたらす可能性があり、チャネル移動度及びトランジスタ駆動電流を減少させる可能性がある。したがって、このような固定電荷及び/又はトラップ電荷の合計表面密度を最小にして、しきい値電圧及びチャネル移動度の望ましくないあらゆるシフトを防止することが極めて望ましい。一般的には、固定電荷及び/又はトラップ電荷の合計表面密度は、8×10−7C・cm−2より下であるべきであり、すなわち、帯電位置の表面数密度は、単一の帯電位置について約5×1012cm−2より下であるべきである。単位面積当たりの反転キャパシタンスが約2×10−6F・cm−2のゲート誘電体の場合には、8×10−7C・cm−2の電荷密度によって、しきい値電圧が約0.4Vだけシフトする。
例えば、図1(A)は、Si基板12が例えばSiO(又は酸窒化シリコン−SiON)を含むベース酸化物層14と、このベース酸化物の上に形成されたHfシリケート層(例えば、HfSi1−x)16とを有する、高kゲート・スタック10を製造するための従来の手法を示す。一般的に、HfSi1−x層は、化学気相堆積(CVD)プロセスによって、より具体的には、原子層堆積(ALD)CVDプロセス又は金属有機CVD(MOCVD)プロセスのいずれかによって、或いは同様の堆積法によって堆積させられる。前述のCVD法のいずれかの核形成問題のために、HfSiO膜は、図2で与えられるTEM写真において示されるように、この膜が約20Åより薄いときには、領域20において不連続になる。結果として、高k膜が薄くなると、リーク電流は劇的に増加する。さらに、極めて不均一な又は不連続な高k膜は、トランジスタのチャネルにおける電気化学ポテンシャルを変化させ、そのため、トランジスタの電流を減少させる。このように、高k膜の不十分な厚さ適応性が、図1に示される種類のような従来の方法によりもたらされる。さらに、15−20Åの厚さのベース酸化膜14は、図2に明瞭に見ることができる。ベース酸化物の誘電率は高いものではなく(3.9から約6の間)、このことが、Tinvの付加的な10−15Åをもたらす。結果として、連続的な20Åの厚さのHfSiO膜をもつ絶縁スタック14/16の典型的なTinvは、誘電体材料の14−20Åと、量子力学的な3−5Åと、従来のポリシリコン・ゲート電極の空乏の2−5Åという要素を有する。すなわち、(例えば、Tinv<=16.5Åのような)高性能デバイスの要件を満たすように高k含有絶縁層を縮小することは非常に困難である。
図3は、HfOスタックについてのTinv対リーク電流の計量(Toxgl)をプロットするグラフを示す。本発明の目的のために、Toxglは、反転バイアスにおけるテストの下で、試料の測定されたリーク電流密度と同じ反転バイアスにおいて同じリーク電流密度をもたらす純粋な酸化シリコン層の物理的厚さである。Toxgl計量への測定されたリーク電流密度の変換は、一般的に、より厚い酸化シリコン膜について較正され、次いで、物理学の周知の直接トンネル則を用いて極薄膜について外挿される。図3に示されるように、HfOの物理的厚さが20Åから15Åに減少させられたときには、リーク電流密度は、(2.3nmから2.1nmに減少させられたToxglに反映されるように)約1から2のオーダーの大きさだけ増加するが、Tinvは、ほとんど変化しない。ベース酸化物が薄くされた場合には、チャネル電子移動度は、リモート・フォノン散乱及びクーロン散乱によって、図4に示されるように、大幅に減少することになる。例えば、厚さ7−10Åのベース酸化膜14に続いて厚さ25−30ÅのHfO膜16を有する誘電体ゲート・スタック14/16は、図4に示されるように、50%以上のピーク・チャネル移動度の減少をもたらす。より薄い高k含有誘電体についての移動度の低下は、従来のゲート誘電体の構造体及びその製造方法についての別の重要課題である。
さらに、図1(B)に示される高kゲート・スタック構造体25を製造するための別の従来の手法においては、Si基板12は、ベース酸化物(例えば、SiO又は酸窒化物)なしで直接その上に堆積させられたHfSi1−xの層24を含む。図1Bに示された構造体25はさらに、高密度の界面トラップと、低移動度と、不十分な熱安定性とを示す。約500℃より高温に加熱された場合には、HfSi1−x層24は、下にある基板12と反応して、ベース酸化物の厚い層(10Å−15Å)を形成し、図1(A)と同様な最終ゲート誘電体構造体をもたらす。したがって、図1(B)に示される構造体は、図1(A)に示される構造体と同じ重要課題を有する。
「METHOD OF PRODUCING HIGH DIELECTRIC INSULATOR FOR INTEGRATED CIRCUIT」という名称の特許文献1は、シリコン・ウェハの二酸化シリコン表面の上にハフニウムを堆積させ、次いで、このウェハを加熱して、ハフニウム・シリケートを生成することによって、ハフニウム・シリケートを形成する方法を提案する。結果として得られた構造体は高k層のみを含み、この方法は、SiO層の上に直接、金属Hfを堆積させるステップを含むものである。こうした構造体の利点は、Tinvを付帯的に16Å以下に減少させることなく、高誘電率に起因する高k誘電体が提供されることだけである。さらに、特許文献1は、Tinv<16Åの薄い高k含有誘電体の場合におけるチャネル移動度の低下に対してどのような解決策も教示するものではない。さらに、特許文献1において教示された方法は、移動度の低下効果をあまり受けない相対的に厚い高k含有ゲート誘電体を形成するためにのみ有用である。
いずれも「DAMASCENE NiSi METAL GATE HIGH−K TRANSISTOR」という名称の特許文献2及び特許文献3は、チャネルの上に重なる凹部内に低温シリサイド化金属を閉じ込めることによって、金属シリサイド・ゲートを形成する方法を提案する。凹部領域において、高k誘電体が最初に堆積させられる。次いで、完全にシリサイド化された(FUSI)ゲート層が、金属層の上にシリコン層を堆積させ、次いで、アニールすることによって形成される(特許文献2)か、又はFUSI層が、シリコン層の上に金属層を堆積させ、次いで、アニールすることによって形成される(特許文献3)。これらの引用例では、高k層及びFUSIは、2つの別個のステップにおいて形成される。高kは、予め堆積させられている。次いで、Si及び金属(逆もまた同様)を堆積させ、アニールして、FUSIを形成する。この特許において開示された構造体及び方法は、一般的には、シリケート・ベース、金属ベース、及び金属化合物ベース(例えば、導電性金属窒化物、ホウ化物、炭化物、酸化物等)のゲート電極がTinvの空乏部分の減少又は排除をもたらしても、前述の高kの縮小化問題を解決するものではない。高k誘電体が通常の方法(PVDか又はCVDのいずれか)によって堆積させられるため、これらの方法によって製造される高k膜の物理的厚さは、上述のように、許容可能なチャネル移動度に必要とされるSiONのベース層の15−20Åに加えて約20Åに制限される。結果として、このような従来の高k含有誘電体及びFUSIゲート電極のTinvは、約20Åを上回る。或いは、チャネル移動度は、約15Åより薄いベースSiON層及び約18Åより薄い全Tinvについて大幅に低下する。従来の高k含有膜が、金属ゲート構造体と組み合わされてゲート誘電体として用いられる幾多の従来技術の教示例があるが、結果として得られるトランジスタのゲート構造体は、特許文献2及び特許文献3の場合と同じ欠点に悩まされる。したがって、移動度を大幅に低下させることなく、高k含有ゲート誘電体層を10Å−20Å領域に物理的に縮小することを可能にすることが極めて望ましいものとなる。
「High Temperature stable gate structurewith metallic electrode」という名称の特許文献4は、約13Åから約20Åまでの範囲内の僅かに厚くされたベース酸化物の上部にあるチタンのような反応性遷移金属の極薄層を用いることを教示する。高温ステップ中に、反応性金属は、非酸化雰囲気においてベース酸化物と反応して、高k材料の極薄層をもたらす。結果として得られる高k膜を薄くかつ絶縁性にするために、この出願は、金属層は、できる限り薄いが、依然として均一であるべきであることを教示する。このことは、金属膜が1つの単層より厚く、このような薄い領域においてどのような核形成問題もない技術によって堆積させられる場合に達成することができる。残念なことに、特許文献4において用いられたPVD(物理気相堆積又はスパッタリング)堆積法は、活発なイオンによってベース酸化膜を損傷させることになる。さらに、この方法は、約700℃を下回る相対的に低い温度において酸化シリコンと反応することが知られている高反応性チタン原子に限定される。
米国特許番号第6,624,093号(第‘093号特許) 米国特許番号第6,342,414号(第‘414号特許) 米国特許番号第6,475,874号(第’874号特許) 米国特許出願番号第10/869658号(米国特許公開公報2005−0282341号)
したがって、従来技術の従来の手法により前述の問題を解決するには、新しい解決法が必要である。
本発明は、約7×1014原子/cmを下回るか、又は連続的な金属膜の対応するドーズ量より少ない、Hf、Ti、Zr、又はLaのような低ドーズ量の遷移金属原子を、酸窒化物ゲート誘電体(物理的厚さが9−20Å)の他の薄く均一な層に均一に組み込み、従来のポリシリコン・ゲート電極の場合には約16Åか又はそれを下回る規模に、及び金属ベースのゲート電極の場合には約14Åか又はそれを下回る規模に、Tinvを縮小させながら、チャネル・キャリア(電子又は正孔)の高い移動度、すなわち、トラップ電荷及び固定電荷の低密度を可能にする、CMOSゲート構造体を提供する。
本発明は、最初に、ベース・ゲート層酸化物の上部に制御拡散制御バッファ層を形成し、次いで、Hf、Ti、Zr、又はLaのような金属原子源を形成し、このスタックをアニールして、勾配付けされた金属濃度(上部領域においては高い金属「M」の濃度で、低部領域においては低い濃度で)をもつ極薄金属酸化物層を形成することによって半導体構造体を製造するための方法を提供することで、上述の利点を実現する。こうすることによって、CVD MSi1−xの核形成問題が回避され、その結果、極薄MSi1−xスタックが実現可能である。金属濃度は上部領域において高く、下部領域において低いため、スタックの高い誘電率を維持しながら、低い界面トラップ密度と、高い移動度と、良好な熱安定性とが実現される。代替的な方法においては、金属Mの薄層はポリシリコン層の上部に形成され、単一のアニール・ステップにおいて、(FUSI)MSi及びMSi1−x層を形成する。FUSIゲートはTinvの減少をもたらす。非常に薄いMSi1−x層は、さらにTinvが減少されて形成される。これに加えて、高い平均誘電率を維持しながら、より高い移動度が得られる。
本発明の第1の実施形態によれば、半導体構造体、及び、
a)半導体基板を準備するステップと、
b)半導体基板の上に誘電体材料層を形成するステップと、
c)誘電体材料の上に拡散制御バッファ層を形成するステップと、
d)バッファ層の上に金属含有材料層を形成して、スタックを形成するステップと、
e)スタックをアニールし、金属含有層からの金属原子を、バッファ層を通して誘電層に拡散させて、基板の上に高kゲート誘電体層を形成するステップであって、高kゲート誘電体層は、高kゲート誘電体層の上部領域に向かってより高い金属濃度と、高kゲート誘電体層の下部領域に向かってより低い金属濃度とを有する、ステップと、
を含む方法が提供される。
本発明によれば、高kゲート誘電体層はMSi1−x金属酸化物を含み、xは0から0.3の間の範囲にわたる。
本発明によれば、高kゲート誘電体層は7×1014cm−2より下の金属原子の総ドーズ量を含み、約3×1013cm−2から約5×1014cm−2までの範囲内であることが好ましく、約5×1013cm−2から約4×1014cm−2までの範囲が極めて好ましい。
ゲート電極材料は、低しきい値電圧のCMOS回路を可能にするように選択されることが有利である。第1の実施形態においては、金属原子のソース及びバッファ層は、アニール後に選択的に除去され、次いで、ゲート電極材料が、約0.1Vから約0.4VまでのNFET及びPFETのしきい値電圧を有するようにゲート誘電体の上に形成される。
第2の実施形態によれば、バッファ層はゲート電極として維持されながら、アニール・ステップ後に、金属原子のソースのみが選択的に除去される。この実施形態においては、バッファ層は結果として得られるゲート電極の正しい仕事関数をもたらすように選択される。
第3の実施形態によれば、バッファ層及び金属原子のソースは、アニール・ステップ後のそれらの複合的な構造体がCMOSゲート電極になるのに適するように選択されることが有利である。一例では、バッファ層は、ポリシリコン(ポリSi)のようなシリコン含有層である。
有利なことには、本発明の方法によって形成されたCMOSデバイスは、形成された極薄の高k層及びFUSIによって、さらなるTinvの縮小化及びデバイス性能の向上という利点を有する。
図5を参照すると、本発明の利点は、最初に、例えば酸窒化シリコンといったベース・ゲート誘電体層54の上部に、アモルファス・シリコン又はアモルファス・ゲルマニウムのような安定した拡散制御材料の均一なバッファ層55を形成し、次いで、純粋なHf、Ti、Zr又はLaの層のような遷移金属原子のソースを含有する均一な層56を形成し、次いで、この構造体をアニールして、そのソースからの遷移金属原子を、拡散制御材料を通してベース・ゲート誘電体層に拡散させることによって達成される。
当業者であれば、ベース・ゲート誘電体における結果として得られる遷移金属原子のドーズ量は、拡散制御層の拡散及び/又は反応特性とアニール・ステップの条件(温度及び時間)とによって大部分が制御され、程度は低いものの金属原子のソースの特性によって制御されることを認識するであろう。したがって、本発明は、従来の金属含有層の特性及びこのような層を形成するそれぞれの方法から高kゲート誘電体層における金属原子のドーズ量の制御を効果的に切り離すものである。さらに、2つの個々の特徴(拡散制御層及びアニール・ステップ)によって、拡散プロセスと、ゲート誘電体における結果として得られる金属ドーズ量とを制御する能力は、ゲート誘電体膜における金属原子の望ましい(低い)ドーズ量を維持しながら、広範なアニール温度を選択することを可能にする。こうした融通性は、ベース・ゲート誘電体の原子構造への金属原子の組み込みと、原子構造との化学結合とを促進するのに必要な特定の(高い)アニール温度を選択する際に用いられる。
本発明の結果として得られるゲート誘電体構造体の1つの新規な特徴は、非常に低いドーズ量の金属原子を物理的に薄いゲート誘電体中に均一に組み込むことができることである。具体的には、ゲート誘電体における金属原子の合計表面密度又はドーズ量をおよそ7×1014cm−2より小さくすることができることが有益であり、およそ3×1013cm−2から5×1014cm−2までの範囲であることが好ましく、およそ5×1013cm−2から4×1014cm−2までの範囲であることが極めて好ましい。結果として得られるゲート誘電体の物理的厚さは、およそ9Åから20Åまでに維持することができ、およそ12Åから17Åまでに維持することが好ましい。金属原子のソースは、直接、ベース・ゲート誘電体又は基板の上に堆積させられ、ゆえに、均一な連続膜における金属原子の表面密度又はドーズ量と等しくなるため、知られている連続的な高kゲート誘電体構造体における遷移金属原子の総ドーズ量は実質的に高くなる。例として、1から2の単層の厚さの連続的な金属層におけるHfのドーズ量は、約1×1015cm−2を上回る。代替的な例では、厚さ20Åの連続的なHf0.2Si0.8膜におけるHf原子のドーズ量は、同様に、約1×1015cm−2より上である。薄いゲート誘電体における低いドーズ量の金属原子を有することにより、リモート・フォノン散乱が減少し、続いて、極めて望ましくないチャネル移動度の低下が減少する。それにもかかわらず、結果として得られるゲート誘電体の物理的厚さの好ましい範囲と金属原子の好ましい低ドーズ量との組み合わせによって、一定の(又はより低い)ゲート・リークにおいて(従来のポリシリコン・ゲート電極の場合は)16Åを下回る規模にTinvを縮小することを可能にする。
本発明の結果として得られるゲート誘電体構造体の別の特徴は、トランジスタ・チャネルに対して垂直な方向のゲート誘電体内部の金属原子の濃度が、チャネル近くの濃度がより低く、チャネルから離れると濃度がより高くなるように、勾配をもつことが有利であることである。このような勾配付けは、さらに、移動度の低下と、チャネル近傍における電気的トラップ電荷及び固定電荷のあらゆる偶然の導入とを減少させる。勾配形状は、拡散制御プロセスにより金属原子をゲート誘電体に導入した結果である。
ゲート電極の形成によって、本発明のCMOSゲート構造体が完成する。ゲート電極の形成は、幾つかの異なる方法で達成することができる。第1の組の実施形態においては、金属原子のソース又は拡散制御層のいずれか、或いは、その両方が、ゲート誘電体に損傷を与えることなくアニール・ステップ後に選択的に除去され、次いで、異なるゲート電極が、従来のプロセスによって形成される。第2の組の実施形態においては、拡散制御層及び金属原子のソースは、アニール・ステップ後のそれらの複合的な構造体がCMOSゲート電極として用いるのに適するように選択されることが有利である。
本発明の構造体の種々の新規な態様及び利点は、以下の詳細な説明からより明らかとなるであろう。
図5ないし図8を参照すると、本発明に用いられる半導体基板12は、以下に限定されるものではないが、Si、Ge、SiGe、SiC、SiGeC、Ga、GaAs、InAs、InP、及び他の全てのIII/V族又はII/VI族の化合物半導体を含む、いずれかの半導体材料を含む。半導体基板12は、さらに、有機半導体、又は、Si/SiGe、シリコン・オン・インシュレータ(SOI)或いはSiGeオン・インシュレータ(SGOI)のような積層半導体を含むことができる。本発明の幾つかの実施形態においては、半導体基板12は、Si含有半導体材料、すなわち、シリコンを含む半導体材料で構成されることが好ましい。半導体基板12は、ドープされてもよいし、ドープされなくてもよいし、又はそこにドープされた領域とドープされていない領域とを含んでもよいし、或いはさらに、歪みがあってもよいし、歪みがなくてもよいし、又はその任意の組み合わせであってもよい。基板12は、分離トレンチ、種々のドーピング・ウェル、トレンチ・ベースのメモリ・セル等の種々の有用な構造体を含むことができる。これらの構造体は、一般的に、このステップでは基板12において存在するが、本発明に不可欠なものではなく、そのため、示されていない。さらに、半導体基板12は、例えば、(100)、(110)、(111)又はこれらの任意の好適な組み合わせを含む任意の結晶配向を有する表面を含むことができる。
ベース誘電体54を形成する前に、あらゆる残留層(例えば、自然酸化物)、異物、及びあらゆる残留金属表面の汚染も除去し、洗浄された基板表面を一時的に保護するために、基板12の表面を洗浄する。残留酸化シリコンは、最初に、フッ化水素酸溶液中で除去される。粒子及び残留金属汚染の好ましい除去は、RCAとして知られている業界標準のゲート誘電体の前処理に基づくものである。RCAは、水酸化アンモニウム(NHOH)及び過酸化水素(H)の溶液、続いて、塩化水素酸及び酸化剤(例えば、H、O)の水性混合物中での基板12の処理を含む。結果として、洗浄された基板表面は、化学的酸化物の非常に薄い層でシールされる。ベース誘電体層54の特性を妨げないように、化学的保護酸化物は、一般的には、約10Åより薄くされるが、その厚さを変化させて、ベース誘電体層54の特性を有益に変更することができる。
ベース誘電体54は、例えば、酸化、窒化、又は酸窒化のような熱成長プロセスによって形成することができる。例えば、薄いベース誘電体は、低分圧(約10トルから約300トルまでの分圧)の分子酸素Oのような酸化雰囲気における熱酸化、続いて、低分圧(約30mトルから約30トルまでの分圧)のアンモニアNHのような窒化雰囲気における熱窒化プロセスによって形成することができる。活性種(例えば、O及びNH)の分圧、プロセス温度、及びプロセスの持続時間は、およそ8Åから20Åまでの望ましい物理的厚さの範囲内の望ましい化学組成(すなわち、酸素対窒素の比)を有する酸窒化物ベース誘電体54の均一な層をもたらすように選択される。酸化及び窒化プロセスの順序は、酸化及び窒化プロセスの両方について前述のパラメータの選択を変更するだけであるため本質的なものではないが、それにもかかわらず、望ましい厚さの範囲内のベース誘電体膜54を形成することを可能にする。膜の成長速度及び化学組成のプロセス・パラメータ(「プロセス空間」とも呼ばれる)に対する依存性は、多数の典型的な酸化及び窒化化学剤(例えば、O、O、O、NO、NO、NH、N及びN)についての正順序及び逆順序の両方のプロセス順序について、当該技術分野において知られている。このような「プロセス空間」は、酸化及び窒化剤の任意の未知の組み合わせについても容易に描くことができる。
随意的には、酸化/窒化プロセスは、原子又は分子のラジカル及び/又はイオンのような活性化された準安定原子又は分子種を生成することによって促進することができる。活性粒子は、一般的に、気中放電、紫外線放射、又は高熱の助けにより生成される。活性粒子は、基板12の近傍であるか又はそれから遠隔のいずれかで生成することができる。このような活性化プロセスの一例は、窒化ステップが、原子窒素、分子及び原子イオン、並びに、準安定窒素分子を生成する窒素気中放電の助けにより実行される、商用のプラズマ窒化プロセスである。活性化された窒素粒子は、ゲート誘電体の窒化プロセスに関与する。
代替的に、ベース誘電体54は、化学気相堆積(CVD)及び他の同様な堆積プロセスによって形成することができる。誘電体54は、上記のプロセスのいずれかの組み合わせを使用して形成することもでき、随意に、中性雰囲気においておよそ800℃から1150℃までの高温でアニールすることができる。
ベース誘電体層54を形成する種々の方法が存在するが、その好ましい特性の範囲は、以下のとおりである。物理的厚さの範囲は、およそ8Åから20Åである。層54の極めて好ましい化学組成は、およそ10原子パーセントの窒素から30原子パーセントの窒素である。シリコン・ベースの基板12については、およそ25原子パーセントより高い窒素含有量は、望ましくない多量の固定/トラップ電荷をもたらす。物理的厚さ及び化学組成は、層54における酸素及び窒素の原子パーセントの合計面濃度又はドーズ量として指定することもできる。層54は、およそ1.5×1015cm−2から8×1015cm−2までの酸素ドーズ量と、およそ2×1015cm−2を下回る窒素ドーズ量とを含有することがより好ましく、およそ2.5×1015cm−2から5×1015cm−2の酸素ドーズ量と、およそ5×1014cm−2から1.5×1015cm−2の窒素ドーズ量とが最も好ましい。膜54内の窒素含有量は、より高い窒素含有量がトランジスタ・チャネルから離れるように勾配付けすることができることが有利である。
本発明によれば、図5に示すように、層54は、拡散制御層55で覆われる。層55は、堆積プロセス及びベース誘電体層54との間での、どのような望ましくない相互作用も防止するように非酸化雰囲気において低温化学気相堆積によって形成されることが好ましい。層55を堆積させるためにプラズマ支援プロセス(例えば、PECVD、PVD)を用いることができるが、それらは、活発なプラズマ・イオンによる層54の損傷の可能性のために望ましくない。層55の材料は、本発明の2つの実施形態のうちの1つに合うように選択される。
第1の実施形態においては、層55は犠牲層である。したがって、その材料は、下にあるゲート誘電体層を損傷することなく選択的に除去できるように選択される。第2の実施形態においては、層55の材料を用いて、ゲート電極を形成する。したがって、それは、CMOSゲート構造体に適したしきい値特性を有するように選択される。いずれの場合にも、層55の厚さは、Hf、Ti、La、又はZrのような遷移金属原子に対するその拡散及び反応特性によって選択されて、この層がこれらの原子についての拡散調節物として機能するようにする。
第1の実施形態においては、層55は犠牲層であり、不活性半導体及び不活性金属との2つの群から選択される。化学的不活性は、層54に対して特定される。例えば、不活性半導体群の好ましい代表であるゲルマニウムは、層54に対して比較的安定した材料であり、過酸化水素溶液中で非常に選択的に除去することができる。拡散制御Ge層は、GeHガス先駆体を用いて市販のCVD反応装置において堆積させることができる。層55は、層54の表面上の一様でないゲルマニウムの核形成のためにGe膜が極薄領域においてアイランド化するのを回避するのに十分なだけ厚くされる(およそ100Åより厚いことが好ましい)。
好ましい不活性金属は、耐熱金属と、窒化物のようなそれらの金属化合物とを含む。これらの金属化合物は、硫酸溶液を用いて選択的に除去することができる。不活性金属は、タングステン(W)、モリブデン(Mo)、又はそれらのそれぞれの窒化物を含むことが最も好ましい。タングステン又は窒化タングステンは、W(CO)か又はWFのいずれかの先駆体を用いて堆積させられることが好ましい。フッ素は層54に害を及ぼすことがあるため、W(CO)はWFより好ましい。窒化タングステンの場合には、層55は、原子層堆積(ALD)、または同等に、タングステン先駆体(例えば、W(CO))の供給が窒素先駆体(例えば、NH)の供給と交互になる、パルスCVD技術を用いて堆積させることができる。パルスCVD又はALDプロセスは、一般的に、より一様な核形成及び少ない膜隔離をもたらすが、層55は、一様でない核形成によるどのような膜のアイランド化も防止するように、およそ30−50Åより厚く作られることが好ましい。
第2の実施形態においては、層55は、適切な仕事関数を有するゲート電極をもたらすように選択される。層55は、層54及びHf、Ti、La、及びZrのような遷移金属原子の両方に対して化学的に不活性である種々の元素金属、及び金属化合物(例えば、シリサイド、窒化物、ホウ化物、及び炭化物)を含むことができる。この場合、層55の材料は、金属ゲート電極の材料と同様に選択され、すなわち、そのフェルミ準位は、それぞれ従来のNFET及びPFETについてのシリコンの伝導帯又は価電子帯のいずれかと整合させられる。例えば、高窒素含有量を有する窒化タングステンは、PFETと両立できるゲート電極として用いることができる。したがって、層55は、PFETデバイスの場合はWNを含むことができる。別の例では窒化タングステン(TaN)は、NFETと両立できるゲート電極として用いることができる。したがって、層55は、NFETデバイスの場合は、TaNを含むことができる。NFETと両立できるゲート電極及びPFETと両立できるゲート電極の両方について多くの代替的な材料が存在するが、このような代替的な材料は、層54、並びに、Hf、Ti、La、及びZrのような遷移金属原子に対して実質的に不活性である限り、層55の構成要素として用いることができる。
第3の実施形態においては、層55を用いて、層56との反応後にゲート電極を形成する。層55は、結果として得られるゲート電極の正しい仕事関数をもたらすように選択される。例えば、層55は、アモルファス・シリコン又は多結晶シリコンのようなシリコンを含むことができる。シリコン・ベースの層55は、シリコン先駆体としてシランSiHを用いて低圧CVD(LPCVD)反応装置において堆積させることができる。典型的なLPCVD反応装置においては、層55は、連続性を失うことなく、およそ50Åほどに薄く製造することができる。シリコン・ベースの層55は、シリコンのバンドギャップの中間点に近いフェルミ準位をもたらすシリサイド・ベースのゲート電極に変換することができる。中間ギャップのゲート電極は、完全空乏トランジスタとして知られる完全に空乏化した本体を有するトランジスタには特に有用である。
図5に戻ると、次に、遷移金属原子56のソースが、層55の上部に形成される。層56は、Hf、Ti、La、Zrのような元素金属又はこれらの合金を含むことが好ましい。金属層は、(例えば、金属有機CVD、パルスCVD等の)様々な種類のCVD、物理気相堆積(PVD)、スパッタリング、めっきのような任意の既知の堆積法によってか、又は層55の上部にイオンを注入することによって形成することができる。層56は、連続的かつ均一であるのに十分なだけ厚くされる。層56の最小厚さは、層を形成するのに用いられる特定のプロセスによって決まる。PVDの場合には、層56は、連続的かつ比較的均一である状態で、幾つかの単層の厚さ(〜10Å)にすることができる。拡散制御層55を有することの付加的な利点は、それがPVD反応装置に存在する活発なイオンによって引き起こされるどのような損傷からもベース誘電体層54を保護することである。PVD堆積は、およそ300℃より低い低温で行われる。結果として得られる層56の厚さは、プロセス時間と、プラズマに対する金属ターゲットの電気的バイアスとによって制御される。異なるターゲットからの同時スパッタリングを用いることによってか、又は所望の合金組成物を有するターゲットを用いることによって、特定の合金の選択をPVD反応装置に容易に導入することができる。層56は、要望通りの厚さにすることができるが、実際には、層56は、およそ1000Åより厚くする必要はない。CVDの場合には、層56は、連続的かつ比較的均一であるように、厚さをおよそ20−50Åにすることができる。
随意に、層56は、Hf、Ti、La及びZrのような遷移金属の種々の化合物を含むことができる。これらの化合物は、それぞれのシリサイド、窒化物、炭化物、又はホウ化物のように導電性であってもよいし、或いは、それぞれの酸化物及びシリケートのように絶縁性であってもよい。これらの化合物は、およそ500℃から1100℃までの高温で拡散するのに適した遷移金属原子に利用できるべき、すなわちそれを生成できるものであるべきである。
本発明によれば、図5に示されるように、誘電体層54と、拡散制御層55と、層56とを含むスタックは、層56に存在する遷移金属原子を層55を通して層54に拡散させるようにアニールされる。アニール温度及び持続時間は、望ましいドーズ量範囲の金属原子を層54に供給するように選択される。これらの拡散原子の幾つかは、層55の材料と反応することができる。このような反応の存在は、層54に供給される金属原子のドーズ量をさらに減少させることになる。典型的には、アニール温度を上げると、層55における金属原子の拡散係数の指数関数的な増加がもたらされる。センチメートルで測定された特性拡散長は、平方センチメートル/秒の単位における拡散係数と、秒単位のアニール持続時間の積の平方根に比例する。層54に低ドーズ量の金属原子(およそ7×1014cm−2より低い)を供給するためには、拡散制御層55の厚さは、層55における金属原子の特性拡散長に等しいか又はそれより小さいものとする。実用上の機器の制限のために、アニール温度の範囲は、およそ400℃から1150℃までの間で選択される。アニール持続時間は、市販の急速熱アニール炉(RTA)の場合は、およそ1秒から数分までであり、典型的なアニール炉の場合は、約10分から数時間である。次に、層55の厚さは、望ましい低ドーズ量の遷移金属原子を供給するように、前述の規則に従って選択される。
随意に、アニール・プロセスは、多段階の手順として行うことができる。多段階のアニール手順は、層55を介した拡散プロセスと、層54における化学的な混合プロセスとの間の付加的な分離を可能にすることができる。有利なことには、最終アニール・ステップは、高温で非常に短時間だけ行われて、過度の拡散を引き起こすことなく、層54内の化学反応を促進する。一例では、最終アニール・ステップは、およそ1ミリ秒のオーダーの特性持続時間を有する高温(700℃−1400℃)超短時間アニール(例えば、レーザー・アニール、フラッシュ・ランプ・アニール)である。このような超短時間アニールの高いピーク温度は、層54内の金属原子の化学結合の促進を助ける一方で、超短の持続時間は最小の拡散をもたらし、トランジスタ・チャネルへの金属原子の望ましくない過度の侵入を防止する。
一例では、層56がHf原子を含有し、層54が酸化シリコンを含有する場合は、結果として得られる構造体は、HfSi1−xの極薄層53を含み、ここで、xは0から0.3までの間の範囲にわたり、最も好ましくは0.03から0.1までの間である。さらに、HfSi1−xの極薄層53は、層53内で勾配付けされたHf濃度(上部領域59においては高Hf濃度、下部領域51においては低Hf濃度)を有する。このようなHf濃度の勾配は、拡散プロセスの結果である。CVD HfSiOの核形成問題は、Hf濃度が既述のように勾配付けされているときには解消され、このようにして、HfSiOの極薄ゲート誘電体が達成可能である。さらに、PVD誘起の損傷も解消され、よって、一定の又は減少したゲート・リーク電流でTinvの縮小化をもたらす。より重要なことには、低ドーズ量の遷移金属原子をゲート誘電体に制御可能に供給する機能は、ゲート誘電体の相当に高い誘電率を維持しながら、移動度の低下及びトラップ/固定電荷の量を大幅に減少させる。さらに、Hf濃度が上部領域では高く、下部領域では低いため、界面のトラップ密度、チャネル散乱のさらなる低下が実現される。
HfSi1−x層53の物理的厚さは変化させることができるが、典型的には、およそ9Åから20Åまでの厚さを有し、およそ12Åから17Åまでの厚さがより典型的である。層53の物理的厚さ及び化学組成は、層53に存在するHf原子の合計表面濃度又はドーズ量として特定することもできる。さらに、この例の場合は、層53は、およそ5×1013cm−2から7×1014cm−2までのハフニウムのドーズ量を含有することが好ましい。図9を参照すると、Tinvの相対的な低下は、Hfなしではおよそ18ÅのTinvをもたらす、典型的な厚さ13Åの層53についてのHfドーズ量の関数として示されている。およそ2×1014cm−2のHfドーズ量は、およそ16ÅのTinvをもたらす。
既述のように、層54は、25原子パーセントまでの窒素、すなわち、およそ1×1015cm−2を下回る窒素のドーズ量を含有することが好ましい。したがって、層53は、同じ好ましい低ドーズ量のHf原子と、およそ1×1015cm−2を下回る好ましい窒素のドーズ量とを有するHfSiON層からなる。
与えられた例はHfベースの層53の場合であるが、他の遷移金属原子も同様に有用である。具体的には、チタンの場合には、Ti−O結合の分極率は、Hf−O結合の分極率より約3倍高く、これは、層53において所与のTinv当たりさらに少ない金属ドーズ量をもたらす。したがって、層53におけるTiの好ましいドーズ量は、およそ3×1013cm−2から2×1014cm−2までである。
次の処理ステップは、好適なゲート電極を形成することによって、本発明のCMOSゲート構造体を完成させることに向けられている。ゲート電極の形成は、幾つかの異なる方法で達成することができる。
例えば、図6に示される第1の実施形態においては、金属原子のソース56及び拡散制御バッファ層55は、ゲート誘電体を損傷することなく、アニール・ステップ後に選択的に除去され、次いで、異なるゲート電極が、従来のプロセスによって形成される。具体的には、Hf原子のソース56は、高濃度HF溶液又は王水のような湿式化学物質を用いることによってか、又は大きな物理的成分による反応性イオン・エッチング(スパッタリング)によって除去することができる。両方の場合において、ゲート誘電体は、バッファ層55によって保護される。次に、金属ベースのバッファ層55は、硫酸溶液中でゲート誘電体53に対して選択的に除去することができる。或いは、ゲルマニウム・ベースのバッファ層は、過酸化水素溶液を用いて選択的に除去することができる。
或いは、図7に示される第2の実施形態においては、金属原子56のソースのみが、アニール・ステップ後に選択的に除去され、バッファ層55はゲート電極として維持される。この実施形態においては、バッファ層55は、結果として得られるゲート電極の正しい仕事関数をもたらすように選択される。バッファ層55は、種々の元素金属、及びTaN又はTiNのような金属化合物(例えば、シリサイド、窒化物、ホウ化物、及び炭化物)を含むことができる。
或いは、第3の実施形態においては、拡散制御層及び金属原子のソースは、アニール後のその複合的な構造体がCMOSゲート電極として用いるのに適するように選択されることが有利である。一例では、バッファ層は、ポリシリコン(ポリSi)のようなシリコン含有層である。この実施形態は図8に示されており、これは、本発明の第2のゲート電極の実施形態による新規なゲート・スタック構造体60を形成する方法を示す。構造体60は、半導体基板12の表面上に形成される、例えばSiOといった極薄のゲート誘電体64上に形成される、ポリシリコン層(ポリSi)65のようなSi含有材料層の上に堆積プロセスによって堆積させられる、例えばHfといった金属層68を含む。Hf層68、ポリSi層65及びSiO層64の物理的厚さは変化させることができるが、典型的には、Hf層68は、およそ40nmから100nmまでの厚さを有し、ポリSi層65は、およそ20nmから200nmまでの厚さを有し、SiO層64は、およそ0.5nmから3nmまでの厚さを有する。本発明の第3の実施形態によれば、金属材料層はHfのみに限定されるものではなく、Ti、Zr、又はLaのような他の金属がこれに加えて適用可能であることを理解すべきである。本発明によれば、図8に示されるように、次に、金属(Hf)層68と、ポリSi層65と、誘電体層64とを含むスタックは、およそ300℃から1100℃の範囲にわたる温度でアニールされて、上部のHfSi層70と、下にあるHfSi1−x層63とを含み、下にある層63が勾配付けされたHf濃度(上部領域69では高Hf濃度、下部領域61では低Hf濃度)を有する、ゲート・スタック構造体の同時形成をもたらす。さらに、アニール中に、金属材料はポリSiを通して拡散し、この拡散は、例えばSiOといったベース酸化物の一部をシリケートに変換するように次第に小さくなる。したがって、高k及びFUSIは、金属がポリSiを通して例えばSiOといったベースの酸化物に拡散するのと同時に形成されて、高kシリケート層を形成する。これを行うことによって、CVD HfSiOの核形成問題が解消され、その結果、HfSiOの極薄スタックが実現可能になる。ゲート・スタック構造体60が完全にシリサイド化され(FUSI)、その結果、ポリ空乏の排除によってTinvのおよそ3〜4Åが減少すること、さらにTinvが減少された非常に薄いHfSi1−x層が形成されること、及び高い平均誘電率を維持すると同時に、より高い移動度が実現されることが利点である。
本発明の第3の実施形態によれば、yが1から3まで変化できるとして、上部のHfSi層70の物理的厚さ、及びHfSi1−x層63の物理的厚さは変化させることができるが、典型的には、HfSi層70は、およそ20nmから300nmまでの厚さを有し、およそ40nmから100nmまでの厚さがより典型的である。HfSi1−x層63の厚さ、すなわち、高さは、およそ0.5nmから5nmまでであり、およそ1nmから3nmまでの厚さがより典型的である。
本発明は、このように、ポリSiの上に金属を堆積させ、アニールして、FUSI及びHfSi1−xを同時に形成することによって、HfSi1−xを形成する新しい方法を提示するものである。本発明によれば、図8における本発明の第3の実施形態によって示され説明されたプロセスの利点は、さらなる3〜4ÅのTinvの減少をもたらすFUSI(完全にシリサイド化された)ゲートの形成、すなわち、Tinvが減少された、非常に薄いHfSi1−x膜の形成である。金属層68が誘電体/基板の界面に隣接しないことは、高い平均誘電率を維持しながら、より高い移動度をもたらす。
上述の種々の組み合わせ及び実施形態において、本発明の特定の好ましいCMOS構造体は、高kゲート誘電体層53(図5)及び層63(図8)が、HfSi1−x(ハフニウム・シリケート)、又は代替的に酸窒化ハフニウム・シリコンで構成されるものである。高k誘電体を有し、本発明の原理に従って形成されたHfSi1−xの誘電体層は、MOSデバイスのゲート構造体に用いるのに特に有望である。さらに、特に好ましい構造体及び材料の他の変形態様及び置換が本明細書において考慮されており、排除されるべきではない。
前述の実施形態の組み合わせを1つの回路上に適用することができ、ここでは1つの実施形態を用いてnFETデバイスを形成することができる一方で、別の実施形態を用いてpFETデバイスを形成することができる。
本発明は、特に、その好ましい実施形態に関して示され説明されたが、当業者であれば、本発明の精神及び範囲から逸脱することなく、形態及び詳細における上記の及び他の変更を加えることができることを理解するであろう。したがって、本発明は、説明され示された通りの形態及び詳細に限定されるものではなく、特許請求の範囲に含まれることが意図される。
本発明は、半導体デバイスの分野に有用であり、より具体的には、金属酸化物半導体の電界効果トランジスタを製造するための方法に関する。
高kゲート・スタックを製造するための従来の手法を示す概略図である。 CVDプロセスの核形成問題によりもたらされる不連続性を表わすHfSiO薄膜スタックを断面図により示すTEM写真である。 HfOスタックについてのTinv対Toxglをプロットし、図1(A)及び図1(B)に示された従来技術のデバイスについてのリーク電流密度の増加を示すグラフ図である。 図1(A)の従来技術のスタックに示されたベース酸化物層が薄くされたときのチャネル電子の移動度の減少を示すグラフ図を示す。 バッファ層を通る遷移金属原子の拡散によってゲート誘電体層を形成する例示的な方法を示す。 本発明の第1の実施形態による、アニール・ステップ後の余分な金属ソース層及びバッファ層の除去を示す。 本発明の第2の実施形態による、金属ソース層のみを除去し、バッファ層をゲート電極として用いることを示す。 本発明の第3の実施形態による、新規なゲート・スタック構造体を形成するための例示的な方法を示す。 ハフニウムのドーズ量の関数としてTinvをプロットするグラフ図を示す。

Claims (10)

  1. 半導体基板と、
    前記基板の上に形成されゲート誘電体層と、を含み
    前記ゲート誘電体層は、MがHf、Ti、Zr、又はLaからなる金属であり、xが0.03から0.3の範囲にあるM Si 1−x からなる金属酸化物を含み、0.5nmから2nmの範囲にある厚さを有し、さらに、7×1014cm−2を超えない金属原子の表面濃度を有する、半導体構造体。
  2. 前記半導体基板は、Si、Ge、SiGe、SiGeC、Ga、GaAs、InAs、InP、他のIII/V族の化合物半導体或いはII/VI族の化合物半導体、有機半導体、積層半導体、シリコン・オン・インシュレータ、又はシリコン・ゲルマニウム・オン・インシュレータを含む、請求項1に記載の半導体構造体。
  3. 前記金属原子はHf原子を含み、前記表面濃度は、5×1013cm−2から7×1014cm−2 の範囲にある、請求項1または2に記載の半導体構造体。
  4. 前記金属原子はTi原子を含み、前記表面濃度は、3×1013cm−2から2×1014cm−2 の範囲にある、請求項1または2に記載の半導体構造体。
  5. 前記ゲート誘電体層は、前記ゲート誘電体層の上方領域に向かってより高い金属濃度と、前記ゲート誘電体層の下方領域に向かってより低い金属濃度とを有する、請求項1〜4のいずれか1項に記載の半導体構造体。
  6. 半導体構造体を製造する方法であって
    半導体基板を準備するステップと
    前記半導体基板の上に少なくともシリコンと酸素とを含む誘電体材料層を形成するステップと
    前記誘電体材料層上に拡散制御バッファ層を形成するステップと
    前記拡散制御バッファ層の上にHf、Ti、Zr、又はLaを含む金属含有層を形成して、スタックを形成するステップと
    前記スタックをアニールし、前記金属含有層からの金属原子を、前記拡散制御バッファ層を通して前記誘電体材料層に拡散させて、前記半導体基板の上にゲート誘電体層を形成するステップと、を含み
    前記ゲート誘電体層は、MがHf、Ti、Zr、又はLaからなる金属であり、xが0.03から0.3の範囲にあるM Si 1−x からなる金属酸化物を含み、0.5nmから2nmの範囲にある厚さを有し、さらに、7×10 14 cm −2 を超えない金属原子の表面濃度を有する、方法。
  7. 前記拡散制御バッファ層は、前記金属含有層の金属に対して化学的に不活性な半導体または金属からなり、前記不活性な半導体はゲルマニウムを含み、前記不活性な金属は、タングステン、モリブデン、タングステン窒化物、またはモリブデン窒化物を含む、請求項6に記載の方法
  8. 前記拡散制御バッファ層は、前記金属含有層の金属に対して化学的に不活性である金属化合物からなり、前記金属化合物は、窒化タングステンまたは窒化タンタルを含む、請求項6に記載の方法
  9. 前記拡散制御バッファ層は、前記アニールにより前記金属含有層の金属と反応してゲート電極を形成する材料からなり、当該材料はシリコンを含む、請求項6に記載の方法
  10. 前記ゲート誘電体層は、前記ゲート誘電体層の上方領域に向かってより高い金属濃度と、前記ゲート誘電体層の下方領域に向かってより低い金属濃度とを有する、請求項6〜9のいずれか1項に記載の方法
JP2008507818A 2005-04-21 2006-04-19 高性能CMOS用途のためのHfドープされた極薄の酸窒化シリコン膜及び製造方法 Expired - Fee Related JP5159609B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/907,935 2005-04-21
US10/907,935 US7504700B2 (en) 2005-04-21 2005-04-21 Method of forming an ultra-thin [[HfSiO]] metal silicate film for high performance CMOS applications and semiconductor structure formed in said method
PCT/US2006/014622 WO2006115914A1 (en) 2005-04-21 2006-04-19 Ultra-thin hf-doped silicon oxynitride film for high performance cmos applications and method of manufacture

Publications (3)

Publication Number Publication Date
JP2008538655A JP2008538655A (ja) 2008-10-30
JP2008538655A5 JP2008538655A5 (ja) 2009-02-19
JP5159609B2 true JP5159609B2 (ja) 2013-03-06

Family

ID=37185981

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008507818A Expired - Fee Related JP5159609B2 (ja) 2005-04-21 2006-04-19 高性能CMOS用途のためのHfドープされた極薄の酸窒化シリコン膜及び製造方法

Country Status (6)

Country Link
US (1) US7504700B2 (ja)
EP (1) EP1872409A4 (ja)
JP (1) JP5159609B2 (ja)
CN (1) CN100550422C (ja)
TW (1) TW200727479A (ja)
WO (1) WO2006115914A1 (ja)

Families Citing this family (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7588988B2 (en) 2004-08-31 2009-09-15 Micron Technology, Inc. Method of forming apparatus having oxide films formed using atomic layer deposition
EP1880409B1 (en) * 2005-04-21 2014-03-26 Freescale Semiconductor, Inc. Method of fabricating a mos device with a high-k or sion gate dielectric
US7662729B2 (en) 2005-04-28 2010-02-16 Micron Technology, Inc. Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer
US7927948B2 (en) 2005-07-20 2011-04-19 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US7799668B2 (en) * 2005-08-17 2010-09-21 Texas Instruments Incorporated Formation of uniform silicate gate dielectrics
US8110469B2 (en) * 2005-08-30 2012-02-07 Micron Technology, Inc. Graded dielectric layers
US8053849B2 (en) 2005-11-09 2011-11-08 Advanced Micro Devices, Inc. Replacement metal gate transistors with reduced gate oxide leakage
JP5039396B2 (ja) * 2007-02-19 2012-10-03 ローム株式会社 半導体装置の製造方法
KR100877100B1 (ko) * 2007-04-16 2009-01-09 주식회사 하이닉스반도체 비휘발성 메모리 소자 제조 방법
JP2008288364A (ja) * 2007-05-17 2008-11-27 Sony Corp 半導体装置および半導体装置の製造方法
US8940645B2 (en) 2007-05-25 2015-01-27 Cypress Semiconductor Corporation Radical oxidation process for fabricating a nonvolatile charge trap memory device
US20090179253A1 (en) 2007-05-25 2009-07-16 Cypress Semiconductor Corporation Oxide-nitride-oxide stack having multiple oxynitride layers
US8063434B1 (en) 2007-05-25 2011-11-22 Cypress Semiconductor Corporation Memory transistor with multiple charge storing layers and a high work function gate electrode
US8283261B2 (en) * 2007-05-25 2012-10-09 Cypress Semiconductor Corporation Radical oxidation process for fabricating a nonvolatile charge trap memory device
US8633537B2 (en) 2007-05-25 2014-01-21 Cypress Semiconductor Corporation Memory transistor with multiple charge storing layers and a high work function gate electrode
US9449831B2 (en) 2007-05-25 2016-09-20 Cypress Semiconductor Corporation Oxide-nitride-oxide stack having multiple oxynitride layers
US7632745B2 (en) * 2007-06-30 2009-12-15 Intel Corporation Hybrid high-k gate dielectric film
JP5349903B2 (ja) * 2008-02-28 2013-11-20 ルネサスエレクトロニクス株式会社 半導体装置の製造方法および半導体装置
US20100102393A1 (en) * 2008-10-29 2010-04-29 Chartered Semiconductor Manufacturing, Ltd. Metal gate transistors
KR101049875B1 (ko) * 2008-11-18 2011-07-19 주식회사 동부하이텍 반도체 소자 및 그의 제조 방법
CN101752237B (zh) 2008-12-16 2012-08-08 国际商业机器公司 在半导体器件中形成高k栅极叠层的方法
KR101589440B1 (ko) 2009-02-09 2016-01-29 삼성전자주식회사 듀얼 게이트 반도체 장치의 제조 방법
US8026539B2 (en) * 2009-02-18 2011-09-27 Globalfoundries Inc. Metal oxide semiconductor devices having doped silicon-compromising capping layers and methods for fabricating the same
US8048791B2 (en) * 2009-02-23 2011-11-01 Globalfoundries Inc. Method of forming a semiconductor device
US20100213555A1 (en) * 2009-02-23 2010-08-26 Advanced Micro Devices, Inc. Metal oxide semiconductor devices having capping layers and methods for fabricating the same
US7943457B2 (en) * 2009-04-14 2011-05-17 International Business Machines Corporation Dual metal and dual dielectric integration for metal high-k FETs
JP5375362B2 (ja) * 2009-06-24 2013-12-25 富士通セミコンダクター株式会社 半導体装置の製造方法
DE102009031155B4 (de) * 2009-06-30 2012-02-23 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG Einstellen einer Schwellwertspannung für komplexe Transistoren durch Diffundieren einer Metallsorte in das Gatedielektrikum vor der Gatestrukturierung
US20110065287A1 (en) * 2009-09-11 2011-03-17 Tokyo Electron Limited Pulsed chemical vapor deposition of metal-silicon-containing films
KR20120054660A (ko) * 2009-11-04 2012-05-30 가부시끼가이샤 도시바 불휘발성 반도체 기억 장치
US8598027B2 (en) 2010-01-20 2013-12-03 International Business Machines Corporation High-K transistors with low threshold voltage
US8343865B2 (en) * 2010-01-21 2013-01-01 Renesas Electronics Corporation Semiconductor device having dual work function metal
US20120273861A1 (en) * 2011-04-29 2012-11-01 Shanghan Institute Of Microsystem And Imformation Technology,Chinese Academ Method of depositing gate dielectric, method of preparing mis capacitor, and mis capacitor
US8633118B2 (en) * 2012-02-01 2014-01-21 Tokyo Electron Limited Method of forming thin metal and semi-metal layers by thermal remote oxygen scavenging
US8921176B2 (en) * 2012-06-11 2014-12-30 Freescale Semiconductor, Inc. Modified high-K gate dielectric stack
TWI709174B (zh) * 2012-07-01 2020-11-01 愛爾蘭商經度閃存解決方案有限公司 用於製造非揮發性電荷捕獲記憶體元件之基氧化方法
KR101934829B1 (ko) * 2012-10-23 2019-03-18 삼성전자 주식회사 반도체 장치 및 반도체 장치의 제조 방법
KR102392059B1 (ko) 2013-07-29 2022-04-28 삼성전자주식회사 반도체 소자 및 그 제조 방법
US9070634B1 (en) * 2013-12-26 2015-06-30 Macronix International Co., Ltd. Semiconductor device comprising a surface portion implanted with nitrogen and fluorine
US9673108B1 (en) 2015-12-14 2017-06-06 International Business Machines Corporation Fabrication of higher-K dielectrics
CN107516631B (zh) * 2016-06-15 2019-11-05 中芯国际集成电路制造(上海)有限公司 半导体器件的形成方法
CN107591437B (zh) * 2016-07-07 2020-03-10 中芯国际集成电路制造(上海)有限公司 半导体器件的形成方法
CN108630538A (zh) * 2017-03-17 2018-10-09 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法和电子装置
US10109639B1 (en) * 2017-06-09 2018-10-23 International Business Machines Corporation Lateral non-volatile storage cell
CN107863349A (zh) * 2017-10-17 2018-03-30 安阳师范学院 基于HfxSi1‑xO2多元氧化物存储材料的电荷存储器件及其制备方法
JP7340538B2 (ja) * 2018-04-06 2023-09-07 アプライド マテリアルズ インコーポレイテッド 3次元構造の共形ドーピングのための方法
US10629499B2 (en) 2018-06-13 2020-04-21 International Business Machines Corporation Method and structure for forming a vertical field-effect transistor using a replacement metal gate process
JP7189848B2 (ja) * 2019-08-07 2022-12-14 株式会社東芝 半導体装置およびその製造方法

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5661165A (en) * 1979-10-24 1981-05-26 Fujitsu Ltd Control of threshold voltage of transistor
DE19622600C2 (de) * 1996-06-05 2001-08-02 Fraunhofer Ges Forschung Elektrochrome Einheit
KR19990014155A (ko) * 1997-07-24 1999-02-25 윌리엄 비. 켐플러 고 유전율 실리케이트 게이트 유전체
US6004850A (en) * 1998-02-23 1999-12-21 Motorola Inc. Tantalum oxide anti-reflective coating (ARC) integrated with a metallic transistor gate electrode and method of formation
US6172407B1 (en) * 1998-04-16 2001-01-09 Advanced Micro Devices, Inc. Source/drain and lightly doped drain formation at post interlevel dielectric isolation with high-K gate electrode design
US6518156B1 (en) * 1999-03-29 2003-02-11 Hewlett-Packard Company Configurable nanoscale crossbar electronic circuits made by electrochemical reaction
US6194748B1 (en) * 1999-05-03 2001-02-27 Advanced Micro Devices, Inc. MOSFET with suppressed gate-edge fringing field effect
US6376349B1 (en) * 2000-01-19 2002-04-23 Motorola, Inc. Process for forming a semiconductor device and a conductive structure
US6184072B1 (en) * 2000-05-17 2001-02-06 Motorola, Inc. Process for forming a high-K gate dielectric
TW531803B (en) * 2000-08-31 2003-05-11 Agere Syst Guardian Corp Electronic circuit structure with improved dielectric properties
US6638876B2 (en) * 2000-09-19 2003-10-28 Mattson Technology, Inc. Method of forming dielectric films
US6562718B1 (en) * 2000-12-06 2003-05-13 Advanced Micro Devices, Inc. Process for forming fully silicided gates
US6342414B1 (en) * 2000-12-12 2002-01-29 Advanced Micro Devices, Inc. Damascene NiSi metal gate high-k transistor
US6475874B2 (en) * 2000-12-07 2002-11-05 Advanced Micro Devices, Inc. Damascene NiSi metal gate high-k transistor
JP2002184973A (ja) * 2000-12-11 2002-06-28 Hitachi Ltd 半導体装置及びその製造方法
JP3944367B2 (ja) * 2001-02-06 2007-07-11 松下電器産業株式会社 絶縁膜の形成方法及び半導体装置の製造方法
JP4184686B2 (ja) * 2001-03-28 2008-11-19 株式会社東芝 半導体装置の製造方法
US6420279B1 (en) * 2001-06-28 2002-07-16 Sharp Laboratories Of America, Inc. Methods of using atomic layer deposition to deposit a high dielectric constant material on a substrate
WO2003019643A1 (fr) * 2001-08-23 2003-03-06 Nec Corporation Dispositif semi-conducteur comportant un film isolant presentant une permittivite elevee et son procede de production
JP3688631B2 (ja) * 2001-11-22 2005-08-31 株式会社東芝 半導体装置の製造方法
US6504214B1 (en) * 2002-01-11 2003-01-07 Advanced Micro Devices, Inc. MOSFET device having high-K dielectric layer
US6797525B2 (en) * 2002-05-22 2004-09-28 Agere Systems Inc. Fabrication process for a semiconductor device having a metal oxide dielectric material with a high dielectric constant, annealed with a buffered anneal process
JP4004040B2 (ja) * 2002-09-05 2007-11-07 株式会社東芝 半導体装置
US6624093B1 (en) * 2002-10-09 2003-09-23 Wisys Technology Foundation Method of producing high dielectric insulator for integrated circuit
JP4574951B2 (ja) * 2003-02-26 2010-11-04 株式会社東芝 半導体装置及びその製造方法
JP2005045166A (ja) * 2003-07-25 2005-02-17 Toshiba Corp 半導体装置及びその製造方法
JP3790242B2 (ja) * 2003-09-26 2006-06-28 株式会社東芝 半導体装置及びその製造方法
US20050224897A1 (en) * 2004-03-26 2005-10-13 Taiwan Semiconductor Manufacturing Co., Ltd. High-K gate dielectric stack with buffer layer to improve threshold voltage characteristics

Also Published As

Publication number Publication date
EP1872409A1 (en) 2008-01-02
US7504700B2 (en) 2009-03-17
US20060237803A1 (en) 2006-10-26
CN100550422C (zh) 2009-10-14
JP2008538655A (ja) 2008-10-30
CN101156246A (zh) 2008-04-02
EP1872409A4 (en) 2009-12-30
TW200727479A (en) 2007-07-16
WO2006115914A1 (en) 2006-11-02

Similar Documents

Publication Publication Date Title
JP5159609B2 (ja) 高性能CMOS用途のためのHfドープされた極薄の酸窒化シリコン膜及び製造方法
US7521345B2 (en) High-temperature stable gate structure with metallic electrode
Gusev et al. Advanced high-κ dielectric stacks with polySi and metal gates: Recent progress and current challenges
JP5535706B2 (ja) 半導体装置の製造方法
US8519466B2 (en) Tantalum silicon oxynitride high-K dielectrics and metal gates
JP5089576B2 (ja) 自己整合され積極的にスケーリングされたcmosデバイスにおけるゲート電極の金属/金属窒化物二重層のcmos構造体及び半導体構造体
US7115959B2 (en) Method of forming metal/high-k gate stacks with high mobility
US20080105920A1 (en) Semiconductor devices and fabrication process thereof
CN112786438A (zh) 半导体器件及其栅极结构的形成方法
US7820538B2 (en) Method of fabricating a MOS device with non-SiO2 gate dielectric
JP3646718B2 (ja) 半導体装置の製造方法
CN110993603A (zh) 半导体结构及其形成方法
US7018883B2 (en) Dual work function gate electrodes
JP2008072001A (ja) 半導体装置及びその製造方法
Onishi et al. Performance of polysilicon gate hfo 2 mosfets on [100] and [111] silicon substrates
Matsuki et al. Area-selective post-deposition annealing process using flash lamp and Si photoenergy absorber for metal/high-k gate metal–insulator–semiconductor field-effect transistors with NiSi source/drain
JP2006319091A (ja) 半導体装置の製造方法
JP2010212376A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20081225

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20090123

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20120419

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120424

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120626

RD12 Notification of acceptance of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7432

Effective date: 20120626

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20120626

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20121120

RD14 Notification of resignation of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7434

Effective date: 20121120

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20121211

R150 Certificate of patent or registration of utility model

Ref document number: 5159609

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20151221

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees