EP3394317A1 - Injektor aus silizium für die halbleiterindustrie - Google Patents

Injektor aus silizium für die halbleiterindustrie

Info

Publication number
EP3394317A1
EP3394317A1 EP16816680.9A EP16816680A EP3394317A1 EP 3394317 A1 EP3394317 A1 EP 3394317A1 EP 16816680 A EP16816680 A EP 16816680A EP 3394317 A1 EP3394317 A1 EP 3394317A1
Authority
EP
European Patent Office
Prior art keywords
tube
injector
injector according
profile
pipe
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
EP16816680.9A
Other languages
English (en)
French (fr)
Inventor
Walter Nadrag
Enrico Nadrag
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sico Technology GmbH
Original Assignee
Sico Technology GmbH
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sico Technology GmbH filed Critical Sico Technology GmbH
Publication of EP3394317A1 publication Critical patent/EP3394317A1/de
Withdrawn legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4485Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation without using carrier gas in contact with the source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment

Definitions

  • the invention relates to an injector having the features of the introductory part of claim 1.
  • wafers When manufacturing wafers, wafers are placed in brackets (boats) and placed in treatment rooms (ovens) where they are treated with gas.
  • the gas that will be treated with the wafer is placed in the oven via an injector, which is normally a curved one
  • Fig. 2 of US 2006/0185589 AI it can be seen that the injector has a circular cross-section bore and is formed from half shells.
  • the outer shape of the tube is rectangular, for example.
  • Fig. 11 of US 2006/0185589 AI it can be seen that the free end of the tube is closed and that in the tube outlet openings are provided.
  • the injector is composed of half-shells, which in the
  • the apparatus described includes a hollow member connected to an injector which communicates with an input port and a reaction chamber containing the substrate.
  • Material can consist of the components of the device for the CVD method.
  • US 2008/0286981 AI deals with a method for Treating semiconductor wafers in a process chamber, wherein on the wafer in situ titanium nitride and silicon is deposited.
  • injectors are provided in the process chamber in the embodiments shown in FIGS. 4 and 5 of US 2008/0286981 AI, is introduced by the gas. Materials from which the injectors may consist are not disclosed.
  • FIG. 8 of US 2008/0286981 A1 shows that injectors can have an oblong-oval cross-section.
  • FIG. 7 also shows that the injectors can have lateral outlet openings. Such outlet openings are also shown in FIG. 8.
  • US 2008/0286981 AI contains no information on what material the injectors can be made.
  • EP 0 582 444 A1 relates to a device for the CVD method, with which SiC of high purity is produced.
  • the device comprises three injector tubes, the construction of which is shown in FIG. It can be seen from EP 0 582 444 A1 that three concentric tubes which define annular channels are contained in the injector tubes. Only the middle channel is used for supplying gas into a chamber. The outer channels serve to circulate cooling medium. Also, EP 0 582 444 AI contains no information about the material from which the injectors can be made.
  • Particles which are undesirable in processes in the semiconductor industry, are produced by the chipping.
  • the invention has for its object to provide an injector available that does not cause the problems described. This object is achieved according to the invention with an injector having the features of claim 1.
  • injectors according to the invention are the subject of the dependent claims.
  • the injector according to the invention as a tube made of silicon
  • Pipe allows the injector from several pieces of pipe
  • the silicon injector according to the invention is not necessarily a straight tube. Rather, the injector according to the invention may also be a bent or angled tube.
  • the profile of the injector in an exemplary embodiment is other than circular.
  • the profile of the injector in particular in cross section, rectangular, oblong oval, triangular or
  • the preferred, inventive design of the injector with its non-circular, so non-circular profile allows more than one cavity (channel) to be provided in the injector for supplying gas for the treatment of the wafer.
  • Two channels have the advantage that alternatively different gases can be supplied. If one of the channels is misplaced, the other channel can be used to feed gas into the oven
  • Treating wafers used in boats can be used.
  • profile is understood in the present case to mean the external shape of the tube used according to the invention as an injector.
  • out of round includes all profiles which are non-circular in cross-section.
  • An injector 1 made of silicon according to the invention is designed as a tube 2 which may be straight, bent or angled (for example angled at 85-95 °).
  • the tube 2 has a substantially rectangular profile with convexly curved narrow surfaces 3.
  • a channel 4 is provided with a circular cross-section.
  • the profile of the tube 2 forming the injector 1 is rectangular.
  • a serving as an injector 1 tube 2 is shown, in which two channels 4 are provided.
  • the profile of the tube 2 is elongated, wherein the
  • Narrow surfaces 3 of the tube which are curved convex over
  • Fig. 4 shows a tube 2, which can be used as an injector 1, whose profile is similar to the profile shown in Fig. 3, wherein in the tube 2 a cross-sectionally elongated channel 4 is provided.
  • FIG. 5 shows a modification of that shown in FIG.
  • Embodiment of a tube 2 which can be used as an injector 1, wherein in the region of the channel 4 in the
  • the profile of the tube 2 shown in Fig. 5 can also be considered that of a circular tube with two outwardly projecting ribs
  • Fig. 6 shows an embodiment of a tube 2, which as
  • Injector 1 can be used, in which the profile of the tube 2 is an equilateral triangle. Alternatively to a
  • Fig. 7 shows an embodiment of a tube 2, as
  • Injector 1 can be used, the tube 2 a
  • Basic body having a circular cross-section comprises, whose
  • Base body outward stiffening ribs 8 from, so that a star-shaped profile of the tube 2 is present.
  • Stiffening ribs 8 need not be four, but may also be two (see Fig. 5) or three or more than four.
  • Injector 1 forming tube 2 from at least two pipe sections 10 to form .
  • the end surfaces (end faces) of the pipe sections 10 may be made smooth or profiled.
  • the connection of pipe sections 10 together can mechanically and / or as needed
  • FIG. 8 shows a pipe section 10, from which a pipe 2 for an injector 1 according to the invention can be produced by connecting it to further pipe sections 10 (see FIG.
  • the pipe sections 10 of Figs. 8 to 23 may have one of the shapes shown in Figs. 1 to 7 with one or two channels 4.
  • Fig. 10 shows a longitudinal section of a composite of two pipe sections 10 tube 2 for an injector 1, wherein the
  • Fig. 11 shows the tube 2 of Fig. 10 in an exploded view.
  • one end of a pipe section 10 has an annular rib 12 protruding beyond the end surface 11 and forming an annular groove 13 in the end surface 11 of the other
  • Pipe piece 10 engages.
  • Embodiment have opposite end surfaces 11, wherein a protruding ring member 14 in a recess 15 of other tube piece 10 engages (Fig. 14).
  • a pipe piece 10 carries (at least) one end surface 11 thereof
  • Pipe piece 10 engages.
  • Embodiment contribute to their end surfaces 11 part or
  • Figs. 20 and 21 corresponds to that of Figs. 14 and 15 with the proviso that the ring member 14 is shorter and the recess 15 is formed less long.
  • the pipe sections 10 are positively coupled by a keyhole in the wall of a piece of pipe 10, open towards the end surface 1 recess 19 and the other piece of pipe 10 two of its end faces 11 projecting, gegen somn shaped Projections 20 are provided.
  • pipe sections 10 are also considered, which are formed at both ends according to one of the embodiments shown in FIGS. 10 to 23, so that three or more than three pipe sections 10 to form injectors 1 tubes 2 can be assembled and interconnected ,
  • Process chamber is initiated, in particular in the
  • Another advantage of the injector 1 according to the invention is its prolonged duration of use and in addition that the
  • two, three or more than three channels 4 may be provided for the supply of gas.
  • injectors 1 tubes 2 which are also provided with injectors made of quartz glass usual outlet openings for the gas (process gas).
  • an injector 1 which is made of silicon and in processes, in particular processes in the Semiconductor technology that allows gas to be introduced into process chambers.
  • the injector 1 is formed as a pipe 2, which optionally consists of at least two pipe sections 10, are provided in the outlet openings for the gas to be introduced into the process chamber.
  • the tube 2, which serves as an injector 1 at least one channel 4 is provided in the tube 2, which serves as an injector 1, at least one channel 4 is provided.
  • the profile of serving as an injector 1 tube 2 is non-circular, so deviates from a circular profile, with elongated, triangular or star-shaped profile shapes are taken into consideration.

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

Vorgeschlagen wird ein Injektor (1), der aus Silizium gefertigt ist und der bei Prozessen, insbesondere Prozessen in der Halbleitertechnik, das Einleiten von Gas in Prozesskammern erlaubt. Der Injektor (1) ist als Rohr (2), das gegebenenfalls aus wenigstens zwei Rohrstücken (10) besteht, ausgebildet, in dem Austrittsöffnungen für das in die Prozesskammer einzubringende Gas vorgesehen sind. In dem Rohr (2), das als Injektor 1 dient, ist wenigstens ein Kanal (4) vorgesehen. Das Profil des als Injektor (1) dienenden Rohres (2) ist unrund, weicht also von einem kreisförmigen Profil ab, wobei längliche, dreieckige oder sternförmige Profilformen in Betracht gezogen sind.

Description

Injektor aus Silizium für die Halbleiterindustrie
Die Erfindung betrifft einen Injektor mit den Merkmalen des einleitenden Teils von Anspruch 1.
Beim Herstellen von Wafern werden Wafer in Halterungen (Boote) eingesetzt und in Behandlungsräume (Öfen) eingebracht, in denen sie mit Gas behandelt werden.
Das Gas, mit dem Wafer behandelt werden, wird in den Ofen über einen Injektor, der im Normalfall ein gebogenes oder
gewinkeltes, mit Löchern versehenes Rohr aus Quarzglas ist, eingebracht .
US 2006/0185589 AI beschreibt einen Injektor aus Silizium für Gas, der beim thermischen Behandeln von Halbleiterwafern
eingesetzt werden kann. Den Zeichnungen, beispielsweise Fig. 2 von US 2006/0185589 AI, ist zu entnehmen, dass der Injektor eine im Querschnitt kreisförmige Bohrung aufweist und aus Halbschalen gebildet ist. Die Außenform des Rohres ist beispielsweise rechteckig. Fig. 11 von US 2006/0185589 AI ist zu entnehmen, dass das freie Ende des Rohres verschlossen ist und dass im Rohr Austrittsöffnungen vorgesehen sind. Bei US 2006/0185589 AI ist der Injektor aus Halbschalen zusammengesetzt, was bei den
Bedingungen, unter denen gattungsgemäße Injektoren eingesetzt werden, problematisch ist.
US 5,943,471 A befasst sich vornehmlich mit dem Verdampfen von Feststoffen für ein CVD-Verfahren . Die in US 5,943,471 A
beschriebene Vorrichtung umfasst einen hohlen Bauteil, der mit einem Injektor verbunden ist, der mit einer Eingangsöffnung und einer Reaktionskammer, die das Substrat enthält, kommuniziert. In US 5,943,471 A finden sich keine Angaben, aus welchem
Werkstoff die Bestandteile der Vorrichtung für das CVD-Verfahren bestehen können.
US 2008/0286981 AI befasst sich mit einem Verfahren zum Behandeln von Halbleiterwafern in einer Prozesskammer, wobei auf den Wafer in situ Titannitrid und Silizium abgeschieden wird. Hierzu sind bei den in den Fig. 4 und 5 von US 2008/0286981 AI gezeigten Ausführungsformen in der Prozesskammer Injektoren vorgesehen, durch die Gas eingeleitet wird. Werkstoffe, aus welchen die Injektoren bestehen können, sind nicht geoffenbart. Fig. 8 von US 2008/0286981 AI zeigt, dass Injektoren einen länglich-ovalen Querschnitt aufweisen können. In Fig. 7 ist auch gezeigt, dass die Injektoren seitliche Austrittsöffnungen aufweisen können. Solche Austrittsöffnungen sind auch in Fig. 8 gezeigt. US 2008/0286981 AI enthält keine Angaben, aus welchem Werkstoff die Injektoren gefertigt sein können.
EP 0 582 444 AI betrifft eine Vorrichtung für das CVD-Verfahren, mit der SiC hoher Reinheit hergestellt wird. Die Vorrichtung umfasst drei Injektorrohre, deren Konstruktion in Fig. 3 gezeigt ist. Fig. 3 von EP 0 582 444 AI ist zu entnehmen, dass in den Injektorrohren drei konzentrische Rohre enthalten sind, die ringförmige Kanäle definieren. Ausschließlich der mittlere Kanal wird für das Zuführen von Gas in eine Kammer verwendet. Die äußeren Kanäle dienen für das Zirkulieren von Kühlmedium. Auch EP 0 582 444 AI enthält keine Angaben über den Werkstoff, aus dem die Injektoren hergestellt sein können.
Problematisch bei den bekannten Injektoren aus Quarzglas ist es, dass Ablagerungen, die aufgrund des Behandlungsprozesses auf dem Injektor aus Quarzglas entstehen, aufgrund thermischer
Spannungen abspringen und das ordnungsgemäße Herstellen von Wafern beeinträchtigen können.
Es entstehen durch das Absplittern Partikel (flakings) , die in Prozessen der Halbleiterindustrie nicht erwünscht sind.
Der Erfindung liegt die Aufgabe zugrunde, einen Injektor zur Verfügung zu stellen, der die geschilderten Probleme nicht verursacht . Gelöst wird diese Aufgabe erfindungsgemäß mit einem Injektor, der die Merkmale von Anspruch 1 aufweist.
Bevorzugte und vorteilhafte Ausgestaltungen des
erfindungsgemäßen Injektors sind Gegenstand der Unteransprüche.
Da der erfindungsgemäße Injektor als Rohr aus Silizium
ausgebildet ist, ergeben sich keine thermischen Spannungen, die das Abplatzen von Ablagerungen (flakings) verursachen könnten. Überdies wird mit dem erfindungsgemäßen Injektor das Bilden von Ablagerungen verhindert oder wenigstens verringert.
Die erfindungsgemäße Ausbildung des den Injektor bildenden
Rohres erlaubt es, den Injektor aus mehreren Rohrstücken
zusammenzusetzen, wozu bevorzugt an den Stoßstellen glatte oder profilierte Stirnflächen der Rohrstücke vorliegen, die durch ein Kristallisations-Verfahren und/oder mechanisch miteinander zu einem einen Injektor bildenden Rohr verbunden sind.
Der erfindungsgemäße Injektor aus Silizium ist nicht zwingend ein gerades Rohr. Vielmehr kann der erfindungsgemäße Injektor auch ein gebogenes oder abgewinkeltes Rohr sein.
Um dem erfindungsgemäßen Injektor aus Silizium eine für seinen Einsatz in Öfen zum Behandeln von Wafern mit Behandlungsgas, auch bei erhöhten Temperaturen, hinreichende mechanische
Stabilität zu geben, ist das Profil des Injektors in einer beispielhaften Ausführungsform anders als kreisrund.
Beispielsweise kann das Profil des Injektors, insbesondere im Querschnitt, rechteckig, länglich oval, dreieckig oder
sternförmig ausgebildet sein.
Die bevorzugte, erfindungsgemäße Ausbildung des Injektors mit seinem unrunden, also nicht kreisrunden Profil, erlaubt es, in dem Injektor mehr als einen Hohlraum (Kanal) für das Zuführen von Gas für das Behandeln des Wafer vorzusehen. Zwei Kanäle haben den Vorteil, dass alternativ unterschiedliche Gase zugeführt werden können. Wenn einer der Kanäle verlegt ist, kann der andere Kanal für das Zuführen von Gas in den Ofen zum
Behandeln von Wafern, die in Boote eingesetzt sind, verwendet werden .
Mit dem Begriff "Profil" wird im Vorliegenden die äußere Form des erfindungsgemäß als Injektor verwendeten Rohres verstanden.
Der im Vorliegenden verwendete Begriff "unrund" umfasst alle Profile, die im Querschnitt nicht kreisrund sind.
Weitere Einzelheiten und Merkmale der Erfindung ergeben sich aus der nachstehenden Beschreibung bevorzugter Ausführungsbeispiele anhand der Zeichnungen. Es zeigen:
im Querschnitt unterschiedliche Profile von als
Injektor dienenden Rohren aus Silizium,
ein einzelnes Rohrstück,
einen Injektor aus drei Rohrstücken und teilweise im Schnitt Varianten der Verbindung von Rohrstücken miteinander.
Ein erfindungsgemäßer Injektor 1, der aus Silizium gefertigt ist, ist als Rohr 2 ausgebildet, das gerade, gebogen oder gewinkelt (z.B. um 85 - 95° gewinkelt) sein kann.
Bei der in Fig. 1 gezeigten Ausführungsform eines Injektors 1 aus Silizium hat das Rohr 2 ein im Wesentlichen rechteckiges Profil mit konvex gekrümmten Schmalflächen 3. In dem Rohr 2 ist ein Kanal 4 mit kreisrundem Querschnitt vorgesehen.
Bei der in Fig. 2 gezeigten Ausführungsform ist das Profil des Rohres 2, das den Injektor 1 bildet, rechteckig. In Fig. 3 ist eine Ausführungsform eines als Injektor 1 dienenden Rohres 2 gezeigt, in dem zwei Kanäle 4 vorgesehen sind. Das Profil des Rohres 2 ist länglich, wobei die
Schmalflächen 3 des Rohres, die konvex gekrümmt sind, über
Abrundungen 5 in die Seitenflächen 6 des Rohres 2 übergehen.
Fig. 4 zeigt ein Rohr 2, das als Injektor 1 eingesetzt werden kann, dessen Profil dem in Fig. 3 gezeigte Profil ähnlich ist, wobei im Rohr 2 ein im Querschnitt länglich ausgebildeter Kanal 4 vorgesehen ist.
Fig. 5 zeigt eine Abwandlung der in Fig. 2 gezeigten
Ausführungsform eines Rohres 2, das als Injektor 1 eingesetzt werden kann, bei dem im Bereich des Kanals 4 in den
Seitenflächen 6 des Rohres Ausbauchungen 7 vorgesehen sind. Das in Fig. 5 gezeigte Profil des Rohres 2 kann auch als das eines kreisrunden Rohres mit zwei nach außen stehenden Rippen
verstanden werden.
Fig. 6 zeigt eine Ausführungsform eines Rohres 2, das als
Injektor 1 eingesetzt werden kann, bei der das Profil des Rohres 2 ein gleichseitiges Dreieck ist. Alternativ zu einem
gleichseitigen Dreieck kann das Profil des Rohres 2 ein
gleichschenkeliges oder ein beliebiges Dreieck sein.
Fig. 7 zeigt eine Ausführungsform eines Rohres 2, das als
Injektor 1 eingesetzt werden kann, wobei das Rohr 2 einen
Grundkörper mit kreisrundem Querschnitt umfasst, dessen
Außenfläche zum Kanal 4 im Rohr 2 konzentrisch ausgebildet ist. Im gezeigten Ausführungsbeisspiel stehen vom kreisrunden
Grundkörper nach außen Versteifungsrippen 8 ab, sodass ein sternförmiges Profil des Rohres 2 vorliegt. Die Zahl der
Versteifungsrippen 8 muss nicht vier betragen, sondern kann auch zwei (vgl. Fig. 5) oder drei oder mehr als vier sein.
Im Rahmen der Erfindung ist in Betracht gezogen, das den
Injektor 1 bildende Rohr 2 aus wenigstens zwei Rohrstücken 10 zu bilden .
Die Endflächen (Stirnflächen) der Rohrstücke 10 können glatt oder profiliert ausgeführt sein. Die Verbindung von Rohrstücken 10 miteinander kann mechanisch und/oder bei Bedarf
beispielsweise durch ein Kristallisationsverfahren erfolgen.
Einige vorteilhafte Ausführungsformen, um die mechanische
Verbindungsstabilität zu erhöhen, sind Stufen oder
Fingerausbildungen im Profil der Wandung oder im Profil des gesamten Rohrstückes 10. Auch eine Gewindeverbindung von
Rohrstücken 10 ist möglich.
Fig. 8 zeigt ein Rohrstück 10, aus dem durch Verbinden mit weiteren Rohrstücken 10 (vgl. Fig. 9) ein Rohr 2 für einen erfindungsgemäßen Injektor 1 hergestellt werden kann.
Die Rohrstücke 10 der Fig. 8 bis 23 können eine der in den Fig. 1 bis 7 gezeigte Form des Profils mit einem oder zwei Kanälen 4 haben .
Fig. 10 zeigt im Längsschnitt ein aus zwei Rohrstücken 10 zusammengesetztes Rohr 2 für einen Injektor 1, bei dem die
Rohrstücke 10 stumpf aneinander stoßen.
Fig. 11 zeigt das Rohr 2 der Fig. 10 in auseinandergezogener Darstellung .
Bei dem in den Fig. 12 und 13 gezeigten, aus zwei Rohrstücken 10 zusammengesetzten Rohr 2 weist ein Ende eines Rohrstückes 10 eine über die Endfläche 11 vorstehende Ringrippe 12 auf, die in eine ringförmige Nut 13 in der Endfläche 11 des anderen
Rohrstückes 10 eingreift.
Die Rohrstücke 10 der in den Fig. 14 und 15 gezeigten
Ausführungsform besitzen gegengleich gestufte Endflächen 11, wobei ein vorstehender Ringteil 14 in eine Aussparung 15 des anderen Rohrstückes 10 eingreift (Fig. 14) .
Bei der in den Fig. 16 und 17 gezeigten Ausführungsform trägt ein Rohrstück 10 an seiner Endfläche 11 (wenigstens) einen
Vorsprung 16, der in eine zur Endfläche 11 des anderen
Rohrstückes 10 hin offene Ausnehmung 17 in der Wand des
Rohrstückes 10 eingreift.
Die Rohrstücke 10 der in den Fig. 18 und 19 gezeigten
Ausführungsform tragen an ihren Endflächen 11 teil- oder
halbkreisförmig gekrümmte Ansätze 18, die einander bei
miteinander verbundenen Rohrstücken 10 zu einem geschlossenes Ring (Fig. 18) ergänzen.
Die in den Fig. 20 und 21 gezeigte Ausführungsform entspricht jener der Fig. 14 und 15 mit der Maßgabe, dass der Ringteil 14 kürzer und die Aussparung 15 weniger lang ausgebildet ist.
Bei der in den Fig. 22 und 23 gezeigten Ausführungsform sind die Rohrstücke 10 formschlüssig gekuppelt, indem in der Wand von einem Rohrstück 10 eine schlüssellochförmige, zur Endfläche 1 hin offene Ausnehmung 19 und am anderen Rohrstück 10 zwei von dessen Endflächen 11 abstehende, gegengleich geformte Vorsprünge 20 vorgesehen sind.
Wenngleich die in den Fig. 10 bis 23 gezeigten Rohrstücke 10 nur an jeweils einem Ende das Verbinden von Rohrstücken 10
erlaubende Ausgestaltungen aufweisen, sind auch Rohrstücke 10 in Betracht gezogen, die an beiden Enden gemäß einer der Fig. 10 bis 23 gezeigten Ausführungsformen ausgebildet sind, so dass drei oder mehr als drei Rohrstücke 10 zu Injektoren 1 bildenden Rohren 2 zusammengesetzt und miteinander verbunden werden können .
Auch bei formschlüssig ineinandergreifenden Rohrstücken 10 (Fig. 12 bis 23) ist erfindungsgemäß in Betracht gezogen, dass die zum Rohr 2 des Injektors 1 zusammengesetzten Rohrstücke 10 miteinander durch ein Kristallisationsverfahren verbunden sind.
Beim Verwenden eines erfindungsgemäßen Injektors 1 aus Silizium bei Prozessen, bei welchen Gas zum Behandeln in eine
Prozesskammer eingeleitet wird, insbesondere in der
Halbleitertechnik im Zuge des Herstellens von Chips enthaltenden Wafern, ergibt sich - anders als bei bekannten, aus Quarzglas bestehenden Injektoren - nicht mehr das Problem des Entstehens von Partikeln (flakings) .
Insbesondere hat sich auch als vorteilhaft herausgestellt, dass beim Verwenden von erfindungsgemäßen Injektoren 1 weniger
Verunreinigungen und Partikelbildungen auftreten.
Ein weiterer Vorteil des erfindungsgemäßen Injektors 1 ist dessen verlängerte Einsatzdauer und zusätzlich, dass der
Behandlungsprozess sauberer wird.
Durch die bevorzugte äußere Form des Profils des als Injektor 1 verwendeten Rohres 2, die nicht kreisrund ist, wird die
Stabilität des Injektors 1 erhöht.
Wie bereits erwähnt und beispielsweise in Fig. 3 gezeigt, können bei Bedarf in dem als Injektor 1 verwendeten Rohr 2 mehrere, beispielsweise zwei, drei oder mehr als drei Kanäle 4 für das Zuführen von Gas vorgesehen sein.
Obwohl in den Zeichnungen nicht gezeigt, sind in den
erfindungsgemäß als Injektoren 1 eingesetzten Rohren 2 die auch bei Injektoren aus Quarzglas üblichen Austrittsöffnungen für das Gas (Prozessgas) vorgesehen.
Zusammenfassend kann ein Ausführungsbeispiel der Erfindung wie folgt beschrieben werden:
Vorgeschlagen wird ein Injektor 1, der aus Silizium gefertigt ist und der bei Prozessen, insbesondere Prozessen in der Halbleitertechnik, das Einleiten von Gas in Prozesskammern erlaubt. Der Injektor 1 ist als Rohr 2, das gegebenenfalls aus wenigstens zwei Rohrstücken 10 besteht, ausgebildet, in dem Austrittsöffnungen für das in die Prozesskammer einzubringende Gas vorgesehen sind. In dem Rohr 2, das als Injektor 1 dient, ist wenigstens ein Kanal 4 vorgesehen. Das Profil des als Injektor 1 dienenden Rohres 2 ist unrund, weicht also von einem kreisförmigen Profil ab, wobei längliche, dreieckige oder sternförmige Profilformen in Betracht gezogen sind.

Claims

Ansprüche :
1. Injektor (1) für das Zuführen von Gas in eine
Prozesskammer, umfassend ein Rohr (2), in dem
Austrittsöffnungen für das Gas vorgesehen sind, wobei das als Injektor (1) dienende Rohr (2) aus Silizium besteht, dadurch gekennzeichnet, dass das Rohr (2) einteilig
ausgebildet oder aus wenigstens zwei jeweils einteiligen Rohrstücken (10) zu dem Rohr (2) zusammengesetzt ist.
2. Injektor nach Anspruch 1, dadurch gekennzeichnet, dass das Profil des als Injektor (1) dienenden Rohres (2) unrund ist, indem es von einem kreisförmigen Profil abweicht.
3. Injektor nach Anspruch 1 oder 2, dadurch gekennzeichnet, dass in dem Rohr (2) wenigstens ein Kanal (4) für das Gas vorgesehen ist.
4. Injektor nach einem der Ansprüche 1 bis 3, dadurch
gekennzeichnet, dass im Rohr (2) zwei Kanäle (4) für das Gas, die zueinander parallel verlaufend angeordnet sind, vorgesehen sind.
5. Injektor nach einem der Ansprüche 1 bis 4, dadurch
gekennzeichnet, dass das Profil des als Injektor (1) dienenden Rohres (2) rechteckig ist.
6. Injektor nach Anspruch 5, dadurch gekennzeichnet, dass die Schmalseiten (3) des Rohres (2) konvex gekrümmt sind.
7. Injektor nach Anspruch 5 oder 6, dadurch gekennzeichnet, dass die Schmalseiten (3) des Rohres (2) über Krümmungen (5) in die Seitenflächen (6) des Rohres (2) übergehen.
8. Injektor nach einem der Ansprüche 1 bis 4, dadurch
gekennzeichnet, dass das als Injektor (1) dienende Rohr (2) einen Grundkörper aufweist, der ein konzentrisch zum Kanal (4) im Rohr (2) gekrümmtes Profil aufweist, und dass vom Grundkörper nach außen wenigstens zwei Rippen (8) abstehen.
9. Injektor nach Anspruch 8, dadurch gekennzeichnet, dass die Rippen (8) einander diametral gegenüberliegend vorgesehen sind .
10. Injektor nach Anspruch 8 oder 9, dadurch gekennzeichnet, dass genau zwei Rippen (8) vorgesehen sind.
11. Injektor nach Anspruch 8 oder 9, dadurch gekennzeichnet, dass drei, vier oder mehr als vier Rippen (8) vorgesehen sind .
12. Injektor nach einem der Ansprüche 2 bis 11, dadurch
gekennzeichnet, dass das als Injektor (1) dienende Rohr (2) ein dreieckförmiges Profil aufweist.
13. Injektor nach einem der Ansprüche 3 bis 12, dadurch
gekennzeichnet, dass der wenigstens eine Kanal (4) für das Gas eine längliche Querschnittsform aufweist.
14. Injektor nach einem der Ansprüche 1 bis 13, dadurch
gekennzeichnet, dass das als Injektor (1) dienende Rohr (2) aus wenigstens zwei Rohrstücken (10) zusammengesetzt ist.
15. Injektor nach Anspruch 14, dadurch gekennzeichnet, dass
Rohrstücke (10) miteinander zu einem Rohr (2) verbunden sind .
16. Injektor nach Anspruch 14 oder 15, dadurch gekennzeichnet, dass die Rohrstücke (10) im Bereich einer ihrer Endflächen (11) für eine formschlüssige Verbindung gegengleich
ausgebildet sind.
EP16816680.9A 2015-12-22 2016-12-19 Injektor aus silizium für die halbleiterindustrie Withdrawn EP3394317A1 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
ATA815/2015A AT518081B1 (de) 2015-12-22 2015-12-22 Injektor aus Silizium für die Halbleiterindustrie
PCT/EP2016/081788 WO2017108714A1 (de) 2015-12-22 2016-12-19 Injektor aus silizium für die halbleiterindustrie

Publications (1)

Publication Number Publication Date
EP3394317A1 true EP3394317A1 (de) 2018-10-31

Family

ID=57609892

Family Applications (1)

Application Number Title Priority Date Filing Date
EP16816680.9A Withdrawn EP3394317A1 (de) 2015-12-22 2016-12-19 Injektor aus silizium für die halbleiterindustrie

Country Status (7)

Country Link
US (1) US20190055652A1 (de)
EP (1) EP3394317A1 (de)
JP (1) JP2019503086A (de)
KR (1) KR20180095073A (de)
AT (1) AT518081B1 (de)
DE (1) DE212016000248U1 (de)
WO (1) WO2017108714A1 (de)

Families Citing this family (300)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD881373S1 (en) * 2017-08-18 2020-04-14 Steven S. Wagner Exhaust fan screen
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
AT520629B1 (de) * 2018-05-22 2019-06-15 Sico Tech Gmbh Injektor aus Silizium für die Halbleiterindustrie
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3913132A1 (de) * 1989-04-21 1990-12-20 Hoechst Ag Verfahren zum gleichmaessigen einleiten eines fluids und vorrichtung zur durchfuehrung des verfahrens
CA2099788A1 (en) * 1992-07-31 1994-02-01 Michael A. Pickering Ultra pure silicon carbide and high temperature semiconductor processing equipment made therefrom
US5764849A (en) * 1996-03-27 1998-06-09 Micron Technology, Inc. Solid precursor injector apparatus and method
KR100870807B1 (ko) * 2003-08-07 2008-11-27 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치 및 반도체 장치의 제조 방법
FR2882064B1 (fr) * 2005-02-17 2007-05-11 Snecma Propulsion Solide Sa Procede de densification de substrats poreux minces par infiltration chimique en phase vapeur et dispositif de chargement de tels substrats
US20060185589A1 (en) * 2005-02-23 2006-08-24 Raanan Zehavi Silicon gas injector and method of making
US7632354B2 (en) * 2006-08-08 2009-12-15 Tokyo Electron Limited Thermal processing system with improved process gas flow and method for injecting a process gas into a thermal processing system
JP5045033B2 (ja) * 2006-08-31 2012-10-10 住友電気工業株式会社 気相成長装置及び化合物半導体膜の成長方法
US7758698B2 (en) * 2006-11-28 2010-07-20 Applied Materials, Inc. Dual top gas feed through distributor for high density plasma chamber
JP2008227163A (ja) * 2007-03-13 2008-09-25 Hitachi Kokusai Electric Inc 基板処理装置
US7629256B2 (en) * 2007-05-14 2009-12-08 Asm International N.V. In situ silicon and titanium nitride deposition
RU2499081C2 (ru) * 2008-03-26 2013-11-20 ДжиТиЭйТи Корпорейшн Системы и способы распределения газа в реакторе для химического осаждения из паровой фазы
WO2009125477A1 (ja) * 2008-04-08 2009-10-15 株式会社島津製作所 プラズマcvd用のカソード電極、およびプラズマcvd装置
WO2010098319A1 (ja) * 2009-02-27 2010-09-02 株式会社トクヤマ 多結晶シリコンロッド及びその製造装置
WO2011038242A2 (en) * 2009-09-25 2011-03-31 Ferrotec (Usa) Corporation Hybrid gas injector
JP5396264B2 (ja) * 2009-12-25 2014-01-22 東京エレクトロン株式会社 成膜装置
US8409352B2 (en) * 2010-03-01 2013-04-02 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, method of manufacturing substrate and substrate processing apparatus
KR101313262B1 (ko) * 2010-07-12 2013-09-30 삼성전자주식회사 화학 기상 증착 장치 및 이를 이용한 반도체 에피 박막의 제조 방법
US10174422B2 (en) * 2012-10-25 2019-01-08 Applied Materials, Inc. Apparatus for selective gas injection and extraction
JP6115212B2 (ja) * 2013-03-14 2017-04-19 三菱化学株式会社 周期表第13族金属窒化物半導体結晶の製造方法、それに用いる製造装置

Also Published As

Publication number Publication date
JP2019503086A (ja) 2019-01-31
AT518081A4 (de) 2017-07-15
KR20180095073A (ko) 2018-08-24
US20190055652A1 (en) 2019-02-21
AT518081B1 (de) 2017-07-15
DE212016000248U1 (de) 2018-07-31
WO2017108714A1 (de) 2017-06-29

Similar Documents

Publication Publication Date Title
WO2017108714A1 (de) Injektor aus silizium für die halbleiterindustrie
WO2019224098A1 (de) Injektor aus silizium für die halbleiterindustrie
EP3458760B1 (de) Fitting zur herstellung einer dichten verbindung umfassend ein halteelement mit kontaktflächen
DE602005003216T2 (de) Vorrichtung zur verarbeitung von hochkorrosiven mitteln
DE102015010532A1 (de) Filtervorrichtung nebst Filterelement
DE102015103369A1 (de) Mini-Autoklav-Vorrichtung zur Herstellung von Kunststoff-Verbundwerkstoffen
DE4238859C1 (de) Drosselvorrichtung, insbesondere Drosselventil
DE202015006861U1 (de) Schutzprofilsystem
DE102007016940B4 (de) Verteilerrohr
DE202005004221U1 (de) Vorrichtung zur Befestigung eines Anschlussfittings an einem Gegenstand, insbesondere an einer Wand
DE10004159C2 (de) Düsenstock für Gasbrenner
DE10334050A1 (de) Vorrichtung zur Befestigung eines Anschlussfittings an einem Gegenstand, insbesondere an einer Wand
EP2033523B1 (de) Backblech
EP0252225A2 (de) Beschlag für einen Ganzglasflügel einer Tür oder eines Fensters
DE102019212117A1 (de) Gasabfuhrsystem
DE102019006949B4 (de) Vibrationsdämpfende Schienenlagerung und Verfahren zur Herstellung einer Vibrationsdämpfenden Schienenlagerung
DE102010028262A1 (de) Verbindungsvorrichtung zur lösbaren und dichten Verbindung von Bauteilen
DE102019007768A1 (de) Düsenmittel, Verwendung und Verfahren zur Herstellung
DE10207358C1 (de) Vorrichtung zum Verriegeln einer Überwurfmutter für eine Kupplung
AT83769B (de) Kamm.
DE439405C (de) Vorrichtung zum Verbinden von Vorwaermerrohren mit den Endkammern
DE329248C (de) Zuendgewoelbe fuer Lokomotivfeuerungen u. dgl. aus Steinen mit beiderseitig weggeschnittenen oberen und unteren Endkanten
DE8106205U1 (de) Ventilaggregat, insbesondere fuer kolbenkompressoren o.dgl.
DE460028C (de) Kammerofen
Koswonin NEUE HOCHFESTE STÄHLE FÜR DEN MODERNEN MASCHINENBAU

Legal Events

Date Code Title Description
PUAI Public reference made under article 153(3) epc to a published international application that has entered the european phase

Free format text: ORIGINAL CODE: 0009012

17P Request for examination filed

Effective date: 20180719

AK Designated contracting states

Kind code of ref document: A1

Designated state(s): AL AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HR HU IE IS IT LI LT LU LV MC MK MT NL NO PL PT RO RS SE SI SK SM TR

AX Request for extension of the european patent

Extension state: BA ME

DAV Request for validation of the european patent (deleted)
DAX Request for extension of the european patent (deleted)
17Q First examination report despatched

Effective date: 20190523

STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: THE APPLICATION IS DEEMED TO BE WITHDRAWN

18D Application deemed to be withdrawn

Effective date: 20191003