EP1305834A1 - Feldeffekttransistor, schaltungsanordnung und verfahren zum herstellen eines feldeffekttransistors - Google Patents

Feldeffekttransistor, schaltungsanordnung und verfahren zum herstellen eines feldeffekttransistors

Info

Publication number
EP1305834A1
EP1305834A1 EP01960126A EP01960126A EP1305834A1 EP 1305834 A1 EP1305834 A1 EP 1305834A1 EP 01960126 A EP01960126 A EP 01960126A EP 01960126 A EP01960126 A EP 01960126A EP 1305834 A1 EP1305834 A1 EP 1305834A1
Authority
EP
European Patent Office
Prior art keywords
field effect
effect transistor
region
nanotube
nano
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Ceased
Application number
EP01960126A
Other languages
English (en)
French (fr)
Inventor
Johannes Kretz
Richard Johannes Luyken
Wolfgang Roesner
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Infineon Technologies AG
Original Assignee
Infineon Technologies AG
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Infineon Technologies AG filed Critical Infineon Technologies AG
Publication of EP1305834A1 publication Critical patent/EP1305834A1/de
Ceased legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0676Nanowires or nanotubes oriented perpendicular or at an angle to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78642Vertical transistors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K10/00Organic devices specially adapted for rectifying, amplifying, oscillating or switching; Organic capacitors or resistors having potential barriers
    • H10K10/40Organic transistors
    • H10K10/46Field-effect transistors, e.g. organic thin-film transistors [OTFT]
    • H10K10/462Insulated gate field-effect transistors [IGFETs]
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K85/00Organic materials used in the body or electrodes of devices covered by this subclass
    • H10K85/20Carbon compounds, e.g. carbon nanotubes or fullerenes
    • H10K85/221Carbon nanotubes
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K85/00Organic materials used in the body or electrodes of devices covered by this subclass
    • H10K85/60Organic compounds having low molecular weight
    • H10K85/615Polycyclic condensed aromatic hydrocarbons, e.g. anthracene
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/902Specified use of nanostructure
    • Y10S977/932Specified use of nanostructure for electronic or optoelectronic application
    • Y10S977/936Specified use of nanostructure for electronic or optoelectronic application in a transistor or 3-terminal device
    • Y10S977/938Field effect transistors, FETS, with nanowire- or nanotube-channel region

Definitions

  • the invention relates to a field effect transistor, a circuit arrangement and a method for producing a field effect transistor.
  • Such a field effect transistor such a circuit arrangement and a method for producing a field effect transistor are known from [1].
  • a conventional field effect transistor has a source region, a drain region and a channel region located between the source region and the drain region.
  • a conventional field effect transistor has a gate region in which the electrical conductivity of the channel region is controlled by applying a voltage, that is to say an electrical potential to the gate region, in such a way that the field effect transistor is either electrically blocking or electrically is operable in a managerial manner.
  • a common field effect transistor is based on pure semiconductor microelectronics, which uses silicon technology, for example.
  • Conventional silicon microelectronics has physical limits, in particular when the size of the electronic components is reduced, for example when the size of a field effect transistor is reduced.
  • the known semiconductor technology in which semiconductor layers are deposited one above the other and the individual regions of the field effect transistor are formed in the individual layers by doping the respective regions with doping atoms, is not suitable for a real three-dimensional Dimensional integration in an electrical circuit arrangement is suitable.
  • carbon nanotubes Fundamentals of so-called carbon nanotubes, which are referred to hereinafter as carbon nanotubes, are also known from [2].
  • a method for producing carbon nanotubes by growing the carbon nanotubes on a substrate is known from [3] and [4].
  • a method for producing a silicon nanowire is also known from [5].
  • the invention is based on the problem of specifying a field effect transistor, a circuit arrangement and a method for producing a field effect transistor which is better suited for three-dimensional integration than field effect transistors which are based exclusively on the technology principles described in [1].
  • a field effect transistor has a source region, a drain region and a gate region.
  • the gate region is arranged between the source region and the drain region.
  • the gate region which is formed from conductive material, for example from a conductive layer with aluminum, titanium, tungsten, gold, silver or an alloy of at least one of the aforementioned materials, has at least a through hole, which is also referred to as a pore. Basically, any number of through holes are provided in the gate area.
  • At least one nano-element is provided, which is electrically coupled to the source region and the drain region of the field effect transistor.
  • a nanoelement is understood to mean, for example, a nanotube and / or a nanowire, for example a semiconducting carbon nanotube or a semiconducting silicon nanowire.
  • the nanoelement can also have a heterostructure with a plurality of regions, preferably a first metallically conductive region, a second electrically conductive region and an electrically insulating region which is arranged between the first metallically conductive and the second metallically conductive region.
  • the regions can be formed both in a one-piece structure, for example a carbon nanotube, by forming different electrical properties in different regions of the respective nanotube or in a silicon nanowire.
  • the heterostructure can also be formed as a sub-element by correspondingly joining together the individual regions, which results in the hetero structure described above, with sufficient accuracy.
  • the invention makes it possible for the first time to use a field-effect transistor which can be used for real three-dimensional integration within an integrated circuit in the context of microelectronics. Furthermore, the dimension, that is to say the space required on a chip area of such a field effect transistor, is considerably smaller than that of a known field effect transistor, since the nanowire or the nanotube forming the channel region is designed to be very small, that is to say it has a diameter of up to only 1 nm.
  • the first metallically conductive region of the nanotube is a metallically conductive carbon nanotube or part of a carbon nanotube that is metallically conductive in the first metallically conductive region.
  • the second metallically conductive region can likewise be a metallically conductive carbon nanotube or a partial region of the carbon nanotube which also has the first metallically conductive region, the second metallically conductive region also being metallically conductive.
  • an electrically insulating area of the nanotube is formed as a boron nitride nanotube.
  • the respective electrically insulating region is formed by correspondingly doping the respective region with boron atoms and nitrogen atoms as described in [6].
  • the source region can contain a material which is catalytically active for the formation, that is to say the growth or deposition, from the gas phase, as described in [3] and [4].
  • the catalytically active for the formation of the nanotubes Materi ⁇ al may contain nickel, cobalt, iron or an alloy of at least one of the aforementioned materials.
  • the nano-element is arranged and designed in the through hole such that it passes over the gate region in its
  • the resulting structure that is to say the field effect transistor resulting therefrom, has the particular advantage that a carbon nanotube is very easy to handle and stable, so that the susceptibility to errors of such a field effect transistor continues is reduced.
  • a field-effect transistor is clearly formed, which is based on a tunnel principle of electrical charge carriers, the tunneling being controllable on the basis of the electrical potential which is applied to the gate region.
  • the drain area contains nickel, cobalt or an alloy of nickel and / or cobalt.
  • a circuit arrangement has at least one field effect transistor of the type shown above.
  • Such a circuit arrangement has, in particular, the advantage of the increased integration, which is now also possible three-dimensionally more completely and the associated reduction Space requirement, that is, a considerably increased integration density of the components on a chip.
  • a source layer is applied to a substrate, and undoped or doped silicon, glass, quartz or also sapphire can be used as the substrate.
  • An electrically conductive gate layer is applied to the source layer.
  • at least one through hole is formed in the gate layer, preferably by means of dry etching, since when using a dry etching method to form the through holes in the gate region, in particular vertical structures can be very precisely etched.
  • At least one nano-element which is electrically coupled to the source layer, is introduced into the through hole.
  • the nanoelement is arranged and designed such that its conductivity can be controlled via the gate region, so that the nanoelement forms the channel region of the field effect transistor.
  • the nano-element is grown or deposited, for example, on a catalyst material located on the bottom of the through hole.
  • a carbon nanotube outside the through hole and then to place it mechanically in the through hole, for example using an atomic force microscope in such a way that the carbon nanotube introduced into the through hole is in electrical contact with the ground, that is to say with the top Surface of the source layer comes.
  • a drain layer is applied to the gate layer such that the drain layer is likewise electrically coupled to the nano-element.
  • the nano-element has a length which is greater than the length of the through-hole, so that the nano-element still extends beyond the surface of the through-hole and thus extends on the gate when the drain layer is grown or deposited Layer automatically forms an electrical contact between the drain layer and the nanoelement.
  • Fig.la shows a substrate, according to this embodiment made of silicon dioxide 101.
  • quartz or sapphire can also be used as the substrate 101 instead of the silicon dioxide.
  • a source layer 102 made of nickel is applied and structured using photolithography.
  • the source layer 102 forms the source region of the field effect transistor to be formed.
  • any suitable metal in particular cobalt or iron, can in principle be used as the alternative material for the source layer 102.
  • a dialuminium trioxide layer (Al 2 O 3) 103 is deposited on the structured source layer 102 forming the source electrode and on the substrate 101.
  • This dialuminium trioxide layer 103 which is relatively thin compared to the source layer 102, serves as a dielectric with which the gate electrode, which is formed by a gate layer described below, is electrically insulated from the source layer 102.
  • the source layer 102 is approximately 100 nm thick and the dialuminium trioxide layer 103 has a layer thickness of approximately 20 nm.
  • an aluminum layer is deposited and structured on the dialuminium trioxide layer 103, so that the structured aluminum layer, which is referred to as gate layer 104 hereinafter, is the gate of the one to be formed Field effect transistor 100 represents.
  • the gate layer 104 also has a thickness of approximately 100 nm and is applied by means of a suitable CVD method or a sputtering method, a vapor deposition method or an epitaxy method.
  • titanium, tungsten, silver or gold can be used for the gate layer 104 instead of the aluminum.
  • a further layer 105 made of dialuminium trioxide is deposited by means of a suitable CVD process or a sputtering process or an evaporation process and the further layer 105 which is formed and is of any desired thickness is reduced to a thickness by means of a chemical mechanical polishing process (CMP process) such that the upper surface of the further layer 105 made of dialuminium trioxide.
  • CMP process chemical mechanical polishing process
  • Layer 105 is level with the top surface of gate layer 104.
  • photoresist is applied to the gate layer and the further layer 105 in the gate layer 104 by means of, for example, photolithography and self-adjusted known narrowing methods, and structuring takes place in such a way that in a further step, holes 106 in the gate are dry-etched. Layer 105 are etched.
  • the through holes 106 formed in this way have a diameter of approximately 1 nm to 10 nm.
  • the dry etching process is carried out until both the material of the gate layer 104 and the material of the dialuminium trioxide layer 103 underneath it are removed in the holes 106 formed.
  • material 107 is applied in the through holes 106 in a further step, which material is used for further described growth or deposition of carbon nanotubes or silicon nanowires Through hole 106 acts catalytically.
  • nickel, cobalt or iron is used as material 107.
  • the deposition takes place in such a way that the respective carbon nanotubes are electrically coupled to the source layer 102 via the catalytically active metals 107.
  • the grown carbon nanotubes are semiconducting carbon nanotubes.
  • the carbon nanotubes can be controlled in terms of their conductivity by means of the known field effect by applying an electrical voltage to the gate region, that is to say to the gate layer 104, so that the carbon nanotubes 108 clearly have the functionality of the channel region of a field effect transistor.
  • silicon nanowires can be grown into the through holes 106, as described above, using selective silicon epitaxy in accordance with the method known from [5].
  • silicon nanowires can also be used as a channel region of a field effect transistor by means of a field effect which is developing.
  • part of the metal of the gate layer 104 in particular, for example, the aluminum of the gate layer 104, is oxidized, so that a thin oxidized gate layer, for example made of dialuminium trioxide 109, is between the metal, for example aluminum Gate layer and the nano-elements, for example the carbon nanotubes or the silicon nanowires.
  • a further metal layer 110 is deposited on the oxidized layer 109 and the further layer 105 as a drain layer forming the drain of the field effect transistor and structured by means of lithographic methods.
  • the drain layer 110 can have nickel, alternatively also cobalt.
  • the carbon nanotubes 108 or the silicon nanowires have a length such that they protrude beyond the upper surface of the oxidized layer 109 after their deposition or their growth.
  • an electrical contact to the nanoelement is automatically created, that is to say, for example, to the carbon nanotube 108 or to the silicon nanowire.

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Nanotechnology (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Thin Film Transistor (AREA)

Abstract

Der Gate-Bereich eines Feldeffekttransistors weist mindestens ein Durchgangsloch auf, in dem ein Nanoelement vorgesehen ist, das mit Source und Drain elektrisch gekoppelt ist. Das Nanoelement ist über das Gate in seiner Leitfähigkeit steuerbar, so dass das Nanoelement einen Kanal-Bereich des Feldeffekttransistors bildet.

Description

Beschreibung
Feldef ekttransistor, Schaltungsanordnung und Verfahren zum Herstellen eines Feldeffekttransistors
Die Erfindung betrifft einen Feldeffekttransistor, eine Schaltungsanordnung sowie ein Verfahren zum Herstellen eines Feldeffekttransistors .
Ein solcher Feldeffekttransistor, eine solche Schaltungsanordnung und ein Verfahren zum Herstellen eines Feldeffekttransistors sind aus [1] bekannt.
Ein üblicher Feldeffekttransistor weist einen Source-Bereich, einen Drain-Bereich sowie einen zwischen dem Source-Bereich und dem Drain-Bereich sich befindenden Kanal-Bereich auf.
Weiterhin weist ein üblicher Feldeffekttransistor einen Gate- Bereich auf, bei dem durch Anlegen einer Spannung, das heißt eines elektrischen Potentials an den Gate-Bereich, die elektrische Leitfähigkeit des Kanal-Bereichs gesteuert wird derart, dass der Feldeffekttransistor entweder elektrisch sperrend o- der elektrisch leitend betreibbar ist.
Ein üblicher Feldeffekttransistor basiert auf reiner Halbleiter-Mikroelektronik, die beispielsweise Silizium-Technologie einsetzt. Die herkömmliche Silizium-Mikroelektronik hat jedoch physikalische Grenzen insbesondere bei fortschreitender Verkleinerung der elektronischen Bauelemente, beispielsweise bei Verkleinerung der Dimension eines Feldeffekttransistors.
Weiterhin ist die bekannte Halbleiter-Technologie, bei der Halbleiterschichten übereinander abgeschieden werden und die einzelnen Bereiche des Feldeffekttransistors in den einzelnen Schichten durch Dotierung der jeweiligen Bereiche mit Dotierungsatomen gebildet werden, nicht für eine wirkliche dreidi- mensionale Integration in einer elektrischen Schaltungsanordnung geeignet.
Weiterhin sind aus [2] Grundlagen über sogenannte Carbon- Nanoröhren, die im weiteren als Kohlenstoff-Nanoröhren bezeichnet werden, bekannt. Ein Verfahren zum Herstellen von Kohlenstoff-Nanoröhren durch Aufwachsen der Kohlenstoff- Nanoröhren auf einem Substrat ist aus [3] und [4] bekannt.
Weiterhin ist aus [5] ein Verfahren zum Herstellen eines Sili- zium-Nanodrahtes bekannt.
Ferner ist es aus [6] bekannt, eine Kohlenstoff-Nanoröhre mit Bor-Atomen und Stickstoff-Atomen zu dotierten, so dass aus ei- ner halbleitenden Kohlenstoff-Nanoröhre oder einer metallisch leitenden Kohlenstoff-Nanoröhre eine elektrisch isolierende Bor-Nitrid-Nanoröhre entsteht.
Der Erfindung liegt das Problem zugrunde, einen Feldeffekt- transistor, eine Schaltungsanordnung, sowie ein Verfahren zum Herstellen eines Feldeffekttransistors anzugeben, das für eine dreidimensionale Integration besser geeignet ist als Feldeffekttransistoren die ausschließlich auf der in [1] beschriebenen Technologie-Prinzipien beruhen.
Das Problem wird durch den Feldeffekttransistor, durch die Schaltungsanordnung, sowie durch das Verfahren zum Herstellen eines Feldeffekttransistors mit den Merkmalen gemäß den unabhängigen Patentansprüchen gelöst.
Ein Feldeffekttransistor weist einen Source-Bereich, einen Drain-Bereich und einen Gate-Bereich auf. Der Gate-Bereich ist zwischen dem Source-Bereich und dem Drain-Bereich angeordnet. Der Gate-Bereich, der aus leitfähigem Material gebildet ist, beispielsweise aus einer leitfähigen Schicht mit Aluminium, Titan, Wolfram, Gold, Silber oder einer Legierung aus zumindest einem der zuvor genannten Materialien, weist mindestens ein Durchgangsloch, welches auch als Pore bezeichnet wird, auf. Grundsätzlich ist eine beliebige Anzahl von Durchgangslöchern in dem Gate-Bereich vorgesehen.
In dem Durchgangsloch oder in der Vielzahl von Durchgangslöchern ist mindestens ein Nanoelement vorgesehen, welches mit dem Source-Bereich und dem Drain-Bereich des Feldeffekttransistors elektrisch gekoppelt ist.
Unter einem Nanoelement ist in Rahmen der Erfindung beispielsweise eine Nanoröhre und/oder ein Nanodraht, beispielsweise eine halbleitende Kohlenstoff-Nanoröhre oder ein halbleitender Silizium-Nanodraht zu verstehen.
Das Nanoelement kann jedoch auch eine HeteroStruktur aufweisen mit mehreren Bereichen, vorzugsweise einem ersten metallisch leitenden Bereich, einem zweiten elektrisch leitenden Bereich und einem elektrisch isolierenden Bereich, der zwischen dem ersten metallisch leitenden und dem zweiten metallisch leiten- den Bereich angeordnet ist.
Die Bereiche können sowohl in einer einstückigen Struktur, beispielsweise einer Kohlenstoff-Nanoröhre durch Ausbilden unterschiedlicher elektrischer Eigenschaften in unterschiedli- chen Bereichen der jeweiligen Nanoröhre oder in einem Silizium-Nanodraht gebildet werden.
Die Heterostruktur kann jedoch auch durch entsprechendes, mit ausreichender Genauigkeit durchgeführtes Zusammenfügen der einzelnen Bereiche als Teilelemente gebildet werden, die insgesamt die oben beschriebene HeteroStruktur ergeben.
Durch die Erfindung wird es erstmals möglich, einen Feldeffekttransistor einzusetzen, der für eine wirkliche dreidimen- sionale Integration innerhalb einer integrierten Schaltung im Rahmen der Mikroelektronik eingesetzt werden kann. Ferner ist die Dimension, das heißt der Platzbedarf auf einer Chipfläche eines solchen Feldeffekttransistors gegenüber einem bekannten Feldeffekttransistor erheblich geringer, da der den Kanal-Bereich bildende Nanodraht beziehungsweise die Nanoröhre sehr klein ausgestaltet ist, das heißt einen Durchmesser aufweist von bis zu lediglich 1 nm.
Gemäß einer Ausgestaltung der Erfindung ist vorgesehen, dass der erste metallisch leitende Bereich der Nanoröhre eine me- tallisch leitende Kohlenstoff-Nanoröhre ist oder ein Teil einer Kohlenstoff-Nanoröhre, die in dem ersten metallisch leitenden Bereich metallisch leitend ist. Der zweite metallisch leitende Bereich kann ebenfalls eine metallisch leitende Kohlenstoff-Nanoröhre sein oder ein Teilbereich der Kohlenstoff- Nanoröhre, welche auch den ersten metallisch leitenden Bereich aufweist, wobei der zweite metallisch leitende Bereich ebenfalls metallisch leitend ist.
Zwischen den beiden metallisch leitenden Bereichen ist ein e- lektrisch isolierender Bereich der Nanoröhre als Bor-Nitrid- Nanoröhre ausgebildet.
Für den Fall, dass eine Kohlenstoff-Nanoröhre mit zwei metallisch leitenden Bereichen und einem zwischen den metallisch leitenden Bereichen sich befindenden elektrisch isolierenden Bereich vorgesehen ist, wird der jeweilige elektrisch isolierende Bereich gebildet durch entsprechende Dotierung des jeweiligen Bereichs mit Bor-Atomen und Stickstoff-Atomen, wie in [6] beschrieben.
Der Source-Bereich kann gemäß einer Ausgestaltung der Erfindung ein für das Bilden, das heißt das Aufwachsen oder das Abscheiden aus der Gasphase katalytisch wirkendes Material enthalten, wie in [3] und [4] beschrieben. Das für das Bilden der Nanoröhren katalytisch wirkende Materi¬ al kann Nickel, Kobalt, Eisen oder eine Legierung aus zumindest einem der zuvor genannten Materialien enthalten.
Aufgrund dieser Ausgestaltung der Erfindung wird das Bilden einer Nanoröhre erheblich beschleunigt, wodurch das Herstellen des Feldeffekttransistors noch kostengünstiger gestaltet wird.
Das Nanoelement ist derart in dem Durchgangsloch angeordnet und ausgestaltet, dass es über den Gate-Bereich in seiner
Leitfähigkeit steuerbar ist. Auf diese Weise bildet das Nanoe¬ lement anschaulich den Kanal-Bereich des Feldeffekttransistors .
Wird eine Kohlenstoff-Nanoröhre als Nanoelement eingesetzt, so weist die sich ergebende Struktur, das heißt der damit sich ergebende Feldeffekttransistor insbesondere den Vorteil auf, dass eine Kohlenstoff-Nanoröhre sehr einfach handhabbar und stabil ist, so dass die Fehleranfälligkeit eines solchen Feld- effekttransistors weiter verringert wird.
Durch Einsatz einer HeteroStruktur wird anschaulich ein Feldeffekttransistor gebildet, der auf der Basis eines Tunnelprinzips elektrischer Ladungsträger beruht, wobei das Tunneln steuerbar ist aufgrund des elektrischen Potentials, welches an den Gate-Bereich angelegt wird.
Gemäß einer weiteren Ausgestaltung der Erfindung ist es vorgesehen, dass der Drain-Bereich Nickel, Kobalt oder eine Legie- rung aus Nickel und/oder Kobalt enthält.
Eine Schaltungsanordnung weist mindestens einen Feldeffekttransistor der oben dargestellten Art auf.
Eine solche Schaltungsanordnung hat insbesondere den Vorteil der erhöhten, auch dreidimensional nun mehr vollständig möglichen Integration und mit dem damit verbundenen verringerten Platzbedarf, das heißt einer erheblich erhöhten Integrationsdichte der Bauelemente auf einem Chip.
Bei einem Verfahren zum Herstellen des oben beschriebenen Feldeffekttransistors wird auf einem Substrat eine Source- Schicht aufgetragen, wobei als Substrat undotiertes oder dotiertes Silizium, Glas, Quarz oder auch Saphir eingesetzt werden kann.
Auf der Source-Schicht wird eine elektrisch leitende Gate- Schicht aufgetragen. In einem weiteren Schritt wird in der Gate-Schicht mindestens ein Durchgangsloch gebildet, vorzugsweise mittels Trockenätzens, da bei Einsatz eines Trockenätzverfahrens zum Bilden der Durchgangslöcher in dem Gate-Bereich insbesondere vertikale Strukturen sehr exakt ätzbar sind.
In das Durchgangsloch wird mindestens ein Nanoelement eingebracht, welches mit der Source-Schicht elektrisch gekoppelt ist .
Das Nanoelement ist dabei derart angeordnet und ausgestaltet, dass es über den Gate-Bereich in seiner Leitfähigkeit steuerbar ist, so dass das Nanoelement den Kanal-Bereich des Feldeffekttransistors bildet.
Das Nanoelement wird für den Fall, dass es eine Kohlenstoff- Nanoröhre ist, beispielsweise auf einem sich auf dem Boden des Durchgangslochs befindenden Katalysator-Materials aufgewachsen oder auch abgeschieden.
Alternativ ist es vorgesehen, eine Kohlenstoff-Nanoröhre außerhalb des Durchgangslochs zu bilden und anschließend mechanisch in dem Durchgangsloch zu platzieren beispielsweise unter Verwendung eines Rasterkraftmikroskops derart, dass die in das Durchgangsloch eingebrachte Kohlenstoff-Nanoröhre in elektrischen Kontakt mit dem Boden, das heißt mit der oberen Oberfläche der Source-Schicht kommt. Auf der Gate-Schicht wird eine Drain-Schicht aufgebracht derart, dass die Drain-Schicht ebenfalls mit dem Nanoelement e- lektrisch gekoppelt ist.
Dies kann beispielsweise dadurch erreicht werden, dass das Nanoelement eine Länge aufweist, die größer ist als die Länge des Durchgangslochs, so dass sich das Nanoelement noch über die Oberfläche des Durchgangslochs hinaus erstreckt und sich somit bei Aufwachsen oder Abscheiden der Drain-Schicht auf der Gate-Schicht automatisch eine elektrische Kontaktierung der Drain-Schicht mit dem Nanoelement ausbildet.
Ein Ausführungsbeispiel der Erfindung ist in den Figuren dar- gestellt und wird im weiteren näher erläutert.
Es zeigen
Figuren la bis lc einen Feldeffekttransistor gemäß einem Aus- führungsbeispiel der Erfindung zu unterschiedlichen
Zeitpunkten während seines Herstellungsprozesses.
Fig.la zeigt ein Substrat, gemäß diesem Ausführungsbeispiel aus Siliziumdioxid 101.
In alternativen Ausführungsformen kann anstelle des Siliziumdioxids auch Quarz oder Saphir als Substrat 101 verwendet werden.
Auf dem Substrat 101 wird mittels eines geeigneten CVD-
Verfahrens, das heißt einem Abscheideverfahrens aus der Gasphase oder eines Aufwachsverfahrens oder mittels Aufdampfens oder Sputterns eine Source-Schicht 102 aus Nickel aufgebracht und mittels Photolithographie strukturiert. Die Source-Schicht 102 bildet in strukturierter Form den Source-Bereich des zu bildenden Feldeffekttransistors. Anstelle des Nickels kann für die Source-Schicht 102 als alternatives Material grundsätzlich jedes geeignete Metall, insbesondere Kobalt oder Eisen verwendet werden.
Auf der die Source-Elektrode bildenden strukturierten Source- Schicht 102 sowie auf dem Substrat 101 wird in einem weiteren Schritt eine Dialuminiumtrioxid-Schicht (AI2O3 ) 103 abgeschieden.
Diese verglichen mit der Source-Schicht 102 relativ dünne Dialuminiumtrioxid-Schicht 103 dient als Dielektrikum, mit dem die Gate-Elektrode, die durch eine im weiteren beschriebene Gate-Schicht gebildet wird, von der Source-Schicht 102 elektrisch isoliert wird.
Gemäß diesem Ausführungsbeispiel ist die Source-Schicht 102 ungefähr 100 nm dick und die Dialuminiumtrioxid-Schicht 103 weist eine Schichtdicke von ungefähr 20 nm auf.
Wie in Fig.la weiterhin dargestellt ist, wird in einem weiteren Schritt auf der Dialuminiumtrioxid-Schicht 103 eine Aluminium-Schicht abgeschieden und strukturiert, so dass die strukturierte Aluminiumschicht, die im weiteren als Gate-Schicht 104 bezeichnet wird, das Gate des zu bildenden Feldeffekttran- sistors 100 darstellt.
Die Gate-Schicht 104 weist ebenfalls eine Dicke von etwa 100 nm auf und wird mittels eines geeigneten CVD-Verfahrens oder eines Sputter-Verfahrens, eines Aufdampf-Verfahrens oder eines Epitaxie-Verfahrens aufgebracht.
Anstelle des Aluminiums kann gemäß einer alternativen Ausführungsform für die Gate-Schicht 104 Titan, Wolfram, Silber oder Gold verwendet werden.
In einem weiteren Schritt (vergleiche Fig.lb) wird eine weitere Schicht 105 aus Dialuminiumtrioxid abgeschieden mittels eines geeigneten CVD-Verfahrens oder eines Sputter-Verfahrens oder eines Abdampf-Verfahrens und die gebildete, grundsätzlich beliebig dicke weitere Schicht 105 wird mittels eines chemisch mechanischen Polierverfahrens (CMP-Verfahren) auf eine Dicke reduziert derart, dass die obere Oberfläche der weiteren
Schicht 105 auf gleicher Höhe ist mit der oberen Oberfläche der Gate-Schicht 104.
In einem weiteren Schritt wird in der Gate-Schicht 104 mittels beispielsweise Photolithographie und selbstjustierten bekannten Verengungsmethoden Photolack auf der Gate-Schicht und der weiteren Schicht 105 aufgebracht und es erfolgt eine Strukturierung derart, dass in einem weiteren Schritt mittels Trockenätzens Löcher 106 in die Gate-Schicht 105 geätzt werden.
Die auf diese Weise gebildeten Durchgangslöcher 106 weisen einen Durchmesser von ungefähr 1 nm bis 10 nm auf.
Das Trockenätzverfahren wird solange durchgeführt, bis sowohl in den gebildeten Löchern 106 das Material der Gate-Schicht 104 als auch das sich jeweils darunter liegende Material der Dialuminiumtrioxid-Schicht 103 entfernt wird.
Falls die verwendete Source-Schicht 102 nicht selbst die nöti- gen katalytischen Eigenschaften aufweist, wird in die Durchgangslöcher 106 in einem weiteren Schritt Material 107 aufgebracht, welches hinsichtlich eines weiteren beschriebenen Auf- wachsens beziehungsweise Abscheidens von Kohlenstoff- Nanoröhren oder Silizium-Nanodrähten in dem Durchgangsloch 106 katalytisch wirkt.
Als Material 107 wird gemäß diesem Ausführungsbeispiel Nickel, Kobalt oder Eisen verwendet.
In einem weiteren Schritt wird, wie in Fig.lc dargestellt ist, mittels des in [3] beschriebenen Verfahrens in jedem Durchgangsloch mindestens eine einwandige oder mehrwandige Kohlen- stoff-Nanoröhre abgeschieden unter Einsatz des katalytisch wirkenden Metalls 107. Selbstverständlich kann auch das in [4] beschriebene Verfahren in diesem Zusammenhang eingesetzt werden.
Das Abscheiden erfolgt derart, dass die jeweilige Kohlenstoff- Nanoröhre über die katalytisch wirkenden Metalle 107 mit der Source-Schicht 102 elektrisch gekoppelt sind.
Gemäß diesem Ausführungsbeispiel sind die aufgewachsenen Kohlenstoff-Nanoröhren halbleitende Kohlenstoff-Nanoröhren.
Die Kohlenstoff-Nanoröhren können mittels des bekannten Feldeffekts durch Anlegen einer elektrischen Spannung an den Gate- Bereich, das heißt an die Gate-Schicht 104 ih ihrer Leitfähigkeit gesteuert werden, so dass die Kohlenstoff-Nanoröhren 108 anschaulich die Funktionalität des Kanalbereichs eines Feldeffekttransistors aufweisen.
Alternativ zu den Kohlenstoff-Nanoröhren können in die Durchgangslöcher 106, wie oben beschrieben, gemäß dem aus [5] bekannten Verfahren Silizium-Nanodrähte mittels selektiver Silizium-Epitaxie aufgewachsen werden.
Auch Silizium-Nanodrähte können im Rahmen dieser Erfindung mittels eines sich ausbildenden Feldeffekts als Kanal-Bereich eines Feldeffekttransistors verwendet werden.
In einem weiteren Schritt wird ein Teil des Metalls der Gate- Schicht 104, insbesondere beispielsweise das Aluminium der Gate-Schicht 104 oxidiert, so dass sich eine dünne oxidierte Gate-Schicht, beispielsweise aus Dialuminiumtrioxid 109 zwischen der weiterhin aus Metall, beispielsweise aus Aluminium bestehenden Gate-Schicht und den Nanoelementen, beispielsweise den Kohlenstoff-Nanoröhren oder den Silizium-Nanodrähten ausbildet. In einem letzten Schritt wird auf der oxidierten Schicht 109 und der weiteren Schicht 105 eine weitere Metallschicht 110 als eine das Drain des Feldeffekttransistors bildende Drain- Schicht abgeschieden und mittels lithographischer Verfahren strukturiert.
Die Drain-Schicht 110 kann Nickel, alternativ auch Kobalt aufweisen.
Die Kohlenstoff-Nanoröhren 108 beziehungsweise die Silizium- Nanodrähte weisen eine Länge auf derart, dass sie nach deren Abscheidung beziehungsweise deren Aufwachsens über die obere Oberfläche der oxidierten Schicht 109 hinausragen.
Auf diese Weise wird durch Abscheiden beziehungsweise Aufbringen der Drain-Schicht 110 automatisch ein elektrischer Kontakt zu dem Nanoelement geschaffen, das heißt beispielsweise zu der Kohlenstoff-Nanoröhre 108 oder zu dem Silizium-Nanodraht .
In diesem Dokument sind folgende Veröffentlichungen zitiert:
[1] R. Müller, Bauelemente der Halbleiter-Elektronik, Springerverlag, ISBN 3-540-06224-6, Seite 130-157, 1973
[2] C. Dekker, Carbon-Nanotubes as Molecular Quantum Wires, Physics Today, S. 22-28, Mai 1999
[3] Jung Sang Suh und Jin Seung Lee, Highly-Ordered Two- Dimensional Carbon-Nanotube Arrays, Applied Physics Letters, Volume 75, Nr. 14, S. 2047-2049, Oktober 1999
[4] Z. F. Ren et al, Synthesis of Large Arrays of Well-
Aligned Carbon Nanotubes on Glass, SCIENCE, Volume 282. S. 1105 - 1107, November 1998
[5] N. Wang et al, Si nanowires grown fro Silicon oxide, Chemical Physics Letters, Vol. 299, S. 237 - 242, 1999
[6] D. Goldberg et al, Fine structure of boron nitride nanotubes produced from carbon nanotubes by a Substitution reaction, Journal of Applied Physics, Vol. 86, S. 2364 - 2366, 1999

Claims

Patentansprüche
1. Feldeffekttransistor
• mit einem Source-Bereich, • mit einem Drain-Bereich,
• mit einem Gate-Bereich zwischen dem Source-Bereich und dem Drain-Bereich,
• bei dem der Gate-Bereich leitfähiges Material enthält, in dem mindestens ein Durchgangsloch vorgesehen ist, • bei dem in dem Durchgangsloch mindestens ein Nanoelement vorgesehen ist, das mit dem Source-Bereich und dem Drain-Bereich elektrisch gekoppelt ist, und
• bei dem das Nanoelement derart angeordnet und ausgestaltet ist, dass es über den Gate-Bereich in seiner Leitfä- higkeit steuerbar ist, so dass das Nanoelement einen Kanal-Bereich bildet.
2. Feldeffekttransistor nach Anspruch 1, bei dem das Nanoelement eine Nanoröhre und/oder einen Na- nodraht aufweist.
3. Feldeffekttransistor nach Anspruch 1 oder 2, bei dem der Gate-Bereich eine leitfähige Schicht ist.
4. Feldeffekttransistor nach einem der Ansprüche 1 bis 3, bei dem das Nanoelement einen halbleitenden Nanodraht aufweist .
5. Feldeffekttransistor nach Anspruch 4, bei dem der Nanodraht einen Silizium-Nanodraht aufweist.
6. Feldeffekttransistor nach einem der Ansprüche 1 bis 5, bei dem das Nanoelement eine halbleitende Nanoröhre aufweist.
7. Feldeffekttransistor nach Anspruch 6, bei dem die Nanoröhre eine Kohlenstoff-Nanoröhre aufweist.
8. Feldeffekttransistor nach einem der Ansprüche 1 bis 7, bei dem das Nanoelement eine Heterostruktur aufweist, mit
• einem ersten metallisch leitenden Bereich,
• einem zweiten metallisch leitenden Bereich, und • einem elektrisch isolierenden Bereich, der zwischen dem ersten metallisch leitenden Bereich und dem zweiten metallisch leitenden Bereich angeordnet ist.
9. Feldeffekttransistor nach Anspruch 8, • bei dem der erste metallisch leitende Bereich der Nanoröhre eine metallisch leitende Kohlenstoff-Nanoröhre ist,
• bei dem der zweite metallisch leitende Bereich der Nanoröhre eine metallisch leitende Kohlenstoff-Nanoröhre ist, und
• bei dem der elektrisch isolierende Bereich der Nanoröhre eine Bor-Nitrid-Nanoröhre ist.
10. Feldeffekttransistor nach einem der Ansprüche 1 bis 9, bei dem der Source-Bereich ein für das Bilden einer Nanoröhre katalytisch wirkendes Material enthält.
11. Feldeffekttransistor nach Anspruch 10, bei dem das für das Bilden der Nanoröhre katalytisch wirkende Material mindestens eines der folgenden Materialien enthält:
Nickel, und/oder
Kobalt, und/oder
Eisen, und/oder
• eine Legierung aus zumindest einem der zuvor genannten Materialien.
12. Feldeffekttransistor nach einem der Ansprüche 1 bis 11, bei dem der Gate-Bereich zumindest eines der folgenden Materialien enthält. Aluminium, und/oder
Titan, und/oder
Wolfram, und/oder Gold, und/oder
• Silber, und/oder
• eine Legierung aus zumindest einem der zuvor genannten Materialien.
13. Feldeffekttransistor nach einem der Ansprüche 1 bis 12, bei dem der Drain-Bereich zumindest eines der folgenden Materialien enthält.
• Nickel, und/oder • Kobalt, und/oder
• eine Legierung aus zumindest einem der zuvor genannten Materialien.
14. Schaltungsanordnung mit mindestens einem Feldeffekttran- sistor nach einem der Ansprüche 1 bis 13.
15. Verfahren zum Herstellen eines Feldeffekttransistors,
• bei dem auf einem Substrat eine Source-Schicht aufgetragen wird, • bei dem auf der Source-Schicht eine elektrisch leitfähige Gate-Schicht aufgetragen wird,
• bei dem in der Gate-Schicht mindestens ein Durchgangsloch gebildet wird,
• bei dem in das Durchgangsloch mindestens ein Nanoelement eingebracht wird, das mit der Source-Schicht elektrisch gekoppelt ist, wobei das Nanoelement derart angeordnet und ausgestaltet ist, dass es über den Gate-Bereich in seiner Leitfähigkeit steuerbar ist, so dass das Nanoelement einen Kanal-Bereich bildet, • bei dem auf der Gate-Schicht eine Drain-Schicht aufgebracht wird derart, dass die Drain-Schicht mit dem Nanoelement elektrisch gekoppelt ist.
16. Verfahren nach Anspruch 15, bei dem das Durchgangsloch mittels Trockenätzens gebildet wird.
EP01960126A 2000-07-28 2001-07-19 Feldeffekttransistor, schaltungsanordnung und verfahren zum herstellen eines feldeffekttransistors Ceased EP1305834A1 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
DE10036897 2000-07-28
DE10036897A DE10036897C1 (de) 2000-07-28 2000-07-28 Feldeffekttransistor, Schaltungsanordnung und Verfahren zum Herstellen eines Feldeffekttransistors
PCT/DE2001/002708 WO2002011216A1 (de) 2000-07-28 2001-07-19 Feldeffekttransistor, schaltungsanordnung und verfahren zum herstellen eines feldeffekttransistors

Publications (1)

Publication Number Publication Date
EP1305834A1 true EP1305834A1 (de) 2003-05-02

Family

ID=7650588

Family Applications (1)

Application Number Title Priority Date Filing Date
EP01960126A Ceased EP1305834A1 (de) 2000-07-28 2001-07-19 Feldeffekttransistor, schaltungsanordnung und verfahren zum herstellen eines feldeffekttransistors

Country Status (4)

Country Link
US (1) US6740910B2 (de)
EP (1) EP1305834A1 (de)
DE (1) DE10036897C1 (de)
WO (1) WO2002011216A1 (de)

Families Citing this family (97)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE10142913B4 (de) * 2001-08-27 2004-03-18 Hahn-Meitner-Institut Berlin Gmbh Vertikale Transistoranordnung mit einem flexiblen, aus Kunststofffolien bestehenden Substrat und Verfahren zu deren Herstellung
EP1456124A4 (de) * 2001-11-20 2009-01-28 Univ Wm Marsh Rice Beschichtete fullrene und daraus hergestellte verbundwerkstoffe und dielektrika
US7049625B2 (en) * 2002-03-18 2006-05-23 Max-Planck-Gesellschaft Zur Fonderung Der Wissenschaften E.V. Field effect transistor memory cell, memory device and method for manufacturing a field effect transistor memory cell
US6891227B2 (en) 2002-03-20 2005-05-10 International Business Machines Corporation Self-aligned nanotube field effect transistor and method of fabricating same
TWI354261B (en) * 2002-09-30 2011-12-11 Nanosys Inc Integrated displays using nanowire transistors
WO2004033370A1 (en) * 2002-10-11 2004-04-22 Massachusetts Institute Of Technology Nanopellets and method of making nanopellets
DE10250984A1 (de) * 2002-10-29 2004-05-19 Hahn-Meitner-Institut Berlin Gmbh Feldeffekttransistor sowie Verfahren zu seiner Herstellung
DE10250830B4 (de) * 2002-10-31 2015-02-26 Qimonda Ag Verfahren zum Herstellung eines Schaltkreis-Arrays
DE10250868B8 (de) 2002-10-31 2008-06-26 Qimonda Ag Vertikal integrierter Feldeffekttransistor, Feldeffekttransistor-Anordnung und Verfahren zum Herstellen eines vertikal integrierten Feldeffekttransistors
DE10250829B4 (de) * 2002-10-31 2006-11-02 Infineon Technologies Ag Nichtflüchtige Speicherzelle, Speicherzellen-Anordnung und Verfahren zum Herstellen einer nichtflüchtigen Speicherzelle
DE10250834A1 (de) * 2002-10-31 2004-05-19 Infineon Technologies Ag Speicherzelle, Speicherzellen-Anordnung, Strukturier-Anordnung und Verfahren zum Herstellen einer Speicherzelle
EP1563530A4 (de) 2002-11-19 2009-04-29 Univ Rice William M Herstellung von lichtemmitierenden filmbeschichteten fullerinsund ihre anwendung auf die in-vivo-lichtemission
ATE486277T1 (de) 2002-11-19 2010-11-15 Univ Rice William M Feldeffektransistor mit funktionalisierter kohlenstoffnanoröhre und dessen herstellungsfervahren
JP4428921B2 (ja) * 2002-12-13 2010-03-10 キヤノン株式会社 ナノ構造体、電子デバイス、及びその製造方法
US6936496B2 (en) * 2002-12-20 2005-08-30 Hewlett-Packard Development Company, L.P. Nanowire filament
KR100493166B1 (ko) * 2002-12-30 2005-06-02 삼성전자주식회사 수직나노튜브를 이용한 메모리
US6933222B2 (en) * 2003-01-02 2005-08-23 Intel Corporation Microcircuit fabrication and interconnection
TWI222742B (en) * 2003-05-05 2004-10-21 Ind Tech Res Inst Fabrication and structure of carbon nanotube-gate transistor
WO2004105140A1 (ja) * 2003-05-22 2004-12-02 Fujitsu Limited 電界効果トランジスタ及びその製造方法
DE10324081B4 (de) * 2003-05-27 2005-11-17 Infineon Technologies Ag Speichervorrichtung zur Speicherung elektrischer Ladung und Verfahren zur Herstellung derselben
KR101015498B1 (ko) * 2003-06-14 2011-02-21 삼성전자주식회사 수직 카본나노튜브 전계효과트랜지스터 및 그 제조방법
US7223611B2 (en) * 2003-10-07 2007-05-29 Hewlett-Packard Development Company, L.P. Fabrication of nanowires
US7132298B2 (en) * 2003-10-07 2006-11-07 Hewlett-Packard Development Company, L.P. Fabrication of nano-object array
JP5250615B2 (ja) * 2003-10-28 2013-07-31 株式会社半導体エネルギー研究所 半導体装置
DE10354389B3 (de) * 2003-11-20 2005-08-11 Otto-Von-Guericke-Universität Magdeburg Verfahren zur Herstellung eines nanoskaligen Feldeffekttransistors
US7374793B2 (en) * 2003-12-11 2008-05-20 International Business Machines Corporation Methods and structures for promoting stable synthesis of carbon nanotubes
US7038299B2 (en) 2003-12-11 2006-05-02 International Business Machines Corporation Selective synthesis of semiconducting carbon nanotubes
US7932549B2 (en) * 2003-12-18 2011-04-26 International Business Machines Corporation Carbon nanotube conductor for trench capacitors
JP2007520877A (ja) * 2003-12-23 2007-07-26 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ ヘテロ接合を備える半導体デバイス
JP2007516620A (ja) * 2003-12-23 2007-06-21 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ Pnヘテロ接合を備える半導体装置
US20050145838A1 (en) * 2004-01-07 2005-07-07 International Business Machines Corporation Vertical Carbon Nanotube Field Effect Transistor
DE102004003374A1 (de) * 2004-01-22 2005-08-25 Infineon Technologies Ag Halbleiter-Leistungsschalter sowie dafür geeignetes Herstellungsverfahren
US7211844B2 (en) * 2004-01-29 2007-05-01 International Business Machines Corporation Vertical field effect transistors incorporating semiconducting nanotubes grown in a spacer-defined passage
US20050167655A1 (en) * 2004-01-29 2005-08-04 International Business Machines Corporation Vertical nanotube semiconductor device structures and methods of forming the same
US7829883B2 (en) * 2004-02-12 2010-11-09 International Business Machines Corporation Vertical carbon nanotube field effect transistors and arrays
KR101050468B1 (ko) * 2004-02-14 2011-07-19 삼성에스디아이 주식회사 바이오 칩 및 이를 이용한 바이오 분자 검출 시스템
FR2868201B1 (fr) * 2004-03-23 2007-06-29 Ecole Polytechnique Dgar Procede de fabrication de composants electroniques et composants electroniques obtenus par ce procede
US7407738B2 (en) * 2004-04-02 2008-08-05 Pavel Kornilovich Fabrication and use of superlattice
US7727820B2 (en) * 2004-04-30 2010-06-01 Hewlett-Packard Development Company, L.P. Misalignment-tolerant methods for fabricating multiplexing/demultiplexing architectures
US20050241959A1 (en) * 2004-04-30 2005-11-03 Kenneth Ward Chemical-sensing devices
US7247531B2 (en) * 2004-04-30 2007-07-24 Hewlett-Packard Development Company, L.P. Field-effect-transistor multiplexing/demultiplexing architectures and methods of forming the same
US7683435B2 (en) * 2004-04-30 2010-03-23 Hewlett-Packard Development Company, L.P. Misalignment-tolerant multiplexing/demultiplexing architectures
JP5000510B2 (ja) * 2004-06-08 2012-08-15 ナノシス・インク. ナノ構造単層の形成方法および形成デバイスならびにかかる単層を含むデバイス
US7776758B2 (en) * 2004-06-08 2010-08-17 Nanosys, Inc. Methods and devices for forming nanostructure monolayers and devices including such monolayers
US8563133B2 (en) 2004-06-08 2013-10-22 Sandisk Corporation Compositions and methods for modulation of nanostructure energy levels
US7968273B2 (en) 2004-06-08 2011-06-28 Nanosys, Inc. Methods and devices for forming nanostructure monolayers and devices including such monolayers
GB0413310D0 (en) 2004-06-15 2004-07-14 Koninkl Philips Electronics Nv Nanowire semiconductor device
US7109546B2 (en) 2004-06-29 2006-09-19 International Business Machines Corporation Horizontal memory gain cells
US20060024814A1 (en) * 2004-07-29 2006-02-02 Peters Kevin F Aptamer-functionalized electrochemical sensors and methods of fabricating and using the same
US7943418B2 (en) * 2004-09-16 2011-05-17 Etamota Corporation Removing undesirable nanotubes during nanotube device fabrication
US7776307B2 (en) * 2004-09-16 2010-08-17 Etamota Corporation Concentric gate nanotube transistor devices
US7462890B1 (en) 2004-09-16 2008-12-09 Atomate Corporation Nanotube transistor integrated circuit layout
US7345296B2 (en) 2004-09-16 2008-03-18 Atomate Corporation Nanotube transistor and rectifying devices
JP4568286B2 (ja) * 2004-10-04 2010-10-27 パナソニック株式会社 縦型電界効果トランジスタおよびその製造方法
US7233071B2 (en) * 2004-10-04 2007-06-19 International Business Machines Corporation Low-k dielectric layer based upon carbon nanostructures
DE102004049453A1 (de) * 2004-10-11 2006-04-20 Infineon Technologies Ag Elektrischer Schaltkreis mit einer Nanostruktur und Verfahren zum Herstellen einer Kontaktierung einer Nanostruktur
KR100584719B1 (ko) * 2004-11-18 2006-05-30 한국전자통신연구원 쓰리-게이트 전계효과 분자트랜지스터 및 그 제조방법
US8362525B2 (en) * 2005-01-14 2013-01-29 Nantero Inc. Field effect device having a channel of nanofabric and methods of making same
US7598544B2 (en) * 2005-01-14 2009-10-06 Nanotero, Inc. Hybrid carbon nanotude FET(CNFET)-FET static RAM (SRAM) and method of making same
US7535016B2 (en) * 2005-01-31 2009-05-19 International Business Machines Corporation Vertical carbon nanotube transistor integration
US20100065820A1 (en) * 2005-02-14 2010-03-18 Atomate Corporation Nanotube Device Having Nanotubes with Multiple Characteristics
US7375012B2 (en) * 2005-02-28 2008-05-20 Pavel Kornilovich Method of forming multilayer film
US7126207B2 (en) * 2005-03-24 2006-10-24 Intel Corporation Capacitor with carbon nanotubes
US7479654B2 (en) 2005-05-09 2009-01-20 Nantero, Inc. Memory arrays using nanotube articles with reprogrammable resistance
US7230286B2 (en) * 2005-05-23 2007-06-12 International Business Machines Corporation Vertical FET with nanowire channels and a silicided bottom contact
US7541227B2 (en) * 2005-06-02 2009-06-02 Hewlett-Packard Development Company, L.P. Thin film devices and methods for forming the same
KR100618900B1 (ko) * 2005-06-13 2006-09-01 삼성전자주식회사 다중 채널을 갖는 모스 전계효과 트랜지스터의 제조방법 및그에 따라 제조된 다중 채널을 갖는 모스 전계효과트랜지스터
KR20080025147A (ko) * 2005-06-16 2008-03-19 큐나노 에이비 반도체 나노와이어 트랜지스터
WO2007022359A2 (en) * 2005-08-16 2007-02-22 The Regents Of The University Of California Vertical integrated silicon nanowire field effect transistors and methods of fabrication
DE102005046427B4 (de) * 2005-09-28 2010-09-23 Infineon Technologies Ag Leistungstransistor mit parallelgeschalteten Nanodrähten
US7492015B2 (en) * 2005-11-10 2009-02-17 International Business Machines Corporation Complementary carbon nanotube triple gate technology
EP1804286A1 (de) 2005-12-27 2007-07-04 Interuniversitair Microelektronica Centrum Halbleitervorrichtung mit einer verlängerten Nanostruktur
US7714386B2 (en) * 2006-06-09 2010-05-11 Northrop Grumman Systems Corporation Carbon nanotube field effect transistor
US8643087B2 (en) * 2006-09-20 2014-02-04 Micron Technology, Inc. Reduced leakage memory cells
US8168495B1 (en) 2006-12-29 2012-05-01 Etamota Corporation Carbon nanotube high frequency transistor technology
WO2009023304A2 (en) * 2007-05-02 2009-02-19 Atomate Corporation High density nanotube devices
US8546027B2 (en) * 2007-06-20 2013-10-01 New Jersey Institute Of Technology System and method for directed self-assembly technique for the creation of carbon nanotube sensors and bio-fuel cells on single plane
US7736979B2 (en) * 2007-06-20 2010-06-15 New Jersey Institute Of Technology Method of forming nanotube vertical field effect transistor
US7964143B2 (en) * 2007-06-20 2011-06-21 New Jersey Institute Of Technology Nanotube device and method of fabrication
US9047963B2 (en) * 2007-08-31 2015-06-02 Iii Holdings 1, Llc High density magnetic memory based on nanotubes
KR20100110853A (ko) * 2007-12-31 2010-10-13 아토메이트 코포레이션 에지-접촉된 수직형 탄소 나노튜브 트랜지스터
DE102008015118A1 (de) 2008-03-10 2009-09-24 Ohnesorge, Frank, Dr. Raumtemperatur-Quantendraht-(array)-Feldeffekt-(Leistungs-) Transistor "QFET", insbesondere magnetisch "MQFET", aber auch elektrisch oder optisch gesteuert
WO2010005707A1 (en) * 2008-06-16 2010-01-14 The Board Of Trustees Of The University Of Illinois Medium scale carbon nanotube thin film integrated circuits on flexible plastic substrates
US9494615B2 (en) 2008-11-24 2016-11-15 Massachusetts Institute Of Technology Method of making and assembling capsulated nanostructures
KR101539669B1 (ko) * 2008-12-16 2015-07-27 삼성전자주식회사 코어-쉘 타입 구조물 형성방법 및 이를 이용한 트랜지스터 제조방법
DE102009041642A1 (de) 2009-09-17 2011-03-31 Ohnesorge, Frank, Dr. Quantendrahtarray-Feldeffekt-(Leistungs-)-Transistor QFET (insbesondere magnetisch - MQFET, aber auch elektrisch oder optisch angesteuert) bei Raumtemperatur, basierend auf Polyacetylen-artige Moleküle
US8796668B2 (en) 2009-11-09 2014-08-05 International Business Machines Corporation Metal-free integrated circuits comprising graphene and carbon nanotubes
US8455297B1 (en) 2010-07-07 2013-06-04 International Business Machines Corporation Method to fabricate high performance carbon nanotube transistor integrated circuits by three-dimensional integration technology
CN104115273B (zh) 2011-12-19 2017-10-13 英特尔公司 高电压场效应晶体管
US9406888B2 (en) 2013-08-07 2016-08-02 GlobalFoundries, Inc. Carbon nanotube device
EP2947045B1 (de) 2014-05-19 2019-08-28 IMEC vzw Vertikale Nanodraht-Halbleiterstrukturen mit niedriger Defektdichte, sowie Herstellungsverfahren dafür
CN104241138A (zh) * 2014-07-31 2014-12-24 上海华力微电子有限公司 纳米线晶体管
CN105826200B (zh) * 2015-01-09 2018-11-16 中芯国际集成电路制造(上海)有限公司 晶体管及其形成方法
US9564493B2 (en) 2015-03-13 2017-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Devices having a semiconductor material that is semimetal in bulk and methods of forming the same
US9515179B2 (en) 2015-04-20 2016-12-06 Semiconductor Components Industries, Llc Electronic devices including a III-V transistor having a homostructure and a process of forming the same
KR102343223B1 (ko) 2015-07-16 2021-12-23 삼성전자주식회사 반도체 장치 및 이의 제조 방법
CN106486369B (zh) * 2015-08-27 2020-04-07 中芯国际集成电路制造(上海)有限公司 晶体管及其形成方法

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE4235152C2 (de) * 1992-10-19 1994-12-08 Inst Halbleiterphysik Gmbh Verfahren zur Herstellung einer Halbleiterfeinstruktur und damit hergestellte Halbleiterbauelemente, beispielsweise Vertikaltransistoren
US6197641B1 (en) * 1998-08-28 2001-03-06 Lucent Technologies Inc. Process for fabricating vertical transistors
ATE465519T1 (de) * 1999-02-22 2010-05-15 Clawson Joseph E Jr Elektronisches bauteil auf basis von nanostrukturen
US6361861B2 (en) * 1999-06-14 2002-03-26 Battelle Memorial Institute Carbon nanotubes on a substrate
KR100360476B1 (ko) * 2000-06-27 2002-11-08 삼성전자 주식회사 탄소나노튜브를 이용한 나노 크기 수직 트랜지스터 및 그제조방법
US7084507B2 (en) * 2001-05-02 2006-08-01 Fujitsu Limited Integrated circuit device and method of producing the same
US6515325B1 (en) * 2002-03-06 2003-02-04 Micron Technology, Inc. Nanotube semiconductor devices and methods for making the same

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See references of WO0211216A1 *

Also Published As

Publication number Publication date
DE10036897C1 (de) 2002-01-03
WO2002011216A1 (de) 2002-02-07
US20030132461A1 (en) 2003-07-17
US6740910B2 (en) 2004-05-25

Similar Documents

Publication Publication Date Title
DE10036897C1 (de) Feldeffekttransistor, Schaltungsanordnung und Verfahren zum Herstellen eines Feldeffekttransistors
DE10134866B4 (de) Verfahren zum horizontalen Wachsenlassen von Kohlenstoff-Nanoröhren und Feldeffekttransistor, der die durch das Verfahren gewachsenen Kohlenstoff-Nanoröhren verwendet
EP1299914B1 (de) Feldeffekttransistor
EP1390295B1 (de) Nanoröhren-anordnung und verfahren zum herstellen einer nanoröhren-anordnung
DE10250829B4 (de) Nichtflüchtige Speicherzelle, Speicherzellen-Anordnung und Verfahren zum Herstellen einer nichtflüchtigen Speicherzelle
DE10250868B4 (de) Vertikal integrierter Feldeffekttransistor, Feldeffekttransistor-Anordnung und Verfahren zum Herstellen eines vertikal integrierten Feldeffekttransistors
WO2005067075A1 (de) Verfahren zum herstellen eines nanoelement-feldeffekttransistors, nanoelement-feldeffekttransistor mit surrounded gate struktur
DE10344814B3 (de) Speichervorrichtung zur Speicherung elektrischer Ladung und Verfahren zu deren Herstellung
DE60206913T2 (de) Herstellung einer molekülare elektronische schaltung mittels stanzens
DE10250830B4 (de) Verfahren zum Herstellung eines Schaltkreis-Arrays
DE102012217482B4 (de) Strukturieren von Kontakten in Kohlenstoff-Nanoröhren-Einheiten
DE60204476T2 (de) Verfahren für lokalisiertes wachstum von nanoröhren und verfahren zur herstellung einer selbstausgerichteten kathode mit dem nanoröhrenwachstumsverfahren
EP1170799A2 (de) Elektronisches Bauelement und Verfahren zum Herstellen eines elektronischen Bauelements
EP1264344A1 (de) Elektronisches bauelement mit einer elektrisch leitenden verbindung aus carbon-nanoröhren und verfahren zu seiner herstellung
DE112004002299T5 (de) Verlängerte Nanostruktur und zugehörige Vorrichtung
EP1595266B1 (de) Quantenpunkt aus elektrisch leitendem kohlenstoff, verfahren zur herstellung und anwendung
EP1556893A2 (de) Speicherzelle, speicherzellen-anordnung, strukturier-anordnung und verfahren zum herstellen einer speicherzelle
EP1597760B1 (de) Integriertes elektronisches bauelement mit gezielt erzeugten nanoröhren in vertikalen strukturen
DE102006009721B4 (de) Nanodraht (Nanowire)-Speicherzelle und Verfahren zu deren Herstellung
DE10032414C1 (de) Feldeffekttransistor
DE10032370C1 (de) Feldeffekttransistor
DE102005001718B4 (de) Integrierte Schaltkreisanordnung und Verfahren zum Herstellen einer elektrisch leitfähigen Verbindung in einer Verdrahtungsebene in einer integrierten Schaltkreisanordnung
WO2002017397A1 (de) Elektronisches element, verfahren zum herstellen eines solchen und halbleiterelement

Legal Events

Date Code Title Description
PUAI Public reference made under article 153(3) epc to a published international application that has entered the european phase

Free format text: ORIGINAL CODE: 0009012

17P Request for examination filed

Effective date: 20021220

AK Designated contracting states

Designated state(s): AT BE CH CY DE DK ES FI FR GB GR IE IT LI LU MC NL PT SE TR

RBV Designated contracting states (corrected)

Designated state(s): AT BE CH CY DE FR GB IT LI

STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: THE APPLICATION HAS BEEN REFUSED

18R Application refused

Effective date: 20090217