DE112007000760B4 - Herstellungsverfahren für eine selektiv abgeschiedene Verkappungsschicht auf einem epitaxial aufgewachsenen Source-Drain und Transistor - Google Patents

Herstellungsverfahren für eine selektiv abgeschiedene Verkappungsschicht auf einem epitaxial aufgewachsenen Source-Drain und Transistor Download PDF

Info

Publication number
DE112007000760B4
DE112007000760B4 DE112007000760T DE112007000760T DE112007000760B4 DE 112007000760 B4 DE112007000760 B4 DE 112007000760B4 DE 112007000760 T DE112007000760 T DE 112007000760T DE 112007000760 T DE112007000760 T DE 112007000760T DE 112007000760 B4 DE112007000760 B4 DE 112007000760B4
Authority
DE
Germany
Prior art keywords
silicon
silicon germanium
nickel
layer
germanium alloy
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE112007000760T
Other languages
English (en)
Other versions
DE112007000760T5 (de
Inventor
Ted Hillsboro Cook jun.
Bernhard Portland Sell
Anand Portland Murthy
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of DE112007000760T5 publication Critical patent/DE112007000760T5/de
Application granted granted Critical
Publication of DE112007000760B4 publication Critical patent/DE112007000760B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66628Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation recessing the gate by forming single crystalline semiconductor material at the source or drain location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions

Abstract

Verfahren, das die folgenden Schritte umfaßt:
– Ausbilden einer Gate-Elektrode auf einer Oberfläche eines Substrats;
– isotropes Ätzen eines Source-Gebiets und eines Drain-Gebiets in dem Substrat;
– Abscheiden einer Siliciumgermanium-Legierung in dem Source-Gebiet und dem Drain-Gebiet;
– Abscheiden, auf der Siliciumgermanium-Legierung, einer Opferschicht aus einem Material, das eine niedrigere Germaniumkonzentration als die Germaniumkonzentration der Siliciumgermanium-Legierung hat;
– Abscheiden eines Metalls auf der Opferschicht;
– Ausbilden einer ersten Silicidschicht auf der Siliciumgermanium-Legierung und
– Ausbilden einer zweiten Silicidschicht auf der ersten Silicidschicht.

Description

  • Gebiet der Erfindung
  • Diese Erfindung betrifft das Gebiet der integrierten Halbleiter-Schaltkreise und dabei das Herstellen eines MOS-Transistors.
  • Hintergrund der Erfindung
  • Integrierte Schaltkreise werden normalerweise in und auf Silicium- und anderen Halbleitersubstraten hergestellt. Ein integrierter Schaltkreis kann Millionen von miteinander verbundenen Transistoren aufweisen, die auf einer Fläche von wenigen Quadratzentimetern ausgebildet sind.
  • Ein solcher Transistor weist normalerweise eine dielektrische Gate-Schicht auf dem Siliciumsubstrat, eine Gate-Elektrode auf der dielektrischen Gate-Schicht und Source- und Drain-Gebiete in dem Siliciumsubstrat auf gegenüberliegenden Seiten der Gate-Elektrode auf. Die Source- und Drain-Gebiete werden normalerweise durch Implantieren von Dotierungsunreinheiten in das Siliciumsubstrat hergestellt.
  • Um die Elektronenbeweglichkeit und die Kostenwirksamkeit zu erhöhen, ist Siliciumgermanium als Material für die Source- und Drain-Gebiete verwendet worden. Germanium hat eine um 4,2% größere Gitterkonstante (d. h. Atomabstand) als Silicium. Siliciumgermanium hat ebenfalls eine größere Gitterkonstante, deren Größe von dem prozentualen Gehalt des Germaniums abhängt. Wenn Silicium auf Siliciumgermanium aufgewachsen wird, dehnt sich unter. entsprechenden Bedingungen das Siliciumgitter aus, so dass es an der Silicium/Siliciumgermanium-Grenzfläche mit dem von Siliciumgermanium übereinstimmt. Wenn Siliciumgermanium auf Silicium aufgewachsen wird, wird unter entsprechenden Bedingungen das Siliciumgermanium-Gitter komprimiert. Bei jedem Verfahren gibt es eine kritische Dicke der aufgewachsenen Schicht (gleichgültig, ob Silicium oder Siliciumgermanium), über die hinaus die aufgewachsene Schicht relaxiert, da sich Gitterfehler ausbreiten.
  • Siliciumgermanium bietet bessere Geschwindigkeitseigenschaften für daraus bestehende Transistoren, da Germanium im Vergleich zu elementarem Silicium eine geringere effektive Elektronenmasse und eine geringere effektive Löchermasse hat (was zu einer höheren Elektronenbeweglichkeit und einer höheren Löcherbeweglichkeit führt). Siliciumgermanium-Verbindungen profitieren von der höheren Beweglichkeit des am Aufbau beteiligten Germaniums. Darüber hinaus erzeugt das Siliciumgermanium eine anisotrope Struktur, die die Leitungs- und Valenzbänder der Materialien ändert. Wenn es mit anderen Halbleiterschichten (z. B. Heteroschichten) mit verschiedenen Bandabständen kombiniert wird, können Leitungsband- und Valenzbanddiskontinuitäten so gestaltet werden, dass Quantenmulden oder eingebaute elektrische Felder entstehen, um Träger quer über die Heteroschichten zu beschleunigen.
  • Die Menge von Germanium in der SiGe-Epitaxialschicht wird aufgrund der Anforderungen an die Transistorleistung gewählt (normalerweise zwischen 15% und 30%). Diese Germaniummenge ist möglicherweise nicht optimal, weder für den Kontaktwiderstand zwischen Salicid und Source-Drain noch für eine gleichmäßige Salicidbildung, was zur einer geringeren Ausbeute und Leistung führt.
  • Aus der US 6,872,610 B1 ist ein Aufbau eines Transistors bekannt, der SiGe aufweist, bekannt. Ferner ist aus der US 2005/0282324 A1 eine Halbleitervorrichtung bekannt, die eine SiGe Schicht, eine Siliciumschicht und eine Silicidschicht umfasst, wobei aus dieser Druckschrift auch eine ungünstige, gegenseitige Beeinflussung von Ni-Silicid und SiGe entnehmbar ist.
  • Die vorliegende Erfindung betrifft ein Verfahren gemäß Anspruch 1 und einen Transistor gemäß Anspruch 17. Die Ansprüche 2 bis 16 betreffen besonders vorteilhafte Aspekte des erfindungsgemäßen Verfahrens gemäß Anspruch 1, die Ansprüche 18 bis 20 betreffen besonders vorteilhafte Ausführungsformen des Transistors gemäß Anspruch 17.
  • Kurze Beschreibung der Zeichnungen
  • Die vorliegende Erfindung wird in den Figuren der beigefügten Zeichnungen beispielhaft und nicht beschränkend dargestellt.
  • 1 ist eine Darstellung einer Schnitt-Seitenansicht von benachbarten Transistoren bei einer ersten Ausführungsform.
  • 2 ist eine Darstellung einer Schnitt-Seitenansicht, die die Ausbildung von Vertiefungen in dem Substrat von 1 zeigt.
  • 3 ist eine Darstellung einer Schnitt-Seitenansicht, die die Ausbildung einer Siliciumgermanium-Legierung in den Vertiefungen des Substrats von 2 zeigt.
  • 4 ist eine Darstellung einer Schnitt-Seitenansicht, die die Abscheidung von Silicium auf der Siliciumgermanium-Legierung des Substrats von 3 bei einer Ausführungsform zeigt.
  • 5 ist eine Schnitt-Seitenansicht, die das Entfernen der Maske auf dem Substrat von 4 bei einer Ausführungsform zeigt.
  • 6 ist eine Darstellung einer Schnitt-Seitenansicht, die die Abscheidung eines Metalls auf dem Substrat von 5 bei einer Ausführungsform zeigt.
  • 7 ist eine Darstellung einer Schnitt-Seitenansicht eines Transistors nach der Reaktion des Metalls in 6 bei einer Ausführungsform.
  • 8 ist eine Darstellung einer Schnitt-Seitenansicht, die das Entfernen der Maske auf dem Substrat von 3 bei einer weiteren Ausführungsform zeigt.
  • 9 ist eine Darstellung einer Schnitt-Seitenansicht, die die Abscheidung von Silicium auf dem Substrat von 6 bei einer weiteren Ausführungsform zeigt.
  • 10 ist eine Darstellung einer Schnitt-Seitenansicht, die die Abscheidung eines Metalls auf dem Substrat von 9 bei einer weiteren Ausführungsform zeigt.
  • 11 ist eine Darstellung einer Schnitt-Seitenansicht eines Transistors nach der Reaktion des Metalls in 10 bei einer weiteren Ausführungsform.
  • 12 ist ein Ablaufdiagramm, das ein Verfahren zum Herstellen des Transistors der 7 und 11 bei einer Ausführungsform zeigt.
  • Detaillierte Beschreibung
  • Nachstehend werden zahlreiche spezielle Einzelheiten, wie etwa Beispiele für spezielle Systeme, Komponenten, Verfahren und so weiter, beschrieben, um ein besseres Verständnis verschiedener Ausführungsformen der vorliegenden Erfindung zu ermöglichen. Fachleute dürften jedoch erkennen, dass zumindest einige Ausführungsformen der vorliegenden Erfindung auch ohne diese speziellen Einzelheiten genutzt werden können. In anderen Fällen werden bekannte Komponenten oder Verfahren nicht näher beschrieben oder werden in Form eines einfachen Blockdiagramms dargestellt, um ein unnötiges Erschweren des Verständnisses der vorliegenden Erfindung zu vermeiden. Daher sind die beschriebenen speziellen Einzelheiten nur beispielhaft. Spezielle Implementierungen können von diesen beispielhaften Einzelheiten abweichen und können dennoch als innerhalb des Schutzumfangs und der Grundgedanken der vorliegenden Erfindung liegend angesehen werden.
  • Bei einer Ausführungsform der Erfindung wird der Außenwiderstand eines Transistors durch Verwenden einer Siliciumgermanium-Legierung für die Source- und Drain-Gebiete, einer Metall-Siliciumgermanium-Silicidschicht und einer Metall-Silicium-Silicidschicht verringert, um eine Kontaktfläche der Source- und Drain-Gebiete auszubilden. Das Metall kann beispielsweise Nickel sein. Die Grenzfläche zwischen dem Siliciumgermanium und dem Nickel-Siliciumgermanium-Silicid hat aufgrund einer geminderten Metall-Halbleiter-Arbeitsfunktion einen geringeren spezifischen Kontaktwiderstand zwischen dem Siliciumgermanium und dem Nickel-Siliciumgermanium-Silicid und hat eine höhere Trägerbeweglichkeit in Siliciumgermanium als in Silicium. Das Nickel-Silicium-Silicid ermöglicht eine bessere Kontaktausbildung. Das Siliciumgermanium kann dotiert werden, um seine elektrischen Eigenschaften weiter einzustellen. Eine Verringerung des Außenwiderstands eines Transistors kommt einer höheren Transistorleistung bei der Schaltgeschwindigkeit und beim Stromverbrauch gleich.
  • 1 zeigt eine Ausführungsform der Herstellung von zwei benachbarten Transistoren 102, 104 auf einem Siliciumsubstrat 106. Der Transistor 102 ist ein Metalloxidhalbleiter(MOS)-Transistor, der auf einem p-Substrat oder in einer p-Wanne hergestellt wird. Der Transistor 104 ist ein Metalloxidhalbleiter-Transistor, der auf einem n-Substrat oder in einer n-Wanne hergestellt wird.
  • Die in 1 gezeigten halbfertigen Transistoren 102, 104 werden nach einem herkömmlichen Verfahren hergestellt. In den linken Teil des Siliciumsubstrats 106 werden p-Dotanden implantiert, um eine p-Wanne 108 herzustellen. In den rechten Teil des Siliciumsubstrats 106 werden n-Dotanden implantiert, um eine n-Wanne 110 herzustellen. Die p-Wanne wird durch ein Trenngebiet, wie etwa ein Siliciumdioxid-Shallow-Trench-Isolation(STI)-Gebiet 112, das auch als Trennwand bezeichnet wird, von der n-Wanne 110 getrennt.
  • Anschließend werden dielektrische Gate-Schichten 114, 116 auf die p-Wanne 108 bzw. die n-Wanne 110 aufgewachsen. Die dielektrischen Gate-Schichten 114, 116 können aus einem bekannten Material, wie etwa Siliciumdioxid oder nitriertem Siliciumdioxid, bestehen. Bei einer Ausführungsform können die dielektrischen Gate-Schichten 114 und 116 eine Dicke von weniger als etwa 4 nm (40 Å) haben. Auf den dielektrischen Gate-Schichten kann eine Gate-Elektrode ausgebildet werden. Auf der dielektrischen Gate-Schicht 114 bzw. 116 werden beispielsweise Polysilicium-Gate-Elektroden 118, 120 ausgebildet. Die Polysilicium-Gate-Elektrode 118 kann mit einem n-Dotanden, wie etwa Phosphor oder Arsen, dotiert werden. Die Polysilicium-Gate-Elektrode 120 kann mit einem p-Dotanden, wie etwa Bor, dotiert werden.
  • Auf gegenüberliegenden Seiten der Polysilicium-Gate-Elektroden 118 bzw. 120 können Source-Drain-Erweiterungen 128 und 130 ausgebildet werden. Auf gegenüberliegenden Seiten der Polysilicium-Gate-Elektrode 118 bzw. 120 können auch vertikale Seitenwand-Abstandshalter 122 und 124 ausgebildet werden. Bei einer Ausführungsform können die vertikalen Seitenwand-Abstandshalter 122 und 124 aus SiO2 oder SiBN14 bestehen.
  • Auf dem Transistor 104 kann eine Maske 126 ausgebildet werden. Insbesondere wird die Maske 126 auf der Polysilicium-Gate-Elektrode 120, den vertikalen Seitenwand-Abstandshaltern 124 und der übrigen freiliegenden Fläche der n-Wanne 110 abgeschieden. Bei einer Ausführungsform kann die Maske 126 als Sperrschicht für weitere Verarbeitungsschritte dienen.
  • Wie in 2 gezeigt ist, werden anschließend Vertiefungen 202 in eine Oberseite der p-Wanne 108 geätzt. Zum selektiven Entfernen der Source-Drain-Erweiterungen 118, 120 und des freiliegenden Siliciums zwischen dem Grabenisolationsgebiet 112, der dielektrischen Gate-Schicht 114 und den Seitenwand-Abstandshaltern 122 kann ein isotropes Ätzmittel verwendet werden. Es wird so lange geätzt, bis Spitzenteile 204 der Vertiefungen 202 unter der dielektrischen Gate-Schicht 114 entstehen. In dieser Weise werden die Source- und Drain-Vertiefungen 202 auf gegenüberliegenden Seiten und unter der Polysilicium-Gate-Elektrode 118 ausgebildet. Jede der Source- und Drain-Vertiefungen 202 hat einen entsprechenden Spitzenteil 204 unter der Polysilicium-Gate-Elektrode 118. Zwischen den Spitzenteilen 204 ist ein Kanalgebiet 206 definiert. Die Maske 126 des Transistors 104 verhindert vorübergehend den weiteren Prozess bis zu dem Transistor 104.
  • 3 zeigt die Struktur von 2 nach der Herstellung der Source- und Drain-Gebiete. Source- und Drain-Gebiete können durch epitaxiales Aufwachsen von Siliciumgermanium in den Vertiefungen 202 ausgebildet werden, um eine Siliciumgermanium-Schicht 302 herzustellen. Es ist zu beachten, dass im Gegensatz zu den Materialien des Shallow-Trench-Isolation-Gebiets 112, der dielektrischen Gate-Schichten 114, der Seitenwand-Abstandshalter 112 und der Maske 126 Siliciumgermanium selektiv auf dem Material des Siliciumsubstrats 106 aufwächst. Das Siliciumgermanium-Kristall wächst nicht auf der dielektrischen SiO2- oder Si3N4-Schicht auf. Fachleuten dürfte bekannt sein, dass es zahlreiche bekannte Verfahren zum Abscheiden des Siliciumgermaniums gibt. Beispielsweise kann ein Abscheidungsverfahren die Epitaxie-Abscheidung durch chemische Aufdampfung (CVD) unter reduziertem Druck sein. Weitere Abscheidungsverfahren sind atmosphärische CVD-Epitaxie und Ultrahochvakuum-CVD-Epitaxie. Jedes Abscheidungsverfahren ist eine spezielle Form der Dampfphasenepitaxie, da die abgeschiedene Siliciumgermanium-Schicht 302 aus einem Einkristall besteht. Bei einer Ausführungsform kann die Siliciumgermanium-Legierung ein Germanium-Gehalt von etwa 5% bis etwa 50% haben.
  • Bei einer Ausführungsform ist das Siliciumgermanium-Abscheidungsverfahren die CVD-Epitaxie. Die Epitaxie kann zwischen 600°C und 800°C bei einem Druck von 1,33 × 103 Pa bis 1,01 × 105 Pa (10 bis 760 Torr) erfolgen. Als Trägergas kann H2, N2 oder He verwendet werden. Das Siliciumquellen-Vorläufergas kann SiH2Cl2, SiH4 oder Si2H6 sein. Bei einer Ausführungsform ist. GeH4 das Germaniumquellen-Vorläufergas. Zur Erhöhung der Materialselektivität der Abscheidung kann HCl oder Cl2 als Ätzmittel zusätzlich verwendet werden. Bei einer Ausführungsform kann die resultierende Siliciumgermanium-Schicht 302 in den Vertiefungen 202 abgeschieden werden, um Source- und Drain-Gebete auszubilden. Die Siliciumgermanium-Schicht 302 kann eine Dicke von etwa 50 bis etwa 200 nm (500 bis etwa 2000 Ångström) haben. 3 zeigt eine Ausführungsform, bei der sich die abgeschiedene Siliciumgermanium-Schicht 302 auf einer Oberseite des Substrats 106 erstreckt. Die Siliciumgermanium-Schicht 302 wird auf und unter einer Oberseite des Substrats 106 ausgebildet. Durch Ausbilden der Siliciumgermanium-Schicht 302 auf der Oberseite des Substrats 106 entsteht ein erhabenes Source-Drain-Gebiet, wodurch die Leitfähigkeit erhöht wird. Die höhere Leitfähigkeit verbessert wiederum die Leistung des Bauelements. Bei einer anderen Ausführungsform kann die Siliciumgermanium-Schicht 302 eine Dicke von etwa 20 nm bis 100 nm (200 bis etwa 1000 Ångström) haben.
  • Die Siliciumgermanium-Schicht 302 kann dotiert werden, um ihre elektrischen und chemischen Eigenschaften einzustellen. Die Dotierung kann unter Verwendung verschiedener Dotanden und mit verschiedenen Dotierungsverfahren erfolgen. Beispielsweise kann Siliciumgermanium mit p-Unreinheiten, wie etwa Bor, auf ein Dotandenkonzentrationsniveau von 1 × 1018/cm3 bis 3 × 1021/cm3 in situ dotiert werden, wobei eine Konzentration von etwa 1 × 1020/cm3 bevorzugt wird. Bei einer Ausführungsform zum Herstellen eines PMOS-Bauelements wird Siliciumgermanium bei der Epitaxie unter Verwendung der vorgenannten Vorläufer und eines weiteren Vorläufergases B2H6 als Quelle des Bor-Dotanden bei der Siliciumgermanium-Epitaxie-Abscheidung in situ mit Bor dotiert. Der Vorteil des Dotierens von Siliciumgermanium in situ besteht darin, dass es die Form der Vertiefungen 202 sehr schwierig macht, Siliciumgermanium zu dotieren, nachdem es in einem Bereich abgeschieden worden ist, der von den Seitenwand-Abstandshaltern 122 verdunkelt wird. Fachleuten dürfte bekannt sein, dass auch andere Verfahren zum Detektieren der Siliciumgermanium-Schicht 302 verwendet werden können.
  • Bei einer Ausführungsform wird ein Teil des Bor-Dotanden, der bei der Siliciumgermanium-Abscheidung zugegeben wird, dabei nicht aktiviert. Das heißt, nach der Abscheidung sind zwar Bor-Atome in der Siliciumgermanium-Schicht 302, aber sie sind noch nicht in die Siliciumstellen in dem Gitter eingefügt worden, wo sie ein Loch (d. h., ein fehlendes Elektron) bilden können. Bei einer Ausführungsform wird die thermische Aktivierung des Dotanden bis zu den nachfolgenden Verarbeitungsschritten (wie etwa dem Silicid-Ausheilen) verschoben, wodurch das thermische Budget verringert wird, und die resultierende Dotanden-Diffusion ermöglicht die Entstehung einer sehr abrupten Source-Drain-Verbindung, wodurch die Leistung des Bauelements verbessert wird.
  • Nach dem Einfügen hat das abgeschiedene Siliciumgermanium eine größere Gitterkonstante, deren Größe von dem Atomprozentgehalt von Germanium in der Siliciumgermanium-Legierung abhängt. Beim Abscheiden auf dem Siliciumsubstrat 106 wird das Gitter des Siliciumgermaniums komprimiert, um ein Kristallwachstum zu ermöglichen. Die Komprimierung der Siliciumgermanium-Schicht 302, die Source- und Drain-Gebiete bildet, erzeugt dann eine Komprimierung in dem Bereich des Siliciumsubstrats 106, der sich zwischen der Siliciumgermanium-Quelle und den Drain-Gebieten und unter der dielektrischen Gate-Schicht 114 befindet (d. h., der Kanal 206 des Transistors 102). Die Kompression erzeugt eine anisotrope Atomstruktur in dem Kanalgebiet, wodurch die Leitungs- und Valenzbänder des Kanalmaterials verändert werden. Der Kompressionsdruck verringert die effektive Löchermasse in dem Kanalgebiet des Siliciumsubstrats 106 weiter, wodurch sich wiederum die Löcherbeweglichkeit erhöht. Die höhere Löcherbeweglichkeit erhöht den Kanal-Sättigungsstrom des resultierenden MOS-Transistors, wodurch die Leistung des Bauelements verbessert wird.
  • 4 zeigt die Struktur von 3 nach der Abscheidung einer Opferschicht bei einer Ausführungsform. Bei einer Ausführungsform umfasst die Opferschicht eine dünne Schicht aus Silicium 402, die selektiv auf der freiliegenden Fläche der Siliciumgermanium-Schicht 302 abgeschieden wird. Es ist zu beachten, dass im Gegensatz zu den Materialien des Shallow-Trench-Isolation-Gebiets 112, der dielektrischen Gate-Schichten 114, der Seitenwand-Abstandshalter 122 und der harten Masken 126 das Silicium selektiv auf dem Material der Siliciumgermanium-Schicht 302 aufwächst. Die Siliciumschicht 402 wächst nicht auf der dielektrischen SiO2- oder Si3N4-Schicht auf. Die Dicke der Siliciumschicht 402 kann in Abhängigkeit von der Art und Dicke des auf der Schicht aus Silicium 402 abzuscheidenden Metalls 20 nm bis 40 nm (200 Å bis 400 Å) betragen. Bei einer Ausführungsform kann das Abscheidungsverfahren die Epitaxie-Abscheidung durch chemische Aufdampfung (CVD) unter reduziertem Druck sein. Bei weiteren Ausführungsformen ist das Abscheidungsverfahren atmosphärische CVD-Epitaxie und Ultrahochvakuum-CVD-Epitaxie. Jedes Abscheidungsverfahren ist eine spezielle Form der Dampfphasenepitaxie, da die abgeschiedene Siliciumgermanium-Schicht 402 aus einem Einkristall besteht. Bei einer weiteren Ausführungsform enthält die Opferschicht Siliciumgermanium, das ein Germanium-Gehalt hat, die niedriger als das Germanium in der Siliciumgermanium-Schicht ist. Beispielsweise kann die Opferschicht Siliciumgermanium mit einem Germanium-Gehalt von bis zu etwa 30% enthalten.
  • Der Abscheidungsprozess kann das Leiten von Gasen zu den Entlüftungsöffnungen aus zwei Gründen beinhalten: (1) um DCS- und HCL-Ströme auf Sollwerten zu stabilisieren, und (2) damit die Temperatur auf eine Soll-Temperatur (zwischen 777°C und 825°C) steigen kann.
  • 5 zeigt die Struktur von 4 nach dem Entfernen der Maske 126 von dem Transistor 104 bei einer Ausführungsform. Die Maske 126 wird entfernt, um die Struktur des Transistors 104 freizulegen, wie in 5 gezeigt ist. Insbesondere sind die freigelegten Teile des Transistors 104 unter anderem die Source-Drain-Gebiete 130, die Seitenwand-Abstandshalter 124 und die Gate-Elektrode 120. Die freigelegten Teile des Transistors 102 sind unter anderem die Siliciumschicht 402, die Seitenwand-Abstandshalter 122 und die Gate-Elektrode 118.
  • 6 zeigt die Struktur von 5 nach der Abscheidung eines Metalls. Ein Metall 602, wie etwa Nickel, wird auf beiden Transistoren 102 und 104 abgeschieden. Fachleuten dürfte bekannt sein, dass es zahlreiche Verfahren zum Abscheiden des Metalls 602 gibt. Ein Beispiel für Abscheidungsverfahren umfasst normale Zerstäubungsverfahren (d. h., physikalische Aufdampfung oder „PVD”). Das Metall 602 reagiert mit bestimmten Teilen der Transistoren 102 und 104. Das Metall 602, das nicht mit den Teilen der Transistoren 102 und 104 reagiert hat, wird anschließend entfernt.
  • 7 zeigt eine Schnitt-Seitenansicht eines Transistors nach der Reaktion des Metalls mit den Transistoren 102 und 104 in 6 bei einer Ausführungsform. 7 zeigt außerdem die Ausbildung von selbstjustierten Silicidschichten 702 und 704. Fachleuten dürfte bekannt sein, dass eine Silicidschicht durch Abscheiden einer dünnen Schicht aus schwerschmelzendem Metall hergestellt wird.
  • Schwerschmelzende Metalle sind unter anderem Cobalt, Titan und Nickel. Bei einer Ausführungsform ist das schwerschmelzende Metall Nickel. Beim Auswählen eines schwerschmelzenden Metalls ist nicht nur die elektrische Kompatibilität zu berücksichtigen, sondern auch die mechanische und chemische Kompatibilität zu der darunterliegenden Siliciumgermanium-Schicht 302, die die Source- und Drain-Gebiete und die freiliegenden Source- und Drain-Gebiete der entsprechenden NMOS-Bauelemente auf dem gleichen Substrat belegt. Beispielsweise muss die Silicidschicht zusammenhängend und gleichmäßig sein, um die Verringerung des Grenzflächenwiderstands zwischen der Silicidschicht und der darunterliegenden Siliciumgermanium-Schicht 302 zu unterstützen. Nickel reagiert meist gleichmäßig mit Silicium und Germanium zu einer stabilen ternären Ni(SiGe)-Phase, während Cobalt und Titan bevorzugt mit Silicium reagieren und die Germanium-Komponente der Siliciumgermanium-Legierung 302 trennen. Außerdem hat das Siliciumgermaniumsilicid auf Titan- und Cobaltbasis eine geringere thermische Stabilität als Nickel-Siliciumgermaniumsilicid. Durch Auswählen eines ungeeigneten schwerschmelzenden Metalls entsteht eine nicht-ideale Grenzfläche zwischen dem Silicid und dem Halbleiter, die den Grenzflächenwiderstand unabhängig von ansonsten elektrisch kompatiblen Materialien erhöht.
  • 7 zeigt eine Ausführungsform, bei der das schwerschmelzende Metall PVD-Nickel ist. Was die Umgebungsbedingungen betrifft, so erfolgt die PVD-Nickel-Abscheidung zwischen 20°C und 200°C und bei einem Druck von weniger als 666,6 Pa (50 Millitorr). Die Dicke des Nickels kann zwischen 5 nm und 20 nm (50 und 200 Ångström) liegen. An die Nickel-Abscheidung schließt sich eine schnelle Bildungsausheilung bei 325°C bis 450°C für 60 Sekunden oder weniger unter Verwendung beispielsweise einer Vorrichtung zur thermischen Schnellausheilung (rapid thermal anneal, „RTA”) an. Bei der Bildungsausheilung reagiert die Nickelschicht 602 auf der Siliciumschicht 402 zu einer ersten Schicht aus Nickel-Siliciumgermanium-Silicid 702 und einer zweiten Schicht aus Nickel-Silicium-Silicid 704, wie in 7 gezeigt ist. Bei einer Ausführungsform kann das abgeschiedene Nickel 602 eine Dicke von etwa 20 nm bis 40 nm (200 bis 400 Ångström) haben. Da das Nickel 602 auf der gesamten freiliegenden Fläche des Siliciumsubstrats 106 abgeschieden wird, wird das nichtumgesetzte Nickel (d. h., das Nickel, das nicht mit Silicium oder Siliciumgermanium zu einem Silicid mit seiner darunterliegenden Schicht reagiert hat, da es auf den Seitenwand-Abstandshaltern 122 oder den Trenngebieten 112 abgeschieden wird) unter Verwendung von Nassätzchemikalien, zum Beispiel einem Gemisch aus heißer H2O2 und heißer H2SO4, entfernt. Das übrige umgesetzte Nickel auf der Siliciumgermanium-Schicht 302 (Source- und Drain-Gebiete) und den Bereichen der Gates 118 wird dann einer Endausheilung bei 400°C bis 550°C unterzogen, um die Ausbildung des Nickel-Siliciumgermanium-Silicids 702 und des Nickel-Silicium-Silicids 704 zu beenden, wie in 7 gezeigt ist. Die Silicidschichten 702 und 704 können dann mit beispielsweise einer Titannitridkappe (nicht dargestellt) verkappt werden, um zu vermeiden, dass die Nickel-Siliciumgermanium-Silicidschicht 702 und die Nickel-Silicium-Silicidschicht 704 während der nachfolgenden Verarbeitungsschritte oxidieren, wie auf dem Fachgebiet bekannt ist. Bei einer Ausführungsform kann jede Silicidschicht eine Dicke von 20 nm bis 40 nm (200 bis 400 Ångström) haben.
  • 8 zeigt die Struktur von 3 nach dem Entfernen der Maske 126 von dem Transistor 104 bei einer weiteren Ausführungsform. Die Maske 126 wird anschließend entfernt, um die Struktur des Transistors 104 freizulegen, wie in 8 gezeigt ist. Insbesondere sind die freigelegten Teile des Transistors 104 unter anderem die Source-Drain-Gebiete 130, die Seitenwand-Abstandshalter 124 und die Gate-Elektrode 120.
  • 9 zeigt die Struktur von 8 nach der Abscheidung einer Opferschicht bei einer Ausführungsform. Die Opferschicht kann zum Beispiel Silicium aufweisen. Eine dünne Schicht aus Silicium 902 wird selektiv auf der freiliegenden Fläche der Siliciumgermanium-Schicht 302 des Transistors 102 abgeschieden. Eine dünne Schicht aus Silicium 902 wird auf der freiliegenden Fläche der Source-Drain-Gebiete 130 des Transistors 104 abgeschieden. Die Dicke der Schicht aus Silicium 902 kann in Abhängigkeit von der Art und Dicke des auf die Schicht aus Silicium 902 abzuscheidenden Metall 20 nm bis 40 nm (200 Å bis 400 Å) betragen. Der Abscheidungsprozess für die Schicht aus Silicium 902 wurde bereits bei 4 beschrieben.
  • 10 zeigt die Struktur von 9 nach der Abscheidung eines Metalls 1002, wie etwa Nickel.
  • Der Abscheidungsprozess für die Metallschicht 1002 wurde bereits bei 6 beschrieben.
  • 11 zeigt die Struktur von 10 nach der Reaktion des Metalls mit den Transistoren 102 und 104. Der Reaktionsprozess wurde bereits bei 7 beschrieben.
  • 12 ist ein Ablaufdiagramm, das ein Verfahren zur Herstellung des Transistors der 7 und 11 zeigt. Bei 1202 wird eine Gate-Elektrode hergestellt, wie in 1 gezeigt ist. Bei 1204 werden die Source- und Drain-Gebiete in dem Substrat geätzt, wie in 2 gezeigt ist. Bei 1206 wird eine Siliciumgermanium-Legierung in den Source- und Drain-Gebieten abgeschieden, wie in 3 gezeigt ist. Bei 1208 wird eine Opferschicht aus einem Material auf der Siliciumgermanium-Legierung abgeschieden, wie in den 4 und 9 gezeigt ist. Bei einer Ausführungsform weist die Opferschicht Silicium auf. Bei 1210 wird ein Metall, wie etwa Nickel, auf der Opferschicht abgeschieden, wie in den 6 und 10 gezeigt ist. Die Kontakte zwischen dem Metall und der Opferschicht und der Siliciumgermanium-Legierung bilden zwei Silicidschichten. Bei 1212 reagiert das Metall mit dem Siliciumgermanium zu einer ersten Silicidschicht. Bei einer Ausführungsform weist die erste Silicidschicht Nickel-Siliciumgermanium-Silicid auf, die durch Reagieren von Nickel mit Siliciumgermanium entsteht. Bei 1214 reagiert das Metall mit der Opferschicht zu einer zweiten Silicidschicht. Bei einer Ausführungsform weist die zweite Silicidschicht Nickel-Silicium-Silicid auf, das durch Reagieren von Nickel mit Silicium entsteht.
  • Die Operationen des/der Verfahren werden zwar hier in einer bestimmten Reihenfolge dargestellt und beschrieben, aber die Reihenfolge der Operationen jedes Verfahrens kann so geändert werden, dass bestimmte Operationen in einer umgekehrten Reihenfolge ausgeführt werden können oder dass eine bestimmte Operation zumindest teilweise gleichzeitig mit anderen Operationen ausgeführt werden kann. Bei einer weiteren Ausführungsform können Befehle oder Teiloperationen von bestimmten Operationen in einer diskontinuierlichen und/oder abwechselnden Weise erfolgen.

Claims (20)

  1. Verfahren, das die folgenden Schritte umfaßt: – Ausbilden einer Gate-Elektrode auf einer Oberfläche eines Substrats; – isotropes Ätzen eines Source-Gebiets und eines Drain-Gebiets in dem Substrat; – Abscheiden einer Siliciumgermanium-Legierung in dem Source-Gebiet und dem Drain-Gebiet; – Abscheiden, auf der Siliciumgermanium-Legierung, einer Opferschicht aus einem Material, das eine niedrigere Germaniumkonzentration als die Germaniumkonzentration der Siliciumgermanium-Legierung hat; – Abscheiden eines Metalls auf der Opferschicht; – Ausbilden einer ersten Silicidschicht auf der Siliciumgermanium-Legierung und – Ausbilden einer zweiten Silicidschicht auf der ersten Silicidschicht.
  2. Verfahren nach Anspruch 1, das weiterhin das Dotieren der Siliciumgermanium-Legierung in situ mit Bor aufweist.
  3. Verfahren nach Anspruch 1, dadurch gekennzeichnet, dass die Siliciumgermanium-Legierung eine Oberseite hat, die über einer Ebene ist, die von der Oberfläche des Substrats definiert wird.
  4. Verfahren nach Anspruch 1, dadurch gekennzeichnet, dass die Siliciumgermanium-Legierung ein Germaniumgehalt zwischen etwa 5% und etwa 50% hat.
  5. Verfahren nach Anspruch 1, dadurch gekennzeichnet, dass die Siliciumgermanium-Legierung eine Dicke zwischen etwa 20 nm und etwa 100 nm (200 und etwa 1000 Ångström) hat.
  6. Verfahren nach Anspruch 1, dadurch gekennzeichnet, dass die Opferschicht Silicium aufweist.
  7. Verfahren nach Anspruch 6, dadurch gekennzeichnet, dass das Silicium eine Dicke zwischen etwa 20 nm und etwa 40 nm (200 und etwa 400 Ångström) hat.
  8. Verfahren nach Anspruch 1, dadurch gekennzeichnet, dass die Opferschicht Siliciumgermanium mit einem Germaniumgehalt bis zu 30% aufweist.
  9. Verfahren nach Anspruch 1, dadurch gekennzeichnet, dass das Metall Nickel umfasst.
  10. Verfahren nach Anspruch 9, dadurch gekennzeichnet, dass das Nickel eine Dicke zwischen etwa 20 nm und etwa 40 nm (200 und etwa 400 Ångström) hat.
  11. Verfahren nach Anspruch 9, dadurch gekennzeichnet, dass die erste Silicidschicht ein Nickel-Siliciumgermanium-Silicid aufweist.
  12. Verfahren nach Anspruch 11, dadurch gekennzeichnet, dass das Nickel-Siliciumgermanium-Silicid eine Dicke zwischen etwa 20 nm und etwa 40 nm (200 und etwa 400 Ångström) hat.
  13. Verfahren nach Anspruch 9, dadurch gekennzeichnet, dass die zweite Silicidschicht ein Nickel-Silicium-Silicid aufweist.
  14. Verfahren nach Anspruch 13, dadurch gekennzeichnet, dass das Nickel-Silicium-Silicid eine Dicke zwischen etwa 20 nm und etwa 40 nm (200 und etwa 400 Ångström) hat.
  15. Verfahren nach Anspruch 1, das die folgenden Schritte umfasst: – Ausbilden einer Gate-Elektrode auf einer Oberfläche eines Substrats; – isotropes Ätzen eines Source-Gebiets und eines Drain-Gebiets in dem Substrat; – Abscheiden einer Siliciumgermanium-Legierung in dem Source-Gebiet und in dem Drain-Gebiet; – Dotieren der Siliciumgermanium-Legierung in situ mit Bor; – Abscheiden von Silicium auf der Siliciumgermanium-Legierung; – Abscheiden von Nickel auf dem Silicium; – Ausbilden einer Nickel-Silicium-Silicidschicht auf der Siliciumgermanium-Legierung und – Ausbilden einer Nickel-Siliciumgermanium-Silicidschicht auf der Nickel-Silicium-Silicidschicht.
  16. Verfahren nach Anspruch 15, dadurch gekennzeichnet, dass die Siliciumgermanium-Legierung eine Oberseite hat, die über einer Ebene ist, die von der Oberfläche des Substrats definiert wird.
  17. Transistor mit: – einem Substrat aus Silicium, das ein Kanalgebiet mit ersten Dotierungsunreinheiten hat, um einen ersten Leitfähigkeitstyp zu erhalten; – einer dielektrischen Gate-Schicht auf dem Kanalgebiet; – einer leitfähigen Gate-Elektrode auf der dielektrischen Gate-Schicht; – Source- und Drain-Gebieten auf gegenüberliegenden Seiten des Kanalgebiets, wobei die Source- und Drain-Gebiete aus einer Siliciumgermanium-Legierung bestehen; – einer Nickel-Silicium-Silicidschicht, die auf der Siliciumgermanium-Legierung ausgebildet ist; und – einer Nickel-Siliciumgermanium-Silicidschicht, die auf der Nickel-Silicium-Silicidschicht ausgebildet ist.
  18. Transistor nach Anspruch 17, dadurch gekennzeichnet, dass die Siliciumgermanium-Legierung in situ mit Bor dotiert ist.
  19. Transistor nach Anspruch 17, dadurch gekennzeichnet, dass die Siliciumgermanium-Legierung eine Oberseite hat, die über einer Ebene ist, die von der Oberfläche des Substrats definiert wird.
  20. Transistor nach Anspruch 17, dadurch gekennzeichnet, dass die Siliciumgermanium-Legierung ein Germaniumgehalt zwischen etwa 5% und etwa 50% hat.
DE112007000760T 2006-03-28 2007-03-19 Herstellungsverfahren für eine selektiv abgeschiedene Verkappungsschicht auf einem epitaxial aufgewachsenen Source-Drain und Transistor Active DE112007000760B4 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/391,928 US20070238236A1 (en) 2006-03-28 2006-03-28 Structure and fabrication method of a selectively deposited capping layer on an epitaxially grown source drain
US11/391,928 2006-03-28
PCT/US2007/064295 WO2007112228A1 (en) 2006-03-28 2007-03-19 Structure and fabrication method of a selectively deposited capping layer on an epitaxially grown source drain

Publications (2)

Publication Number Publication Date
DE112007000760T5 DE112007000760T5 (de) 2009-01-29
DE112007000760B4 true DE112007000760B4 (de) 2010-12-02

Family

ID=38541445

Family Applications (1)

Application Number Title Priority Date Filing Date
DE112007000760T Active DE112007000760B4 (de) 2006-03-28 2007-03-19 Herstellungsverfahren für eine selektiv abgeschiedene Verkappungsschicht auf einem epitaxial aufgewachsenen Source-Drain und Transistor

Country Status (6)

Country Link
US (1) US20070238236A1 (de)
JP (1) JP2009524260A (de)
CN (1) CN101410960B (de)
DE (1) DE112007000760B4 (de)
TW (1) TWI387010B (de)
WO (1) WO2007112228A1 (de)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6949482B2 (en) 2003-12-08 2005-09-27 Intel Corporation Method for improving transistor performance through reducing the salicide interface resistance
JP5114919B2 (ja) * 2006-10-26 2013-01-09 富士通セミコンダクター株式会社 半導体装置とその製造方法
US8124473B2 (en) * 2007-04-12 2012-02-28 Advanced Micro Devices, Inc. Strain enhanced semiconductor devices and methods for their fabrication
US20100006961A1 (en) * 2008-07-09 2010-01-14 Analog Devices, Inc. Recessed Germanium (Ge) Diode
KR101561059B1 (ko) * 2008-11-20 2015-10-16 삼성전자주식회사 반도체 소자 및 그 제조 방법
US8598003B2 (en) * 2009-12-21 2013-12-03 Intel Corporation Semiconductor device having doped epitaxial region and its methods of fabrication
US8901537B2 (en) 2010-12-21 2014-12-02 Intel Corporation Transistors with high concentration of boron doped germanium
US9484432B2 (en) 2010-12-21 2016-11-01 Intel Corporation Contact resistance reduction employing germanium overlayer pre-contact metalization
FR2989517B1 (fr) * 2012-04-12 2015-01-16 Commissariat Energie Atomique Reprise de contact sur substrat semi-conducteur heterogene
CN103632977B (zh) * 2012-08-29 2016-02-17 中芯国际集成电路制造(上海)有限公司 半导体结构及形成方法
FR3002688A1 (fr) * 2013-02-27 2014-08-29 Commissariat Energie Atomique Procede de fabrication d'un dispositif microelectronique

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6872610B1 (en) * 2003-11-18 2005-03-29 Texas Instruments Incorporated Method for preventing polysilicon mushrooming during selective epitaxial processing
US20050283324A1 (en) * 2004-06-17 2005-12-22 Swanson David F Method and system for determining a rotor position in a wound field DC motor

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6887762B1 (en) * 1998-11-12 2005-05-03 Intel Corporation Method of fabricating a field effect transistor structure with abrupt source/drain junctions
US6235568B1 (en) * 1999-01-22 2001-05-22 Intel Corporation Semiconductor device having deposited silicon regions and a method of fabrication
JP3876401B2 (ja) * 1999-08-09 2007-01-31 富士通株式会社 半導体装置の製造方法
US6214679B1 (en) * 1999-12-30 2001-04-10 Intel Corporation Cobalt salicidation method on a silicon germanium film
US6952040B2 (en) * 2001-06-29 2005-10-04 Intel Corporation Transistor structure and method of fabrication
JP3948290B2 (ja) * 2002-01-25 2007-07-25 ソニー株式会社 半導体装置の製造方法
US6812086B2 (en) * 2002-07-16 2004-11-02 Intel Corporation Method of making a semiconductor transistor
US6787864B2 (en) * 2002-09-30 2004-09-07 Advanced Micro Devices, Inc. Mosfets incorporating nickel germanosilicided gate and methods for their formation
JP4509026B2 (ja) * 2003-02-07 2010-07-21 日本電気株式会社 ニッケルシリサイド膜の形成方法、半導体装置の製造方法およびニッケルシリサイド膜のエッチング方法
US20040262683A1 (en) * 2003-06-27 2004-12-30 Bohr Mark T. PMOS transistor strain optimization with raised junction regions
US6891192B2 (en) * 2003-08-04 2005-05-10 International Business Machines Corporation Structure and method of making strained semiconductor CMOS transistors having lattice-mismatched semiconductor regions underlying source and drain regions
US7303949B2 (en) * 2003-10-20 2007-12-04 International Business Machines Corporation High performance stress-enhanced MOSFETs using Si:C and SiGe epitaxial source/drain and method of manufacture
US7057216B2 (en) * 2003-10-31 2006-06-06 International Business Machines Corporation High mobility heterojunction complementary field effect transistors and methods thereof
US6949482B2 (en) * 2003-12-08 2005-09-27 Intel Corporation Method for improving transistor performance through reducing the salicide interface resistance
US20050253205A1 (en) * 2004-05-17 2005-11-17 Fujitsu Limited Semiconductor device and method for fabricating the same
JP4375619B2 (ja) * 2004-05-26 2009-12-02 富士通マイクロエレクトロニクス株式会社 半導体装置の製造方法
JP2005353831A (ja) * 2004-06-10 2005-12-22 Toshiba Corp 半導体装置
JP4837902B2 (ja) * 2004-06-24 2011-12-14 富士通セミコンダクター株式会社 半導体装置
JP2006351581A (ja) * 2005-06-13 2006-12-28 Fujitsu Ltd 半導体装置の製造方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6872610B1 (en) * 2003-11-18 2005-03-29 Texas Instruments Incorporated Method for preventing polysilicon mushrooming during selective epitaxial processing
US20050283324A1 (en) * 2004-06-17 2005-12-22 Swanson David F Method and system for determining a rotor position in a wound field DC motor

Also Published As

Publication number Publication date
US20070238236A1 (en) 2007-10-11
CN101410960A (zh) 2009-04-15
CN101410960B (zh) 2010-09-08
TWI387010B (zh) 2013-02-21
JP2009524260A (ja) 2009-06-25
TW200746316A (en) 2007-12-16
WO2007112228A1 (en) 2007-10-04
DE112007000760T5 (de) 2009-01-29

Similar Documents

Publication Publication Date Title
DE112007000760B4 (de) Herstellungsverfahren für eine selektiv abgeschiedene Verkappungsschicht auf einem epitaxial aufgewachsenen Source-Drain und Transistor
DE102006051492B4 (de) Halbleiterbauelement mit NMOS- und PMOS-Transistoren mit eingebettetem Si/Ge-Material zum Erzeugen einer Zugverformung und einer Druckverformung und Verfahren zur Herstellung eines solchen Halbleiterbauelements
DE102007041207B4 (de) CMOS-Bauelement mit Gateisolationsschichten mit unterschiedlicher Art und Dicke und Verfahren zur Herstellung
DE112011101378B4 (de) Epitaxie von Delta-Monoschicht-Dotierstoffen für eingebettetes Source/Drain-Silicid
DE102006009225B4 (de) Herstellung von Silizidoberflächen für Silizium/Kohlenstoff-Source/Drain-Gebiete
DE112008000974B4 (de) Durch Verformung verbesserte Halbleiterbauelemente und Verfahren zu deren Herstellung
DE102005052055B3 (de) Eingebettete Verformungsschicht in dünnen SOI-Transistoren und Verfahren zur Herstellung desselben
DE102009055392B4 (de) Halbleiterbauelement und Verfahren zur Herstellung des Halbleiterbauelements
DE112008000638B4 (de) Verfahren zur Herstellung einer Halbleitereinheit mit selbstausgerichteten epitaxialen Verlängerungen von Quellen und Senken
DE112005002302B4 (de) Verfahren zur Herstellung von Metallgate-Transistoren mit epitaktischen Source- und Drainregionen und MOS-Transistor
DE112006001705B4 (de) Verfahren zur Herstellung eines Integrierten Komplementär-Metalloxid-Halbleiter-Schaltkreises unter Verwendung eines erhöhten Source-Drains und eines Ersatz-Metall-Gates
DE112005001029B4 (de) Halbleiterbauelement auf der Grundlage Si-Ge mit stark verspannter Beschichtung für eine verbesserte Kanalladungsträgerbeweglichkeit
DE112008000094B4 (de) CMOS-Vorrichtung mit Dual-Epi-Kanälen und selbstausgerichteten Kontakten und Herstellungsverfahren
DE102008046400B4 (de) Verfahren zur Herstellung eines CMOS-Bauelements mit MOS-Transistoren mit abgesenkten Drain- und Sourcebereichen und einem Si/Ge-Material in den Drain- und Sourcebereichen des PMOS-Transistors
DE102005030583B4 (de) Verfahren zur Herstellung von Kontaktisolationsschichten und Silizidgebieten mit unterschiedlichen Eigenschaften eines Halbleiterbauelements und Halbleiterbauelement
DE112011101433B4 (de) Stressor mit eingebetteter Dotierstoff-Monoschicht für hochentwickelten CMOS-Halbleiter
DE112007003116B4 (de) Verfahren zur Herstellung eines verspannten Transistors und Transistor
DE102006040765B4 (de) Verfahren zur Herstellung eines Feldeffekttransistors mit einer verspannten Kontaktätzstoppschicht mit geringerer Konformität und Feldeffekttransistor
DE102009010847B4 (de) Integration von Halbleiterlegierungen in PMOS- und NMOS-Transistoren unter Anwendung eines gemeinsamen Ätzprozesses für Aussparungen
DE112005000729T5 (de) Halbleiterbauelement mit einer lateral modulierten Gate-Austrittsarbeit und Herstellungsverfahren
DE102009015748A1 (de) Verringern des Silizidwiderstands in SiGe-enthaltenden Drain/Source-Gebieten von Transistoren
DE102008035812A1 (de) Flacher pn-Übergang, der durch in-situ-Dotierung während des selektiven Aufwachsens einer eingebetteten Halbleiterlegierung mittels eines zyklischen Aufwachs-Ätz-Abscheideprozesses gebildet wird
DE102016105520B4 (de) Bildung eines Übergangs mit symmetrischer Erweiterung mit einem Abstandshalter mit niedrigem K und zweifacher epitaxialer Prozess in einer FinFET-Einheit
DE102010002450B4 (de) Transistoren mit Metallgateelektrodenstrukturen mit großem ε und angepassten Kanalhalbleitermaterialien
DE102005046977B4 (de) Verfahren zum Erzeugen einer unterschiedlichen mechanischen Verformung mittels Kontaktätzstoppschichtstapels mit einer dazwischen liegenden Ätzstoppschicht

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
8364 No opposition during term of opposition
R020 Patent grant now final

Effective date: 20110302