DE102009015748A1 - Verringern des Silizidwiderstands in SiGe-enthaltenden Drain/Source-Gebieten von Transistoren - Google Patents

Verringern des Silizidwiderstands in SiGe-enthaltenden Drain/Source-Gebieten von Transistoren Download PDF

Info

Publication number
DE102009015748A1
DE102009015748A1 DE102009015748A DE102009015748A DE102009015748A1 DE 102009015748 A1 DE102009015748 A1 DE 102009015748A1 DE 102009015748 A DE102009015748 A DE 102009015748A DE 102009015748 A DE102009015748 A DE 102009015748A DE 102009015748 A1 DE102009015748 A1 DE 102009015748A1
Authority
DE
Germany
Prior art keywords
silicon
germanium
concentration
semiconductor material
metal silicide
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE102009015748A
Other languages
English (en)
Other versions
DE102009015748B4 (de
Inventor
Stephan Kronholz
Vassilios Austin Papageorgiou
Maciej Wiatr
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Dresden Module One LLC and Co KG
GlobalFoundries US Inc
Original Assignee
GlobalFoundries Dresden Module One LLC and Co KG
GlobalFoundries Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries Dresden Module One LLC and Co KG, GlobalFoundries Inc filed Critical GlobalFoundries Dresden Module One LLC and Co KG
Priority to DE102009015748.4A priority Critical patent/DE102009015748B4/de
Priority to US12/749,619 priority patent/US8124467B2/en
Publication of DE102009015748A1 publication Critical patent/DE102009015748A1/de
Application granted granted Critical
Publication of DE102009015748B4 publication Critical patent/DE102009015748B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02535Group 14 semiconducting materials including tin
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

In aufwändigen p-Kanaltransistoren wird eine hohe Germaniumkonzentration in einer Silizium/Germanium-Legierung angewendet, wobei eine zusätzliche Halbleiterdeckschicht für bessere Prozessbedingungen während der Herstellung des Metallsilizids sorgt. Beispielsweise wird eine Siliziumschicht auf der Silizium/Germanium-Legierung hergestellt, wobei diese möglicherweise eine weitere verformungsinduzierende Atomsorte, die sich von Germanium unterscheidet, enthält, um eine hohe Verformungskomponente bereitzustellen, während gleichzeitig für verbesserte Bedingungen während des Silizidierungsprozesses gesorgt ist.

Description

  • Gebiet der vorliegenden Offenbarung
  • Im Allgemeinen betrifft die vorliegende Offenbarung integrierte Schaltungen und betrifft insbesondere Transistoren mit einem besseren Leistungsverhalten unter Nutzung von Silizium/Germanium (Si/Ge) in den Drain/Source-Gebieten, um die Ladungsträgerbeweglichkeit in dem Kanalgebiet des Transistors zu verbessern.
  • Beschreibung des Stands der Technik
  • Bei der Herstellung integrierter Schaltungen ist es erforderlich, eine große Anzahl an Schaltungselementen aufzubauen, wobei der Feldeffekttransistor eine wichtige Komponente in modernen Logikschaltungen ist. Generell werden eine Vielzahl von Prozesstechnologien aktuell eingesetzt, um Feldeffekttransistoren herzustellen, wobei für komplexe Schaltungen, etwa Mikroprozessoren, Speicherchips und dergleichen, die CMOS-Technologie eine der vielversprechendsten Vorgehensweisen auf Grund der guten Eigenschaften im Hinblick auf die Arbeitsgeschwindigkeit und/oder Leistungsaufnahme und/oder Kosteneffizienz ist. Während der Herstellung komplexer integrierter Schaltungen unter Anwendung der CMOS-Technologie werden Millionen Transistoren, d. h. n-Kanaltransistoren und p-Kanaltransistoren, auf einem Substrat hergestellt, das eine kristalline Halbleiterschicht aufweist. Ein MOS-Transistor enthält, unabhängig davon, ob ein n-Kanaltransistor oder ein p-Kanaltransistor betrachtet wird, sogenannte pn-Übergänge, die durch eine Grenzfläche stark dotierter Drain- und Sourcegebiete mit einem invers oder schwach dotierten Kanalgebiet gebildet sind, das zwischen dem Draingebiet und dem Sourcegebiet angeordnet ist. Die Leitfähigkeit des Kanalgebiets, d. h. der Durchlassstrom des leitenden Kanals, wird durch eine Gateelektrode gesteuert, die über dem Kanalgebiet angeordnet und davon durch eine dünne isolierende Schicht getrennt ist. Die Leitfähigkeit des Kanalgebiets beim Aufbau eines leitenden Kanals auf Grund des Anlegens einer geeigneten Steuerspannung an die Gateelektrode hängt von der Dotierstoffkonzentration, der Beweglichkeit der Ladungsträger und – für eine gegebene Abmessung des Kanalgebiets in der Transistorbreitenrichtung – von dem Abstand zwischen dem Sourcegebiet und dem Draingebiet ab, der auch als Kanallänge bezeichnet wird. Somit bestimmt in Verbindung mit der Fähigkeit, rasch einen leitenden Kanal unter der isolierenden Schicht beim Anliegen der Steuerspannung an der Gateelektrode aufzubauen, die Gesamtleitfähigkeit des Kanalgebiets wesentlich das Leistungsverhalten der MOS-Transistoren. Damit ist die Verringerung der Kanalllänge – und damit verknüpft die Verringerung des Kanalwiderstands – ein wichtiges Entwurfskriterium, um eine Zunahme der Arbeitsgeschwindigkeit integrierter Schaltungen zu erreichen.
  • Die zunehmende Verringerung der Transistorabmessungen zieht jedoch eine Reihe damit verknüpfter Probleme nach sich, die es zu lösen gilt, um nicht in unerwünschter Weise die Vorteile aufzuheben, die durch das stetige Verringern der Kanallänge von MOS-Transistoren erreicht werden. Beispielsweise ist bei einer geringeren Kanallänge die Steuerung des Kanalgebiets zunehmend schwierig, was auch als Kurzkanaleffekt bezeichnet wird. Daher wurden diverse Entwurfsmaßnahmen entwickelt, etwa aufwendige Dotierstoffprofile, eine erhöhte kapazitive Kopplung der Gateelektrode an das Kanalgebiet und dergleichen, wovon einige jedoch die Ladungsträgerbeweglichkeit in dem Kanalgebiet negativ beeinflussen oder in anderer Weise die Transistoren beeinträchtigen. Im Hinblick auf diese Situation und da die ständige Verringerung der Größe der kritischen Abmessungen, d. h. der Gatelänge der Transistoren, die Anpassung bestehender komplexer Prozesse und möglicherweise die Neuentwicklung sehr komplexer Prozesstechniken notwendig macht, wurde auch vorgeschlagen, die Kanalleitfähigkeit der Transistorelemente zu erhöhen, indem die Ladungsträgerbeweglichkeit in dem Kanalgebiet bei einer vorgegebenen Kanallänge verbessert wird, wodurch eine Leistungssteigerung erreicht wird, die vergleichbar ist mit dem Voranschreiten zu einem zukünftigen Technologiestand, wobei viele der obigen Prozessanpassungen, die mit der Bauteilskalierung verknüpft sind, vermieden oder zumindest zeitlich hinausgeschoben werden.
  • Ein effizienter Mechanismus zum Erhöhen der Ladungsträgerbeweglichkeit ist die Modifizierung der Gitterstruktur in dem Kanalgebiet, indem beispielsweise eine Zugverspannung oder eine kompressive Verspannung erzeugt wird, um damit eine entsprechende Verformung in dem Kanalgebiet hervorzurufen, die zu einer modifizierten Beweglichkeit für Elektronen bzw. Löcher führt. Z. B. erhöht das Erzeugen einer uniaxialen Zugverformung in dem Kanalgebiet entlang des Kanals die Beweglichkeit von Elektronen, was sich wiederum direkt in einer entsprechenden Zunahme der Leitfähigkeit von n-Kanaltransistoren ausdrückt. Andererseits wird durch kompressive Verformung in dem Kanalgebiet die Beweglichkeit von Löchern erhöht, wodurch die Möglichkeit geschaffen wird, das Leistungsverhalten von p-Transistoren zu verbessern. Die Einführung einer Verspannungs- oder Verformungstechnologie in den Herstellungsablauf für integrierte Schaltungen ist ein sehr vielversprechender Ansatz, da beispielsweise verformtes Silizium als eine „neue” Art an Halbleitermaterial betrachtet werden kann, das die Herstellung schneller leistungsfähiger Halbleiterbauelemente ermöglicht, ohne dass neue teuere Halbleitermaterialien und Fertigungsverfahren, die auf diese neuen Materialien zugeschnitten sind, erforderlich sind.
  • Ein effizienter Mechanismus zum Erhöhen der Löcherbeweglichkeit von PMOS-Transistoren kann eingerichtet werden, indem eine verformte Silizium/Germanium-Legierung in den Drain- und Sourcegebieten der p-Kanaltransistoren gebildet wird, wobei die kompressiv verformten Drain- und Sourcegebiete eine uniaxiale Verformung in dem benachbarten Siliziumkanalgebiet hervorrufen. Dazu werden die Drain- und Sourcegebiete der PMOS-Transistoren selektiv abgesenkt, während die NMOS-Transistoren maskiert sind, und nachfolgend wird die Silizium/Germanium-Schicht selektiv in dem PMOS-Transistor durch epitaktisches Aufwachsen hergestellt. Obwohl diese Technik deutliche Vorteile im Hinblick auf eine Leistungssteigerung des PMOS-Transistors und somit des gesamten CMOS-Bauelements bietet, wenn eine geeignete Gestaltung verwendet wird, die den Leistungszuwachs des PMOS-Transistors entsprechend ausgleichen, wird in aufwendigen Anwendungen eine Leistungssteigerung erreicht, die kleiner als erwartet ist, wenn höhere Germaniumkonzentrationen verwendet werden, um den Verformungspegel in dem Kanalgebiet weiter zu erhöhen und damit auch die Löcherbeweglichkeit zu verbessern.
  • Im Allgemeinen führt eine höhere Germaniumkonzentration der Silizium/Germanium-Legierung zu einer ausgeprägteren Gitterfehlanpassung zwischen Fehlanpassung zwischen der verformungsinduzierenden Legierung und dem Siliziumschablonenmaterial, was als vorteilhaft betrachtet wird für das weitere Erhöhen der Löcherbeweglichkeit in den jeweiligen Drain- und/oder Sourcegebieten von p-Kanaltransistoren. Es zeigt sich jedoch, dass eine größere Germaniumkonzentration zu einer ausgeprägteren Wechselwirkung des Silizium/Germanium-Legierung mit Materialien und Prozessen führt, die während der weiteren Bearbeitung des Halbleiterbauelements anzuwenden sind. Beispielsweise ist die chemische Reaktion und damit die Modifizierung der Silizium/Germanium-Legierung im Hinblick auf eine Vielzahl von Ätzprozessen, etwa Reinigungsprozesse, Oxidationsprozesse, Ätzprozesse und dergleichen ausgeprägter im Vergleich zu reinem Siliziummaterial, wodurch ein zusätzlicher Materialverlust auftreten kann, der schließlich zu einem weniger ausgeprägten Zuwachs an Leistungsverhalten führt. Des weiteren kann der größere Grad an Materialverlust der Silizium/Germanium-Legierung im Vergleich zu den Drain- und Sourcegebieten von n-Kanasltransistoren zu einem Verlust an Dotiermitteln führen, wodurch der Reihenwiderstand der jeweiligen Drain- und Sourcebereiche im p-Kanaltransistor erhöht wird. Aus diesem Grunde wird in einigen konventionellen Lösungen ein hoher Grad an Überfüllung während des selektiven epitaktischen Aufwachsprozesses angewendet, um den größeren Materialverlust während der weiteren Bearbeitung Rechnung zu tragen, was jedoch zu einer negativen Auswirkung führt, etwa einer ausgeprägten Oberflächentopographie, einer größeren Transistorvariabilität, einem geringeren Durchsatz des epitaktischen Aufwachsprozesses, und dergleichen.
  • Beim Erhöhen der Germaniumkonzentration, was als geeignet erachtet wird, um die Löcherbeweglichkeit zu vergrößern, wie dies zuvor erläutert ist, wird eine gewünschte Verringerung des Gesamtwiderstands des Drain/Source-Weges in p-Kanaltransistoren jedoch in weniger ausgeprägter Weise beobachtet oder dies wird durch Prozessunregelmäßigkeiten während der Herstellung eines Metallsilizids in den Drain- und Sourcegebieten sogar überkompensiert. Es ist bekannt, dass Metallsilizid, etwa Kobaltsilizid, Nickelsilizid, Nickel/Platin-Silizid, und dergleichen, einen deutlich geringeren Widerstand im Vergleich zu selbst stark dotierten Siliziummaterial aufweisen. Aus diesem Grunde kann der gesamte Reihenwiderstand in modernen p-Kanaltransistoren deutlich reduziert werden, indem die Metallsilizidgebiete vorgesehen werden, die ebenfalls Kontaktbereiche für Kontaktelemente repräsentieren, die in einer Kontaktstruktur hergestellt werden, die die Transistorelemente schließt und passiviert. Während der Metallsilizidherstellung wird nach dem Reinigen und damit nach dem Vorbereiten freiliegender Halbleiteroberflächen für die nachfolgende Fertigungssequenz eine Schicht aus hoch schmelzendem Metall abgeschieden und nachfolgend ausgeheizt, um eine chemische Reaktion zwischen dem hoch schmelzenden Metall und der Siliziumsorte in den Drain- und Source-Gebieten in Gang zu setzen. Danach wird nicht reagiertes Metall auf der Grundlage gut etablierter selektiver nasschemischer Ätzrezepte entfernt. Daraufhin werden bei Bedarf zusätzliche Behandlungen, etwa Wärmebehandlungen ausgeführt, um eine stabile Form des Metallsilizids zu schaffen, die den gewünschten geringen Widerstand besitzt. Während der nachfolgenden Bearbeitung wird ein dielektrisches Zwischenschichtmaterial abgeschieden, etwa in Form von Siliziumnitrid und Siliziumdioxid, das dann strukturiert wird, um Kontaktöffnungen zu erhalten, wobei der zu gehörige Ätzprozess schließlich auf und in den Metallsilizidgebieten anhält. Somit repräsentieren die Eigenschaften des Metallsilizids einen wichtigen Aspekt im Hinblick auf das gesamte Leistungsverhalten der Transistorelemente, da das Metallsilizid wesentlich den gesamten Reihenwiderstand bestimmt und auch als ein Ätzstoppmaterial während des komplexen Strukturierungsprozesses zur Herstellung der Kontaktöffnungen dient.
  • Es wurde beobachtet, dass eine höhere Germaniumkonzentration in den Drain- und Sourcegebieten von p-Kanaltransistoren einen wesentlichen Einfluss auf die Eigenschaften des Metallsilizidmaterial ausübt, was zu einer geringeren Stabilität führen kann, die wiederum zu einer geringeren Leitfähigkeit und zu einem modifizierten Ätzverhalten führt. Folglich ist eine Zunahme des Leistungsverhaltens modernster p-Kanaltransistoren auf der Grundlage einer Erhöhung der Germaniumkonzentration schwer erreichbar, selbst wenn eine ausgeprägte Überfüllung während des selektiven epitaktischen Aufwachsprozesses angewendet wird, da beeinträchtigte Eigenschaften der resultierernden Metallsilizidgebiete auftreten, wie dies zuvor erläutert ist.
  • Angesichts der zuvor beschriebenen Situation betrifft die vorliegende Offenbarung Halbleiterbauelemente und Fertigungstechniken, in denen eine größere Germaniumkonzentration angewendet wird, während eines oder mehrere der oben erkannten Probleme vermieden oder zumindest in der Auswirkung reduziert werden.
  • Überblick über die Offenbarung
  • Im Allgemeinen betrifft der hierin offenbarte Gegenstand Halbleiterbauelemente und Techniken, in denen eine verformte Silizium/Germanium-Legierung mit einer moderat hohen Germaniumkonzentration angewendet wird, ohne dass eine wesentliche Überfüllung erforderlich ist, während auch Prozessungleichmäßigkeiten während der Herstellung eines Silizidmaterials verringert werden. Zu diesem Zweck wird ein Halbleitermaterial mit einer deutlich geringeren Germaniumkonzentration auf der Silizium/Germanium-Legierung in einer geeigneten Fertigungsphase vorgesehen, um ein siliziumenthaltendes Halbleitermaterial für die Herstellung eines Metallsilizids bereitzustellen, wodurch die Wahrscheinlichkeit des Erzeugens instabiler Metallsilizidmaterialien deutlich verringert wird, wie dies häufig in konventionellen Strategien der Fall ist, in denen eine hohe Germaniumkonzentration erforderlich ist. Das siliziumenthaltende Halbleitermaterial in Form eines im Wesentlichen „reinen” Siliziummaterials bereitgestellt werden, d. h. in Form eines Siliziummaterials mit einer Dotierstoffsorte gemäß einer entsprechenden Dotierstoffkonzentration, während der Einbau anderer Atomsorten, etwa von Germanium, im Wesentlichen vermieden wird, um damit ähnliche Prozessbedingungen in Halbleiterbereichen, die darin ausgebildet eine Silizium/Germanium-Legierung aufweisen, und in andere Halbleiterbereichen zu schaffen, die kein verformungsinduzierendes Silizium/Germanium-Legierungsmaterial besitzen. In anderen anschaulichen Ausführungsformen, wie sie hierin offenbart sind, wird zumindest eine deutlich geringere Germanium-Konzentration in dem siliziumenthaltenden Halbleitermaterial vorgesehen, beispielsweise mit einem Anteil von ungefähr 5 Atomprozent Germanium oder weniger, wodurch ebenfalls zu deutlich besseren Gesamtprozessbedingungen während der Herstellung eines Metallsilizids beigetragen wird. In noch anderen hierin offenbarten Aspekten wird ein gewünschter Grad an kompressiver Verformung auf der Grundlage des siliziumenthaltenden Halbleitermaterials dennoch erreicht, indem eine Atomsorte mit einem größeren kovalenten Radius im Vergleich zu Germanium eingebaut wird, so dass eine moderat hohe kompressive Verformung hervorgerufen wird, ohne dass eine Germaniumkomponente erforderlich ist. Auf Grund des größeren kovalenten Radius der speziellen Atomsorte ist ferner auch eine moderat geringe Konzentration ausreichend, wodurch ebenfalls Unregelmäßigkeiten während der Herstellung eines Metallsilizids in den Drain- und Sourcegebieten vermieden werden. In einigen anschaulichen Ausführungsformen wird das siliziumenthaltende Halbleitermaterial in einer späten Fertigungsphase bereitgestellt, beispielsweise unmittelbar vor dem Abscheiden eines hoch schmelzenden Metalls, wodurch zu einem höheren Grad an Kompatibilität zu konventionellen Strategien, die auf der Grundlage einer geringeren Germaniumkonzentration ausgeführt werden, beigetragen wird, während gleichzeitig bessere Eigenschaften des Metallsilizids auf Grund des Vorhandenseins des siliziumenthaltenden Halbleitermaterials erreicht werden.
  • Ein anschauliches hierin offenbartes Verfahren umfasst das Bilden einer Aussparung in einem Halbleitergebiet lateral benachbart zu einer Gateelektrodenstruktur eines Transistors. Das Verfahren umfasst ferner das Bilden einer verformungsinduzierenden Silizium/Germanium-Legierung in der Aussparung, wobei die Silizium/Germanium-Legierung eine erste Siliziumkonzentration besitzt. Das Verfahren umfasst ferner das Bilden eines siliziumenthaltenden Halbleitermaterials auf der verformungsinduzierenden Silizium/Germanium-Legierung, wobei das siliziumenthaltende Halbleitermaterial eine zweite Siliziumkonzentration besitzt, die größer ist als die erste Siliziumkonzentration. Des weite ren umfasst das Verfahren das Bilden von Drain- und Sourcegebieten zumindest teilweise in der Silizium/Germanium-Legierung und dem siliziumenthaltenden Halbleitermaterial und Bilden eines Metallsilizids in dem siliziumenthaltenden Halbleitermaterial.
  • Ein noch weiteres anschauliches offenbartes Verfahren umfasst das Bilden eines siliziumenthaltenden Halbleitermaterials auf einer Silizium/Germanium-Legierung, die in einem aktiven Gebiet des p-Transistors gebildet ist. Das siliziumenthaltende Halbleitergebiet besitzt eine Germaniumkonzentration, die kleiner ist als die Germaniumkonzentration der Silizium/Germanium-Legierung. Des weiteren umfasst das Verfahren das Bilden eines Metallsilizids lokal beschränkt in dem siliziumenthaltenden Halbleitermaterial.
  • Ein anschauliches Halbleiterbauelement, das hierin offenbart ist, umfasst ein Gateelektrodenstruktur, die über einem siliziumenthaltenden Halbleitergebiet gebildet ist. Des weiteren umfasst das Halbleiterbauelement Drain- und Sourcegebiete, die in dem siliziumenthaltenden Halbleitergebiet gebildet sind. Ferner ist eine Silizium/Germanium-Legierung zumindest teilweise in dem Draingebiet und/oder dem Sourcegebiet vorgesehen, wobei die Silizium/Germanium-Legierung eine erste Germaniumkonzentration aufweist. Ferner umfasst das Halbleiterbauelement Metallsilizid, das zumindest teilweise in den Drain- und Sourcegebieten gebildet ist und das eine zweite Germaniumkonzentration aufweist, die kleiner ist als die erste Germaniumkonzentration.
  • Kurze Beschreibung der Zeichnungen
  • Diverse Ausführungsformen der vorliegenden Offenbarung sind in den angefügten Patentansprüchen definiert und gehen deutlicher aus der folgenden detaillierten Beschreibung hervor, wenn diese mit Bezug zu den begleitenden Zeichnungen studiert wird, in denen:
  • 1a bis 1c schematisch Querschnittsansichten eines Halbleiterbauelements mit einem Transistorelement während diverser Fertigungsphasen zeigen, wenn eine Silizium/Germanium-Legierung mit einer moderat hohen Germaniumkonzentration zur Verbesserung des gesamten Leistungsverhaltens zumindest einer Art eines Transistors gemäß anschaulicher Ausführungsformen hergestellt wird;
  • 1d schematisch das Halbleiterbauelement in einer weiter fortgeschrittenen Fertigungsphase zeigt, in der ein „siliziumreiches” Halbleitermaterial oder ein im Wesentliches reines Siliziummaterial auf der Silizium/Germanium-Legierung hergestellt wird, um als eine Deckschicht für die weitere Bearbeitung und insbesondere für die Herstellung von Metallsilizidgebieten in einer späteren Fertigungsphase gemäß anschaulicher Ausführungsformen zu dienen;
  • 1e bis 1g schematisch Querschnittsansichten des Halbleiterbauelements gemäß noch weiterer anschaulicher Ausführungsformen zeigen, in denen eine Atomsorte der gleichen vertikal wie Silizium und mit einem größeren kovalenten Radius die siliziumenthaltende Deckschicht eingebaut wird, die auf der Silizium/Germanium-Legierung gebildet ist, damit bessere Eigenschaften während der weiteren Bearbeitung zu erreichen und gleichzeitig eine moderat hohe kompressive Verformung zu erzeugen;
  • 1h schematisch das Halbleiterbauelement in einer weiter fortgeschrittenen Fertigungsphase zeigt, wenn Metallsilizidgebiete in dem siliziumenthaltenden Halbleitermaterial gemäß anschaulicher Ausführungsformen gebildet werden; und
  • 1i und 1j schematisch Querschnittsansichten des Halbleiterbauelements gemäß noch weiterer anschaulicher Ausführungsformen zeigen, in denen ein siliziumenthaltendes Halbleitermaterial auf einer Silizium/Germanium-Legierung in einer späten Fertigungsphase gebildet wird, d. h. nach dem Herstellen der Drain- und Sourcegebiete, um das Verhalten in einer entsprechenden Metallsilizidherstellungssequenz zu verbessern.
  • Detaillierte Beschreibung
  • Obwohl der hierin offenbarte Gegenstand mit Bezug zu den Ausführungsformen beschrieben ist, wie sie in der folgenden detaillierten Beschreibung sowie in den Zeichnungen dargestellt sind, sollte beachtet werden, dass die folgende detaillierte Beschreibung sowie die Zeichnungen nicht beabsichtigen, die vorliegende Offenbarung auf die speziellen anschaulichen offenbarten Ausführungsformen einzuschränken, sondern die beschriebenen anschaulichen Ausführungsformen stellen lediglich beispielhaft die diversen Aspekte der vorliegenden Offenbarung dar, deren Schutzbereich durch die angefügten Patentansprüche definiert ist.
  • Im Allgemeinen stellt der hierin offenbarte Gegenstand Halbleiterbauelemente und effiziente Fertigungstechniken bereit, die eine deutliche Verringerung nachteiliger Effekte auf das Leistungsverhalten der p-Transistoren ermöglichen, wenn Silizium/Germanium-Legierungen in Drain- und/oder Source-Bereichen mit einer moderat hohen Germaniumkonzentration verwendet werden. Wie zuvor erläutert ist, wird bei einer Germaniumkonzentration von ungefähr 26 Atomprozent und höher, was im Hinblick auf die Erhöhung der Löcherbeweglichkeit in dem Kanalgebiet von p-Kanaltransistoren wünschenswert ist, in konventionellen Techniken eine deutlich geringere Leistungssteigerung oder sogar eine geringere Leistung beobachtet. Um zumindest einige dieser negativen Auswirkungen, die durch die hohe Germaniumkonzentration hervorgerufen, zu verringern, stellt die vorliegende Offenbarung eine Fertigungsstrategie bereit, in der zumindest während der Herstellung von Metallsilizidmaterialien besser geschaffen werden, um zumindest die Instabilitäten und Unregelmäßigkeiten zu verringern, die mit einer hohen Germaniumkonzentration in einem Metallsilizidmaterial verknüpft sind. Zu diesem Zweck wird ein siliziumenthaltendes Halbleitermaterial auf der Silizium/Germanium-Legierung gebildet, wobei eine deutlich geringere Germaniumkonzentration vorgesehen wird oder Germanium nicht vorhanden ist, um damit bessere Bedingungen für die Herstellung eines Metallsilizids in den siliziumenthaltenden Halbleitermaterial zu schaffen. In einigen anschaulichen Ausführungsformen wird dieses „Deckmaterial” in-situ bezüglich der Silizium/Germanium-Legierung, die die moderat hohe Germaniumkonzentration aufweist, hergestellt, so dass ein gewünschter hoher Grad an Gitterfehlanpassung zwischen der Silizium/Germanium-Legierung und dem siliziumbasierten Schablonenmaterial in dem aktiven Halbleitergebiet erreicht wird, wobei das nachfolgend abgeschiedene Deckmaterial eine deutlich geringere Dicke im Vergleich zu der Halbleiterlegierung besitzt, wodurch nicht wesentlich zu einer insgesamt geringeren Verformungskomponente beigetragen wird. Folglich können gut etablierte und effiziente lokal selektive epitaktische Aufwachsverfahren auf Grundlage einer erhöhten Germaniumkonzentration angewendet werden, beispielsweise in einem Bereich von ungefähr 25 Atomprozent Germanium und mehr, wobei die hohe Germaniumkonzentration zuverlässig von der Deckschicht eingeschlossen wird, die somit bessere Bedingungen während der weiteren Bearbeitung und insbesondere während der Herstellung eines Metallsilizids bietet.
  • In anderen hierin offenbarten anschaulichen Ausführungsformen werden gut etablierte Abscheiderezepte zur Herstellung der Silizium/Germanium-Legierung mit einer hohen Germa niumkonzentration angewendet, wobei danach ein Siliziumbasismaterial gebildet wird, beispielsweise während des gleichen epitaktischen Aufwachsprozesses, dessen verformungsinduzierende Eigenschaften dann angepasst werden, dass ein gewisser Grad an kompressiver Verformung erreich wird. Zu diesem Zweck wird eine Atomsorte in die Siliziumbasisschicht eingebaut, die einen deutlich größeren kovalenten Radiums im Vergleich zu Germanium besitzt, wobei die Wertigkeit der betrachteten Atomsorte im Wesentlichen identisch zu Silizium oder Germanium im Hinblick auf die kovalente Bindungsstruktur in einer diamantartigen Metallstruktur ist. In einer anschaulichen enthält die Atomsorte Zinn, das einen kovalenten Radius von 1,40 Angstrom aufweist, der größer ist als der kovalente Radius von Silizium mit 1,17 Angstrom und größer ist als der kovalente Radius von Germanium von 1,22 Angstrom. Folglich wird eine erhöhte Gitterfehlanpassung durch den Einbau der Atomsorte erreicht, wobei eine deutlich geringere Gesamtkonzentration dieser Atomsorte erforderlich ist, um eine gewünschte hohe kompressive Verformungskomponente zu erzeugen. Somit kann die Anwesenheit einer Germaniumkomponente deutlich verringert werden oder kann in dem Deckmaterial im Wesentlichen unterdrückt werden, während der Einbau der Atomsorte mit dem größeren kovalenten Radius für ein gewünschte Maß an kompressiver Verformung sorgt, während gleichzeitig bessere Bedingungen während der weiteren Bearbeitung des Bauelements, beispielsweise bei der Bildung eines Metallsilizids, geschaffen werden. D. h., während des entsprechenden Metallsilidherstellungsprozesses wird eine deutlich geringere Menge an nicht-Siliziumsorten von dem hoch schmelzenden Metall während der chemischen Reaktion angetroffen, woraus sich bessere Materialeigenschaften im Vergleich zu Metallsilizid ergeben, das darin eingebaut eine moderat hohe Konzentration an Germanium aufweist. In einigen anschaulichen Ausführungsformen wird die Atomsorte während eines epitaktischen Aufwachsprozesses eingebaut, während in Ionenimplantationsprozess einer beliebigen geeigneten Fertigungsphase ausgeführt wird.
  • In noch anderen anschaulichen hierin offenbarten Ausführungsformen wird das siliziumenthaltende Halbleitermaterial in einer späten Fertigungsphase bereitgestellt, d. h. unmittelbar vor der Sequenz für die Herstellung des Metallsilizids, wodurch für ein hohes Maß an Kompatibilität zu konventionellen Prozessstrategien gesorgt ist und wodurch auch eine moderat hohe Verformungskomponente in der Nähe des Kanalgebiets geschaffen wird, das Deckmaterial mit lateralem Abstand zu dem Kanalgebiet gebildet wird, wodurch eine entsprechende Abstandshalterstruktur bestimmt ist. Ferner können gut etablierte und gut steuerbare Einzelschrittepitaxieaufwachstechniken zur Herstellung der Silizium/Germanium- Legierung mit der hohen Germaniumkonzentration angewendet werden, ohne dass eine Anpassung von Prozessparametern während des Prozesses erforderlich ist.
  • Mit Bezug zu den begleitenden Zeichnungen werden nunmehr weitere anschauliche Ausführungsformen detaillierter beschrieben.
  • 1a zeigt schematisch eine Querschnittsansicht eines Hableiterbauelements 100 mit einem Substrat 101, über welchem eine Halbleiterschicht 102 gebildet ist. Die Halbleiterschicht 102 repräsentiert ein siliziumenthaltendes Halbleitermaterial, das einen hohen Anteil an Silizium in einem kristallinen Zustand aufweist, dessen elektronische Eigenschaften zumindest lokal auf der Grundlage eines verformungsinduzierenden Mechanismus verbessert werden. Das Substrat 101 und die Halbleiterschicht 102 können eine SOI-(Silizium-auf-Isolator)Konfiguration repräsentieren, wenn eine vergrabene isolierende Schicht (nicht gezeigt) zwischen dem Substrat 101 und der Halbleiterschicht 102 angeordnet ist. In anderen Fällen ist die Halbleiterschicht 102 auf einem kristallinen Halbleitersubstrat 101 gebildet, wodurch eine „Vollsubstratkonfiguration” geschaffen wird. Es sollte beachtet werden, dass eine SOI-Konfiguration und eine Vollsubstratkonfiguration gleichzeitig im Bauelement 100 in unterschiedlichen Bauteilbereichen verwendet werden können, wenn dies vorteilhaft ist. Des weiteren ist eine Isolationsstruktur 103 in der Halbleiterschicht 102 bereitgestellt und definiert darin entsprechende aktive Gebiete 102a, 102b, die als Halbleitergebiete zu verstehen sind, die darin ausgebildet ein geeignetes Dotierstoffprofil aufweisen oder ein solches erhalten, wie es zur Herstellung von Transistorelementen erforderlich ist. In der in 1a gezeigten Fertigungsphase entsprechen die aktiven Gebiete 102a, 102b dem aktiven Gebiet des ersten Transistors 150a bzw. eines zweiten Transistors 150b, die einem n-Kanaltransistor bzw. einem p-Kanaltransistor repräsentieren. Die Transistoren 150a, 150b umfassen eine Gateelektrodenstruktur 151, die ein Elektrodenmaterial 151b, etwa Silizium, Silizium/Germanium, metallenthaltende Materialien und dergleichen, aufweisen, woran sich eine Deckschicht 151c anschließt, etwa ein Siliziumnitridmaterial. Des weiteren umfasst die Gateelektrodenstruktur 151 eine Gateisolationsschicht 151a, die das Elektrodenmaterial 151b von einem Kanalgebiet 152 der Transistoren 150a, 150b trennt. Im Transistor 150a ist die Gateelektrodenstruktur 151 von einer Abstandshalterschicht 104 umschlossen, die auch das aktive Gebiet 1021a bedeckt. Andererseits ist das Elektrodenmaterial 151b der Gateelektrodenstruktur 151 des Transistors 150b in der Deckschicht 151 und einem Seitenwandabstandshalter 104b eingeschlossen, der aus Siliziumnitrid und dergleichen aufgebaut ist.
  • Eine Breite 104w des Abstandshalters 104b definiert im Wesentlichen einen lateralen Abstand einer Aussparung, die in dem aktiven Gebiet 102b zu bilden ist.
  • Das in 1a gezeigte Halbleiterbauelement 100 kann auf der Grundlage der folgenden Prozesse hergestellt werden. Nach der Ausbildung der Isolationsstruktur 103, wobei aufwendige Lithographie-, Abscheide-, Einebnungstechniken und dergleichen beteiligt sind, wird die grundlegende Dotierung der aktiven Gebiete 102a, 102b erzeugt, beispielsweise durch Ionenimplantation. Als nächstes werden die Gateelektrodenstrukturen 151 hergestellt, indem ein geeigneter Schichtstapel gebildet und dieser auf der Grundlage aufwendiger Lithographie- und Ätztechniken strukturiert wird. Daraufhin wird die Abstandshalterschicht 104 abgeschieden und eine Ätzmaske 105, etwa eine Lackmaske wird gebildet, um die Abstandshalterschicht 104 über dem Transistor 150a abzudecken, während die Schicht 104 über dem Transistor 150b frei liegt. Daraufhin wird ein anisotroper Ätzprozess ausgeführt, um den freiliegenden Bereich der Abstandshalterschicht 104 zu ätzen, wodurch das Abstandshalterelement 104b gebildet wird.
  • 1b zeigt schematisch das Halbleiterbauelement 100 in einer weiter fortgeschrittenen Fertigungsphase. Wie gezeigt, wird ein Ätzprozess 106 ausgeführt, etwa auf der Grundlage der Ätzmaske 105, während in anderen Fällen die Maske 105 entfernt wird, wenn dies für die weitere Bearbeitung als geeignet erachtet wird. In anderen Fällen repräsentiert der Ätzprozess 106 eine Ätzsequenz zur Herstellung des Abstandshalterelements 104b und zum nachfolgenden Ätzen in den freiliegenden Bereich des aktiven Gebiets 102b, um Aussparungen 106b zu bilden. Es sollte beachtet werden, dass typischerweise die Aussparung auf beiden Seiten der Gateelektrodenstruktur 151 hergestellt wird, während in anderen Fällen eine dieser Seiten maskiert wird, wenn eine asymmetrische Transistorkonfiguration in Bezug auf eine Silizium/Germanium-Legierung anzuwenden ist. Es sollte ferner beachtet werden, dass die Aussparungen 106b auf der Grundlage eines im Wesentlichen anisotropen Ätzverhaltens hergestellt werden, das auf Basis eines plasmaunterstützten Ätzprozesses erreicht wird, während in anderen Fällen die Größe der Aussparungen 106b durch nasschemische Ätzchemien eingestellt wird, die ein kristallographisch anisitropes Ätzverhalten aufweisen, oder die Größe wird auf der Grundlage einer Kombination eines plasmaunterstützten Prozesses und nasschemischer Ätzchemien geschaffen.
  • 1c zeigt schematisch das Halbleiterbauelement 100 in einer weiter fortgeschrittenen Fertigungsphase. Wie gezeigt, unterliegt das Bauelement 100 der Einwirkung einer Abscheideumgebung 107, die auf Basis eines silizium- und germaniumenthaltenden Vorstufengases und geeigneter Prozessparameter eingerichtet wird, um eine selektive Abscheidung einer Silizium/Germanium-Legierung 107b in den Aussparungen 106b zu erreichen, während eine Materialabscheidung auf dielektrischen Oberflächenbereichen, etwa der Abstandshalterschicht 104, der Deckschicht 151c, dem Abstandshalter 104b und der Isolationsstruktur 103 zu vermeiden. Somit dient der freiliegende Bereich des aktiven Gebiets 102b als ein Schablonenmaterial, so dass die Silizium/Germanium-Legierung 107b im Wesentlichen die Kristallstruktur und den Gitterabstand des Schablonenmaterials nimmt, wodurch das Material 107b in einem verformten Zustand erzeugt wird, wobei der Grad an Fehlanpassung und damit der Grad an Verformung im Wesentlichen von der Germaniumkonzentration abhängt, wie dies zuvor erläutert ist. Während des selektiven epitaktischen Aufwachsprozesses 107 wird das Material 107b bis zu einer gewünschten Höhe innerhalb der Aussparungen 106b aufgewachsen, so dass ein weiteres Halbleitermaterial auf der Silizium/Germanium-Legierung 107b gebildet werden kann, so dass eine gewünschte Gesamthöhe oder eine gesamt Füllung der Aussparungen 106b erreicht wird. Beispielsweise wird eine verbleibende Höhe der Aussparungen 106b von ungefähr 1 bis mehrere Nanometer während des Abscheideprozesses 107 beibehalten. Folglich wird eine ausgeprägte Überfüllung der Aussparungen 106b vermieden, wodurch zu einem höheren Gesamtdurchsatz in dem selektiven epitaktischen Aufwachsprozess 107 beigetragen wird. Daher kann die Silizium/Germanium-Legierung 107b auf der Grundlage gut etablierter Abscheiderezepte hergestellt werden, wobei eine Germaniumkonzentration auf ungefähr 25 Atomprozent Germanium oder mehr eingestellt wird.
  • 1d zeigt schematisch das Halbleiterbauelement 100 während eines weiteren epitaktischen Abscheideprozesses 108, während welchem siliziumenthaltendes Halbleitermaterial 108b auf der Silizium/Germanium-Legierung 107b gebildet wird. Das Halbleitermaterial 108b besitzt eine geringere Germaniumkonzentration im Vergleich zu der Legierung 107b, wodurch bessere Prozessbedingungen während der weiteren Bearbeitung des Bauelements 100 geschaffen werden, beispielsweise im Hinblick auf einen Materialverlust und dergleichen, und insbesondere im Hinblick auf die Herstellung eines Metallsilizids. Beispielsweise wird das Material 108b in Form einer Silizium/Germanium-Legierung mit einer maximalen Germaniumkonzentration von ungefähr 5 Atomprozent oder weniger, etwa 1 Atomprozent oder weniger bereitgestellt. In noch anderen anschaulichen Ausführungsformen wird die Materialschicht 108b in Form eines im Wesentlichen „reinen” Siliziummaterials bereitgestellt, d. h. in einer Germaniumkonzentration von ungefähr 0 Atomprozent, wobei jedoch bei Bedarf zusätzliche Dotierstoffsorten in das Material 108b eingebaut sein können. Der selektive epitaktische Aufwachsprozess 108 wird in-situ zu dem Prozess 107 (siehe 1c) ggf. ausgeführt, d. h., in einigen anschaulichen Ausführungsformen werden die Prozesse 107, 108 in der gleichen Prozesskammer ausgeführt, in dem die Zufuhr eines germaniumenthaltenden Vorstufenmaterials unterbrochen wird oder dessen Durchflussrate zumindest verringert wird. Es sollte beachtet werden, dass in diesem Falle ein mehr oder minder ausgeprägtes Übergangsgebiet oder eine Grenzfläche zwischen den Materialien 107b und 108b geschaffen wird, in welchem eine abnehmende Germaniumkonzentration bei zunehmender Höhe beobachtet wird. In anderen anschaulichen Ausführungsformen werden die Prozesse 107, 108 als unterschiedliche Abscheideschritte ausgeführt, wenn dies als geeignet erachtet wird. In einigen anschaulichen Ausführungsformen wird eine Dicke 108t der Deckschicht 108b so eingestellt, dass ein in einer späteren Fertigungsphase zu bildendes Metallsilizid in der Schicht 108b enthalten ist, d. h. ein Materialverbrauch während der weiteren Verarbeitung des Bauelements 100 und während eines entsprechenden Metallsilizidprozesses ist gleich oder kleiner als die Dicke 108t. In diesem Falle kann dann das in einer späteren Phase herzustellende Metallsilizid auf die Schicht 108b mit der deutlich geringeren Germaniumkonzentration beschränkt werden. Zu diesem Zweck wird der gesamte Materialverbrauch auch auf der Grundlage der zuvor bearbeiteten Substrate ermittelt und es wird eine geeignete Solldicke während des Abscheideprozesses 108 aus diesen vorhergehenden Messergebnissen erhalten.
  • Folglich ist nach der Abscheidung der Deckschicht 108b eine kompressive Verformungskomponente 107c in dem Kanalgebiet 152 im Wesentlichen durch das Material 107c bestimmt, d. h. durch die entsprechende Germaniumkonzentration und den lateralen Abstand zu dem Kanalgebiet 152.
  • 1e zeigt schematisch das Halbleiterbauelement 100 gemäß weiterer anschaulicher Ausführungsformen, in denen die Deckschicht 108b mit einer deutlich geringeren Germaniumkonzentration und ebenfalls mit einer geringeren Konzentration einer nicht-Siliziumsorte bereitgestellt wird, wobei gleichzeitig für eine gewisse kompressive Verformungskomponente 108c gesorgt wird. Zu diesem Zweck wird ein selektiver epitaktischer Abscheideprozess 108a ausgeführt, beispielsweise als ein in-situ-Prozess, wie dies zuvor erläutert ist, in welchem eine geeignete Atomsorte mit einem größeren kovalenten Radius eingebaut wird. In einer anschaulichen Ausführungsform wird die Atomsorte in Form von Zinn (Sn) vorgesehen, das einen größeren kovalenten Radius im Vergleich zu Germanium besitzt. Während des epitaktischen Aufwachsprozesses 108a wird beispielsweise Zinnhydrid (SnH4) in die Abscheideumgebung in Verbindung mit einem siliziumenthaltenden Vorstufenmaterial eingeführt, um einen gewünschten Anteil an Zinn einzubauen. Z. B. wird nach der Herstellung der Silizium/Germaniumlegierung, was unter Anwendung eines Vorstufengases aus Germaniumhydrid (GeH4) erfolgen kann, die Zufuhr dieser Gaskomponente unterbrochen und es wird Zinnhydrid in die Reaktionskammer geleitet, wobei ein deutlich geringerer Anteil an Zinn zu einer moderat hohen kompressiven Verformungskomponente auf Grund des moderat großen kovalenten Radius führt. Folglich kann das Material 108b so bereitgestellt werden, dass es einen hohen Grad an Kompatibilität zu einem Siliziummaterial besitzt, das in anderen Bauteilbereichen verwendet wird, etwa dem aktiven Gebiet 102a auf Grund eines moderat geringen Anteils einer nicht-Siliziumsorte, die darin enthalten ist, wobei dennoch insgesamt zur Verformung in dem Kanalgebiet 152 beigetragen wird.
  • 1f zeigt schematisch das Halbleiterbauelement 100 gemäß noch weiterer anschaulicher Ausführungsformen, in denen eine Atomsorte, etwa Zinn, in die Schicht 108b auf der Grundlage eines Ionenimplantationsprozesses 109 eingebaut wird. Zu diesem Zweck wird zunächst die Schicht 108b hergestellt, beispielsweise wie dies zuvor mit Bezug zu 1d erläutert ist, etwa in Form eines im Wesentlichen germaniumfreien siliziumbasierten Materials, und danach wird der Implantationsprozess 109 ausgeführt, um einen gewissen Anteil einer Atomsorte, etwa von Zinne, einzuführen. In der in 1f gezeigten Ausführungsform wird der Implantationsprozess 109 nach dem Aufwachsen der Materialien 107b, 108b in Anwesenheit der Abstandshalterschicht 104 ausgeführt, die auch als eine effiziente Implantationsmaske dient, da die Sorte mit dem größeren kovalenten Radius nur in die Schicht 108b eingebaut wird, wodurch moderat geringe Implantationsenergien erforderlich sind. In anderen anschaulichen Ausführungsformen wird der Implantationsprozess 109 in einer späteren Fertigungsphase ausgeführt, beispielsweise nach der Herstellung jeweiliger Drain- und Sourcegebiete in dem aktiven Gebiet 102b, wie dies als geeignet erachtet wird. Auf der Grundlage des Implantationsprozesses 109 kann somit eine Konzentration von ungefähr 0,5 bis 1 Atomprozent oder mehr an Zinn in die Schicht 108b eingebaut werden, wodurch ebenfalls für eine gewisse kompressive Verformungskomponente gesorgt wird, ohne dass im Wesentlichen die „Reaktion” des Materials 108b während der weiteren Bearbeitung und insbesondere während der Herstellung eines Metallsilizids unerwünscht beeinflusst wird.
  • 1g zeigt schematisch das Halbleiterbauelement 100 während eines Ausheizprozesses, während welchem durch Implantation hervorgerufene Schäden rekristallisiert werden, während auch die Atomsorten „aktiviert” werden, d. h. die Atomsorten werden auf Gitterplätzen in der Materialschicht 108b angeordnet. Beispielsweise wird der Ausheizprozess 110 auf der Grundlage gut etablierter Techniken ausgeführt, etwa durch schnelles thermisches Ausheizen, lasergestütztes Ausheizen, blitzlichtgestütztes Ausheizen und dergleichen, wobei eine Temperatur im Bereich von 700 Grad C bis 1100 Grad Celsius und bei Bedarf angewendet wird. Somit wird beim Rekristallisieren der Schicht 108b eine gewünschte kompressive Verformungskomponente 108c erzeugt, die somit zur gesamten Verformung in dem Kanalgebiet 152 beiträgt.
  • 1h zeigt schematisch das Halbleiterbauelement 100 in einer weiter fortgeschrittenen Fertigungsphase. Wie gezeigt, umfassen die Transistoren 150a, 150b die Gateelektrodenstrukturen 151 mit einer Abstandshalterstruktur 153, die aus beliebigen geeigneten Materialien aufgebaut ist, etwa Siliziumdioxid, Siliziumnitrid und dergleichen. Des weiteren sind Drain- und Sourcegebiete 154 in den jeweiligen aktiven Gebieten 102a bzw. 102b gebildet. In der gezeigten Ausführungsform sind die Drain- und Sourcegebiete 154 des Transistors 150b zumindest teilweise in der Silizium/Germanium-Legierung 107b gebildet, während in anderen Fällen eine beliebige andere Struktur und räumliche Abhängigkeit zwischen der Silizium/Germanium-Legierung 107b und den Drain- und Sourcegebieten 104 angewendet werden kann. Ferner sind Metallsilizidgebiete 155 in den Drain- und Sourcegebieten 154 und möglicherweise in den Gateelektrodenstrukturen 151 gebildet. In einer anschaulichen Ausführungsform, wie sie in 1h gezeigt ist, sind die Metallsilizidgebiete 155 des Transistors 150b so gebildet, dass sie in der Deckschicht 108b liegen, d. h. der Siliziumverbrauch während der entsprechenden Silizidierungsfrequenz ist auf die Schicht 108b beschränkt, so dass die Germaniumkonzentration der Metallsilizidgebiete 155 deutlich kleiner ist im Vergleich zur Germaniumkonzentration in der Legierung 107b. Wie zuvor angegeben ist, wird in einigen anschaulichen Ausführungsformen die Schicht 108b mit einer Germaniumkonzentration von ungefähr 0 bereitgestellt, wodurch die Germaniumkonzentration in dem Metallsilidgebiet 155 ebenfalls auf einem sehr geringen Niveau gehalten wird. In einigen anschaulichen Ausführungsformen enthält das Metallsilizid 155 des Transistors 150b eine zusätzliche Atomsorte, etwa Zinn, so dass ein verbleibender Bereich 108r, der im Wesentlichen nicht an dem entsprechenden Silizidierungsprozess teilnimmt, dennoch für eine kompressive Verformungskomponente sorgt.
  • Das in 1h gezeigte Halbleiterbauelement 100 kann auf der Grundlage der folgenden Prozesse hergestellt werden. Nach der Herstellung der Materialien 107b und 108b, wie dies zuvor beschrieben ist, werden die Abstandshalterschicht 104 und die Abstandshalterelemente 104b gemeinsam mit den Deckschichten 151c (siehe 1g) entfernt und die weitere Bearbeitung fortgesetzt, indem geeignete Implantationsprozesse auf der Grundlage gut etablierter Techniken ausgeführt werden. Die Abstandshalterstruktur 153 wird gemäß den Prozess- und Bauteilerfordernissen hergestellt, um als eine Implantationsmaske zumindest während diverser Phasen der Implantationssequenz zu dienen, um damit das gewünschte vertikale und laterale Dotierstoffprofil für die Drain- und Sourcegebiete 154 zu erzeugen. Daraufhin werden ein oder mehrere Ausheizprozesse ausgeführt, um die Dotierstoffe zu aktivieren und die durch Implantation hervorgerufenen Schäden zu rekristallisieren. Es sollte beachtet werden, dass die Schicht 108b für bessere Prozessbedingungen im Hinblick auf den Materialverlust und dergleichen während dieser Fertigungssequenz sorgt, so dass ein ähnliches Verhalten in den aktiven Gebieten 102a, 102b auf Grund des Mangels an Germanium oder auf Grund einer deutlich geringeren Konzentration einer nicht-Siliziumsorte in der Schicht 108b erreicht wird. Als nächstes wird das Bauelement 100 für das Abscheiden eines hoch schmelzenden Metalls, etwa von Nickel, Platin und dergleichen, vorbereitet, was auf der Grundlage gut etablierter Reinigungsrezepte erreich wird. Danach wird eine Schicht aus hoch schmelzendem Metall abgeschieden und nachfolgend werden eine oder mehrere Wärmebehandlungen ausgeführt, um eine chemische Reaktion in Gang zu setzen. Wie zuvor erläutert ist, kann das Metallsilizid 155 zuverlässig in einer stabilen Weise in einer Schicht 108b auf Grund der geringeren Menge an Germanium oder auf Grund des im Wesentlichen Fehlens von Germanium gebildet werden, wodurch ähnliche Bedingungen für die Transistoren 150a und 150b erreicht werden. Wie zuvor erläutert ist, kann eine entsprechender „Verbrauch” an Siliziummaterial in der Schicht 108b im Voraus ermittelt werden, um damit die Ausbildung des Metallsilizids 155 auf die Schicht 108b zu beschränken. Somit wird ein verbesserter Gesamtreihenwiderstand für den Transistor 150b erreicht, obwohl eine deutlich höhere Germaniumkonzentration in der Legierung 107 verwendet wird. Auf diese Weise kann ein relativ geringer Verlust an Verformung im Hinblick auf das Gesamtleistungsverhalten des Transistors 150b überkompensiert werden. In anderen Fällen wird, wenn das verbleibende Gebiet 108r weiterhin eine kompressive Verformungskomponente liefert, die Gesamtverformung in dem Kanalgebiet 152 im Vergleich zu konventionellen Strategien sogar erhöht, während zusätzlich ein besserer Kontaktwiderstand erreicht wird.
  • Daraufhin wird die weitere Bearbeitung fortgesetzt, beispielsweise durch Abscheiden eines dielektrischen Zwischenschichtmaterials (nicht gezeigt) und durch Strukturieren dieses Materials, wobei die zuverlässigen und stabilen Eigenschaften des Metallsilizids 155 in den Transistoren 150a, 150b ein vorhersagbares und zuverlässiges Ätzverhalten während der Strukturierung des dielektrischen Zwischenschichtmaterials bieten.
  • 1i zeigt schematisch das Halbleiterbauelement 100 gemäß noch weiterer anschaulicher Ausführungsformen, in denen ein siliziumenthaltendes Halbleitermaterial in einer späten Fertigungsphase hergestellt wird. Wie gezeigt, umfasst das Bauelement 100 die Transistoren 150a, 150b in einer im Wesentlichen vollständig hergestellten Struktur mit Ausnahme der Metallsilizidgebiete. D. h., der Transistor 150b enthält die Silizium/Germanium-Legierung 107b mit einer gewünschten Germaniumkonzentration, um damit die gewünschte hohe Verformungskomponente 107c zu erhalten. Im Hinblick auf Fertigungsstrategien zur Herstellung der Transistoren 150a, 150b, wie sie in 1i gezeigt sind, sei auf die zuvor beschriebenen Ausführungsformen verwiesen. D. h., die Silizium/Germanium-Legierung 107b wird auf der Grundlage eines selektiven epitaktischen Aufwachsprozesses hergestellt, um damit das Material 107b mit der gewünschten hohen Germaniumkonzentration bereitzustellen, ohne dass ein übermäßiges Überfüllen erforderlich ist. Während der weiteren Bearbeitung tritt somit ein mehr oder weniger ausgeprägter Materialverlust auf, wie dies durch die gestrichelte Linie 107l gezeigt ist, auf Grund der hohen Germaniumkonzentration, wie dies auch zuvor erläutert ist.
  • 1j zeigt schematisch das Halbleiterbauelement 100 während eines selektiven epitaktischen Aufwachsprozesses 108, der beispielsweise vor dem Abscheiden eines hoch schmelzenden Metalls ausgeführt ist. Z. B. wird das Bauelement 100 geeigneten Reinigungsprozessen unterzogen und dann der Einwirkung einer Abscheideumgebung des Prozesses 108 unterworfen. Während des Prozesses 108 wird Siliziummaterial selektiv auf freiliegenden siliziumenthaltenden Halbleiterbereichen abgeschieden, etwa den Drain- und Sourcebereichen der Transistoren 150a, 150b und auf den Gateelektrodenstruktur 151, wenn diese ein Silizium oder ein Germanium-Material aufweisen. Folglich wird eine Deck schicht 108b über den Drain- und Sourcegebieten 154 bereitgestellt, die dann während des nachfolgenden Silizidierungsprozesses verbraucht werden kann, wodurch für das stabile Metallsilizid in dem Transistor 150b gesorgt wird. Es sollte beachtet werden, dass die Schicht 108b den Silizidierungsprozess in dem Transistor 150a nicht negativ beeinflusst, da auch in diesem Transistor ein gewisser Grad an Materialverlust aufgetreten ist, jedoch zu einem geringeren Grade im Vergleich zu dem Transistor 150b.
  • Folglich kann nach dem Abscheiden des Materials 108b die weitere Bearbeitung fortgesetzt werden, indem beispielsweise ein hoch schmelzendes Metall abgeschieden und dieses in Metallsilizid in den Materialschichten 108a umgewandelt wird. Daher wird ein stabiles Metallsilizid in dem Transistor 150b bereitgestellt, während gleichzeitig eine hohe kompressive Verformungskomponente auf Grund der hohen Germaniumkonzentration in dem Material 107b erreicht wird, das bis zu einem gewünschten Höhenniveau in dem entsprechenden selektiven epitaktischen Aufwachsprozess gebildet wird.
  • Es gilt also: Die vorliegende Offenbarung stellt Halbleiterbauelemente und Fertigungstechniken bereit, in denen ein verbessertes Verhalten von aktiven Gebieten mit einer Silizium/Germaniumlegierung während eines Silizidierungsprozesses erreich wird, indem ein Halbleiterdeckmaterial während einer geeigneten Fertigungsphase vorgesehen wird. Folglich wird ein effizienter verformungsinduzierender Mechanismus für p-Kanaltransistoren bereitgestellt, wobei gleichzeitig der gesamte Reihenwiderstand auf Grund des Vorsehens eines zuverlässigen und stabilen Metallsilizids, eines Nickelsilizids, eines Nickel/Platin-Silizids und dergleichen, verringert wird. Folglich können die hierin offenbarten Prinzipien vorteilhaft auf modernste Transistorelemente mit einer Gatelänge von 50 nm oder weniger angewendet werden, da in diesem Falle eine synergetische Wirkung diverser Eigenschaften, etwa einer Verformung im Kanalgebiet, dem Kontaktwiderstand, dem Verhalten während der Herstellung von Kontaktelementen und dergleichen, eine wesentliche Auswirkung auf das gesamte Leistungsverhalten des Bauelements besitzen.
  • Weitere Modifizierungen und Variationen der vorliegenden Offenbarung werden für den Fachmann angesichts dieser Beschreibung offenkundig. Daher ist diese Beschreibung als lediglich anschaulich und für die Zwecke gedacht, dem Fachmann die allgemeine Art und Weise des Ausführens der hierin offenbarten Prinzipien zu vermitteln. Selbstverständlich sind die hierin gezeigten und beschriebenen Formen als die gegenwärtig bevorzugten Ausführungsformen zu betrachten.

Claims (23)

  1. Verfahren mit: Bilden einer Aussparung in einem Halbleitergebiet lateral benachbart zu einer Gateelektrodenstruktur eines Transistors; Bilden einer verformungsinduzierenden Silizium/Germanium-Legierung in der Aussparung, wobei die Silizium/Germanium-Legierung eine erste Siliziumkonzentration besitzt; Bilden eines siliziumenthaltenden Hableitermaterials auf der verformungsinduzierenden Silizium/Germanium-Legierung, wobei das siliziumenthaltende Halbleitermaterial eine zweite Siliziumkonzentration besitzt, die größer ist als die erste Siliziumkonzentration; Bilden von Drain- und Sourcegebieten zumindest teilweise in der Silizium/Germanium-Legierung und dem siliziumenthaltenden Halbleitermaterial; und Bilden eines Metallsilizids in dem siliziumenthaltenden Halbleitermaterial.
  2. Verfahren nach Anspruch 1, wobei das siliziumenthaltende Halbleitermaterial Germanium aufweist.
  3. Verfahren nach Anspruch 2, wobei eine Germaniumkonzentration kleiner als ungefähr 1 Atomprozent ist.
  4. Verfahren nach Anspruch 1, wobei das siliziumenthaltende Halbleitermaterial so gebildet wird, dass es eine Atomsorte mit einem kovalenten Radius aufweist, der größer ist als ein kovalenter Radius von Germanium.
  5. Verfahren nach Anspruch 4, wobei die Atomsorte Zinn (Sn) umfasst.
  6. Verfahren nach Anspruch 4, wobei Bilden des siliziumenthaltenden Halbleitermaterials das Ausführen eines selektiven epitaktischen Aufwachsprozesses umfasst.
  7. Verfahren nach Anspruch 1, wobei das siliziumenthaltende Halbleitermaterial und die Silizium/Germanium-Legierung in-situ hergestellt werden.
  8. Verfahren nach Anspruch 1, wobei Bilden des siliziumenthaltenden Halbleitermaterials umfasst: Bilden einer Siliziumschicht auf der Silizium/Germanium-Legierung und Einführen der Atomsorte in die Siliziumschicht durch Ausführen eines Ionenimplantationsprozesses.
  9. Verfahren nach Anspruch 1, wobei Bilden des Metallsilizids umfasst: Anpassen einer Dicke des siliziumenthaltenden Halbleitermaterials, um das Metallsilizid innerhalb des siliziumenthaltenden Halbleitermaterials zu bilden.
  10. Verfahren nach Anspruch 1, wobei eine Germaniumkonzentration der Silizium/Germanium-Legierung ungefähr 25 Atomprozent oder mehr beträgt.
  11. Verfahren nach Anspruch 1, wobei Bilden des Metallsilizids umfasst: Abscheiden von Nickel und/oder Platin und Ausführen einer Wärmebehandlung, um eine chemische Reaktion des Nickels und/oder des Platins mit dem Silizium des siliziumenthaltenden Halbleitermaterials in Gang zu setzen.
  12. Verfahren mit: Bilden eines siliziumenthaltenden Halbleitermaterials auf einer Silizium/Germanium-Legierung, die in einem aktiven Gebiet eines p-Transistors gebildet ist, wobei das siliziumenthaltende Halbleitermaterial eine Germaniumkonzentration aufweist, die kleiner ist als eine Germaniumkonzentration der Silizium/Germanium-Legierung; und Bilden eines Metallsilizids lokal beschränkt in dem siliziumenthaltenden Halbleitermaterial.
  13. Verfahren nach Anspruch 12, wobei die Silizium/Germanium-Legierung und das siliziumenthaltende Halbleitermaterial in-situ hergestellt werden, indem ein selektiver epitaktischer Aufwachsprozess ausgeführt wird.
  14. Verfahren nach Anspruch 12, das ferner umfasst: Bilden von Drain- und Sourcegebieten in dem aktiven Gebiet, nach dem Herstellen der Silizium/Germanium-Legierung und vor dem Herstellen des siliziumenthaltenden Halbleitermaterials.
  15. Verfahren nach Anspruch 12, wobei Bilden des siliziumenthaltenden Halbleitermaterials umfasst: Einbauen einer Atomsorte in ein Siliziumbasismaterial, wobei die Atomsorte einen größeren kovalenten Radius im Vergleich zu Germanium besitzt.
  16. Verfahren nach Anspruch 15, wobei die Atomsorte durch Ausführen eines selektiven epitaktischen Aufwachsprozesses unter Anwendung eines Silizium und die Atomsorte enthaltenden Vorstufenmaterials eingebaut wird.
  17. Verfahren nach Anspruch 15, wobei die Atomsorte durch Ausführen eines Ionenimplantationsprozesses eingebaut wird.
  18. Verfahren nach Anspruch 15, wobei die Atomsorte Zinn (Sn) umfasst.
  19. Halbleiterbauelement mit: einer Gateelektrodenstruktur, die über einem siliziumenthaltenden Halbleitergebiet gebildet ist; Drain- und Sourcegebieten, die in dem siliziumenthaltenden Halbleitergebiet gebildet sind; einer Silizium/Germanium-Legierung, die zumindest teilweise in dem Draingebiet und/oder dem Sourcegebiet gebildet ist, wobei die Silizium/Germanium-Legierung eine erste Germanium-Konzentration besitzt; und einem Metallsilizid, das zumindest teilweise in den Drain- und Sourcegebieten gebildet ist, wobei das Metallsilizid eine zweite Germaniumkonzentration aufweist, die kleiner ist als die erste Germaniumkonzentration.
  20. Halbleiterbauelement nach Anspruch 19, wobei die erste Germaniumkonzentration ungefähr 25 Atomprozent und mehr beträgt im Vergleich zu einer Siliziumkonzentration in der Silizium/Germanium-Legierung und wobei die zweite Germaniumkonzentration ungefähr 5 Atomprozent oder weniger beträgt im Vergleich zu einer Siliziumkonzentration des Metallsilizids.
  21. Halbleiterbauelement nach Anspruch 19, wobei das Metallsilizid Zinn aufweist und wobei eine Konzentration an Zinn in der Silizium/Germanium-Legierung kleiner ist als in dem Metallsilizid.
  22. Halbleiterbauelement nach Anspruch 19, wobei das Metallsilizid Nickel und/oder Platin aufweist.
  23. Halbleiterbauelement nach Anspruch 16, wobei eine Gatelänge des Transistors ungefähr 50 Nanometer (nm) oder weniger beträgt.
DE102009015748.4A 2009-03-31 2009-03-31 Verringern des Silizidwiderstands in SiGe-enthaltenden Drain/Source-Gebieten von Transistoren Active DE102009015748B4 (de)

Priority Applications (2)

Application Number Priority Date Filing Date Title
DE102009015748.4A DE102009015748B4 (de) 2009-03-31 2009-03-31 Verringern des Silizidwiderstands in SiGe-enthaltenden Drain/Source-Gebieten von Transistoren
US12/749,619 US8124467B2 (en) 2009-03-31 2010-03-30 Reducing silicide resistance in silicon/germanium-containing drain/source regions of transistors

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE102009015748.4A DE102009015748B4 (de) 2009-03-31 2009-03-31 Verringern des Silizidwiderstands in SiGe-enthaltenden Drain/Source-Gebieten von Transistoren

Publications (2)

Publication Number Publication Date
DE102009015748A1 true DE102009015748A1 (de) 2010-10-21
DE102009015748B4 DE102009015748B4 (de) 2014-05-22

Family

ID=42750887

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102009015748.4A Active DE102009015748B4 (de) 2009-03-31 2009-03-31 Verringern des Silizidwiderstands in SiGe-enthaltenden Drain/Source-Gebieten von Transistoren

Country Status (2)

Country Link
US (1) US8124467B2 (de)
DE (1) DE102009015748B4 (de)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102010063782A1 (de) * 2010-12-21 2012-06-21 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Leistungssteigerung in Transistoren mit Metallgatestapeln mit großem ε und einem eingebetteten Verspannungsmaterial durch Ausführen eines zweiten Epitaxieschrittes

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8558279B2 (en) * 2010-09-23 2013-10-15 Intel Corporation Non-planar device having uniaxially strained semiconductor body and method of making same
US8778767B2 (en) 2010-11-18 2014-07-15 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits and fabrication methods thereof
US9343318B2 (en) 2012-02-07 2016-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Salicide formation using a cap layer
US8647439B2 (en) 2012-04-26 2014-02-11 Applied Materials, Inc. Method of epitaxial germanium tin alloy surface preparation
US8541281B1 (en) 2012-08-17 2013-09-24 Globalfoundries Inc. Replacement gate process flow for highly scaled semiconductor devices
US8969190B2 (en) 2012-08-24 2015-03-03 Globalfoundries Inc. Methods of forming a layer of silicon on a layer of silicon/germanium
EP2704199B1 (de) 2012-09-03 2020-01-01 IMEC vzw Herstellungsverfahren für ein Halbleiterbauelement
US8900958B2 (en) 2012-12-19 2014-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxial formation mechanisms of source and drain regions
US8853039B2 (en) 2013-01-17 2014-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. Defect reduction for formation of epitaxial layer in source and drain regions
US9029919B2 (en) 2013-02-01 2015-05-12 Globalfoundries Inc. Methods of forming silicon/germanium protection layer above source/drain regions of a transistor and a device having such a protection layer
US9293534B2 (en) 2014-03-21 2016-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Formation of dislocations in source and drain regions of FinFET devices
KR102061265B1 (ko) 2013-07-23 2019-12-31 삼성전자주식회사 반도체 장치 및 그 제조방법
US9691898B2 (en) 2013-12-19 2017-06-27 Taiwan Semiconductor Manufacturing Co., Ltd. Germanium profile for channel strain
US9287398B2 (en) 2014-02-14 2016-03-15 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor strain-inducing scheme
US20150372100A1 (en) * 2014-06-19 2015-12-24 GlobalFoundries, Inc. Integrated circuits having improved contacts and methods for fabricating same
US9443873B1 (en) 2015-12-14 2016-09-13 International Business Machines Corporation Structure and method for tensile and compressive strained silicon germanium with same germanium concentration by single epitaxy step
TWI686879B (zh) 2016-05-05 2020-03-01 聯華電子股份有限公司 半導體元件及其製作方法
EP3716314A1 (de) * 2019-03-29 2020-09-30 Commissariat à l'Energie Atomique et aux Energies Alternatives Kontaktanschluss auf germanium

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060138398A1 (en) * 2004-12-28 2006-06-29 Fujitsu Limited Semiconductor device and fabrication method thereof
US20080067609A1 (en) * 2006-09-20 2008-03-20 Kim Myung-Sun Semiconductor Device Including Field Effct Transistor and Method of Forming the Same
US20080290370A1 (en) * 2007-05-21 2008-11-27 Jin-Ping Han Semiconductor devices and methods of manufacturing thereof

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6274894B1 (en) * 1999-08-17 2001-08-14 Advanced Micro Devices, Inc. Low-bandgap source and drain formation for short-channel MOS transistors
US7554110B2 (en) * 2006-09-15 2009-06-30 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with partial stressor channel
US7534689B2 (en) * 2006-11-21 2009-05-19 Advanced Micro Devices, Inc. Stress enhanced MOS transistor and methods for its fabrication
US8344447B2 (en) * 2007-04-05 2013-01-01 Taiwan Semiconductor Manufacturing Company, Ltd. Silicon layer for stopping dislocation propagation
KR101369907B1 (ko) * 2007-10-31 2014-03-04 주성엔지니어링(주) 트랜지스터 및 그 제조 방법
DE102008046400B4 (de) * 2008-06-30 2011-05-19 Amd Fab 36 Limited Liability Company & Co. Kg Verfahren zur Herstellung eines CMOS-Bauelements mit MOS-Transistoren mit abgesenkten Drain- und Sourcebereichen und einem Si/Ge-Material in den Drain- und Sourcebereichen des PMOS-Transistors

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060138398A1 (en) * 2004-12-28 2006-06-29 Fujitsu Limited Semiconductor device and fabrication method thereof
US20080067609A1 (en) * 2006-09-20 2008-03-20 Kim Myung-Sun Semiconductor Device Including Field Effct Transistor and Method of Forming the Same
US20080290370A1 (en) * 2007-05-21 2008-11-27 Jin-Ping Han Semiconductor devices and methods of manufacturing thereof

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
WANG,Grace Huiqi (et al.): Performance enhancement schemes featuring lattice mismatched S/D stressors realized on CMOS platform: e-SiGeSn S/D for pFETs by Sn +implant and SiC S/D for nFETs by C+implant. In: Symp. VLSI Technology 2008, S. 207-208 *

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102010063782A1 (de) * 2010-12-21 2012-06-21 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Leistungssteigerung in Transistoren mit Metallgatestapeln mit großem ε und einem eingebetteten Verspannungsmaterial durch Ausführen eines zweiten Epitaxieschrittes
DE102010063782B4 (de) * 2010-12-21 2016-12-15 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren zur Herstellung von Transistoren mit Metallgatestapeln mit großem ε und einem eingebetteten Verspannungsmaterial

Also Published As

Publication number Publication date
DE102009015748B4 (de) 2014-05-22
US20100244107A1 (en) 2010-09-30
US8124467B2 (en) 2012-02-28

Similar Documents

Publication Publication Date Title
DE102009015748B4 (de) Verringern des Silizidwiderstands in SiGe-enthaltenden Drain/Source-Gebieten von Transistoren
DE102008063427B4 (de) Verfahren zum selektiven Herstellen eines Transistors mit einem eingebetteten verformungsinduzierenden Material mit einer graduell geformten Gestaltung
DE102010030768B4 (de) Herstellverfahren für ein Halbleiterbauelement als Transistor mit eingebettetem Si/Ge-Material mit geringerem Abstand und besserer Gleichmäßigkeit und Transistor
DE102005051994B4 (de) Verformungsverfahrenstechnik in Transistoren auf Siliziumbasis unter Anwendung eingebetteter Halbleiterschichten mit Atomen mit einem großen kovalenten Radius
DE102005052054B4 (de) Halbleiterbauteil mit Transistoren mit verformten Kanalgebieten und Verfahren zu seiner Herstellung
DE102008035812B4 (de) Flacher pn-Übergang, der durch in-situ-Dotierung während des selektiven Aufwachsens einer eingebetteten Halbleiterlegierung mittels eines zyklischen Aufwachs-Ätz-Abscheideprozesses gebildet wird
DE102009010882B4 (de) Transistor mit einer eingebetteten Halbleiterlegierung in Drain- und Sourcegebieten, die sich unter die Gateelektrode erstreckt und Verfahren zum Herstellen des Transistors
DE102006009272B4 (de) Verfahren zur Herstellung eines verspannten Transistors durch eine späte Amorphisierung und durch zu entfernende Abstandshalter
DE102007030056B3 (de) Verfahren zum Blockieren einer Voramorphisierung einer Gateelektrode eines Transistors
DE102008049733B3 (de) Transistor mit eingebettetem Si/Ge-Material mit geringerem Abstand zum Kanalgebiet und Verfahren zur Herstellung des Transistors
DE102006009225B4 (de) Herstellung von Silizidoberflächen für Silizium/Kohlenstoff-Source/Drain-Gebiete
DE102009010883B4 (de) Einstellen eines nicht-Siliziumanteils in einer Halbleiterlegierung während der FET-Transistorherstellung mittels eines Zwischenoxidationsprozesses
DE102005057073B4 (de) Herstellungsverfahren zur Verbesserung der mechanischen Spannungsübertragung in Kanalgebieten von NMOS- und PMOS-Transistoren und entsprechendes Halbleiterbauelement
DE102009010847B4 (de) Integration von Halbleiterlegierungen in PMOS- und NMOS-Transistoren unter Anwendung eines gemeinsamen Ätzprozesses für Aussparungen
DE102006040765B4 (de) Verfahren zur Herstellung eines Feldeffekttransistors mit einer verspannten Kontaktätzstoppschicht mit geringerer Konformität und Feldeffekttransistor
DE102005004411B4 (de) Verfahren für die Herstellung eines in-situ-gebildeten Halo-Gebietes in einem Transistorelement
DE102008035816A1 (de) Leistungssteigerung in PMOS- und NMOS-Transistoren auf der Grundlage eines Silizium/Kohlenstoff-Materials
DE102006019936B4 (de) Halbleiterbauelement mit unterschiedlich verspannten Ätzstoppschichten in Verbindung mit PN-Übergängen unterschiedlicher Gestaltung in unterschiedlichen Bauteilgebieten und Verfahren zur Herstellung des Halbleiterbauelements
DE102010002450B4 (de) Transistoren mit Metallgateelektrodenstrukturen mit großem ε und angepassten Kanalhalbleitermaterialien
DE102006030264B4 (de) Verfahren zur Herstellung von Transistoren mit einem Kanal mit biaxialer Verformung, die durch Silizium/Germanium in der Gateelektrode hervorgerufen wird
DE102005046977B4 (de) Verfahren zum Erzeugen einer unterschiedlichen mechanischen Verformung mittels Kontaktätzstoppschichtstapels mit einer dazwischen liegenden Ätzstoppschicht
DE102008063432B4 (de) Verfahren zum Einstellen der Verformung, die in einem Transistorkanal eines FET hervorgerufen wird, durch für die Schwellwerteinstellung vorgesehenes Halbleitermaterial
DE102011003439A1 (de) Durchlassstromerhöhung in Feldeffekttransistoren durch asymmetrische Konzentrationsprofile von Legierungssubstanzen einer Kanalhalbleiterlegierung
DE102008049723B4 (de) Transistor mit eingebettetem Si/Ge-Material mit einer besseren substratüberspannenden Gleichmäßigkeit
DE102010064291A1 (de) Transistoren mit Metallgateelektrodenstrukturen mit großem ε mit einem polykristallinen Halbleitermaterial und eingebetteten verformungsinduzierenden Halbleiterlegierungen

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
R016 Response to examination communication
R081 Change of applicant/patentee

Owner name: GLOBALFOUNDRIES INC., KY

Free format text: FORMER OWNER: GLOBALFOUNDRIES DRESDEN MODULE , GLOBALFOUNDRIES INC., , KY

Effective date: 20110415

Owner name: GLOBALFOUNDRIES DRESDEN MODULE ONE LIMITED LIA, DE

Free format text: FORMER OWNER: GLOBALFOUNDRIES DRESDEN MODULE , GLOBALFOUNDRIES INC., , KY

Effective date: 20110415

Owner name: GLOBALFOUNDRIES DRESDEN MODULE ONE LIMITED LIA, DE

Free format text: FORMER OWNERS: GLOBALFOUNDRIES DRESDEN MODULE ONE LLC & CO. KG, 01109 DRESDEN, DE; GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

Effective date: 20110415

Owner name: GLOBALFOUNDRIES INC., KY

Free format text: FORMER OWNERS: GLOBALFOUNDRIES DRESDEN MODULE ONE LLC & CO. KG, 01109 DRESDEN, DE; GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

Effective date: 20110415

R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R079 Amendment of ipc main class

Free format text: PREVIOUS MAIN CLASS: H01L0021823800

Ipc: H01L0021336000

R079 Amendment of ipc main class

Free format text: PREVIOUS MAIN CLASS: H01L0021823800

Ipc: H01L0021336000

Effective date: 20140203

R020 Patent grant now final
R020 Patent grant now final

Effective date: 20150224

R081 Change of applicant/patentee

Owner name: GLOBALFOUNDRIES U.S. INC., SANTA CLARA, US

Free format text: FORMER OWNERS: GLOBALFOUNDRIES DRESDEN MODULE ONE LIMITED LIABILITY COMPANY & CO. KG, 01109 DRESDEN, DE; GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

Owner name: GLOBALFOUNDRIES DRESDEN MODULE ONE LIMITED LIA, DE

Free format text: FORMER OWNERS: GLOBALFOUNDRIES DRESDEN MODULE ONE LIMITED LIABILITY COMPANY & CO. KG, 01109 DRESDEN, DE; GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

R082 Change of representative

Representative=s name: GRUENECKER PATENT- UND RECHTSANWAELTE PARTG MB, DE