DE102020110238A1 - Ferroelektrischer feldeffekttransistor unter verwendung von ladungseinfangbandfehlausrichtung und verfahren zum bilden desselben - Google Patents

Ferroelektrischer feldeffekttransistor unter verwendung von ladungseinfangbandfehlausrichtung und verfahren zum bilden desselben Download PDF

Info

Publication number
DE102020110238A1
DE102020110238A1 DE102020110238.0A DE102020110238A DE102020110238A1 DE 102020110238 A1 DE102020110238 A1 DE 102020110238A1 DE 102020110238 A DE102020110238 A DE 102020110238A DE 102020110238 A1 DE102020110238 A1 DE 102020110238A1
Authority
DE
Germany
Prior art keywords
ferroelectric
layer
semiconductor
gate
field effect
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102020110238.0A
Other languages
English (en)
Inventor
Georgios Vellianitis
Marcus Johannes Henricus van Dal
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102020110238A1 publication Critical patent/DE102020110238A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/78391Field effect transistors with field effect produced by an insulated gate the gate comprising a layer which is used for its ferroelectric properties
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40111Multistep manufacturing processes for data storage electrodes the electrodes comprising a layer which is used for its ferroelectric properties
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42364Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the insulating layer, e.g. thickness or uniformity
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/516Insulating materials associated therewith with at least one ferroelectric layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6684Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a ferroelectric gate insulator
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B51/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40117Multistep manufacturing processes for data storage electrodes the electrodes comprising a charge-trapping insulator
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B51/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors
    • H10B51/30Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors characterised by the memory core region

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)

Abstract

Ein ferroelektrischer Feldeffekttransistor enthält ein Halbleitersubstrat, das einen Halbleiterkanal enthält, der sich zwischen einem Source-Bereich und einem Drain-Bereich erstreckt. Eine ferroelektrische dielektrische Gate-Schicht ist über dem Halbleiterkanal angeordnet und enthält ein ferroelektrisches Material mit einem Ladungseinfangband, das elektronische Zustände enthält, die durch Grenzflächenfallen des ferroelektrischen Materials erzeugt werden. Eine Gate-Elektrode ist auf der ferroelektrischen dielektrischen Gate-Schicht angeordnet und dafür konfiguriert, einen On-Zustand und einen Off-Zustand für den ferroelektrischen Feldeffekttransistor durch Anlegen einer On-Spannung bzw. einer Off-Spannung von einer Gate-Vorspannschaltung bereitzustellen. Ein Energieniveau des Ladungseinfangbandes ist während des On-Zustands von einem Energieniveau von Minoritätsladungsträgern des Halbleiterkanals verschoben. Einfangen von Ladungen in dem ferroelektrischen Material wird während des Betriebs des ferroelektrischen Feldeffekttransistors vermieden, wodurch die Lebensdauer des ferroelektrischen Feldeffekttransistors erhöht wird.

Description

  • HINTERGRUND
  • Ein ferroelektrisches Material ist ein Material, das eine spontane elektrische Polarisation ungleich Null aufweisen kann (d.h. elektrisches Dipolmoment ungleich Null), wenn das äußere elektrische Feld Null ist. Die spontane elektrische Polarisation kann durch ein starkes äußeres elektrisches Feld, das in die entgegengesetzte Richtung angelegt wird, umgekehrt werden. Die elektrische Polarisation hängt nicht nur von dem externen elektrischen Feld zum Zeitpunkt der Messung ab, sondern auch von der Geschichte des äußeren elektrischen Feldes, und verfügt somit über eine Hystereseschleife. Das Maximum der elektrischen Polarisation wird als Sättigungspolarisation bezeichnet. Die elektrische Polarisation, die verbleibt, nachdem ein äußeres elektrisches Feld, das Sättigungspolarisation induziert, nicht mehr angelegt (d.h. ausgeschaltet) wird, wird als Restpolarisation bezeichnet. Die Größenordnung des elektrischen Feldes, die in der entgegengesetzten Richtung der Restpolarisation angelegt werden muss, um Nullpolarisation zu erreichen, wird als elektrisches Koerzitivfeld bezeichnet. Für die Zwecke des Bildens von Speichervorrichtungen ist es im Allgemeinen wünschenswert, über eine hohe Restpolarisation und ein hohes Koerzitivfeld zu verfügen. Hohe Restpolarisation kann die Größenordnung eines elektrischen Signals erhöhen. Ein hohes Koerzitivfeld lässt die Speichervorrichtungen gegenüber Störungen, die durch elektrische Rauschpegelfelder und Interferenzen verursacht werden, stabiler werden.
  • Figurenliste
  • Aspekte der vorliegenden Offenbarung lassen sich am besten aus der nachfolgenden ausführlichen Beschreibung verstehen, wenn sie mit den beigefügten Figuren gelesen wird. Es wird darauf hingewiesen, dass, in Übereinstimmung mit den Standardpraktiken in der Branche, diverse Merkmale nicht maßstabsgetreu gezeichnet sind. Tatsächlich können die Abmessungen der verschiedenen Merkmale zur besseren Übersicht beliebig vergrößert oder verkleinert sein.
    • 1A ist eine Draufsicht einer beispielhaften Struktur nach Bilden einer flachen Grabenisolationsstruktur in einem oberen Abschnitt eines Halbleitersubstrats gemäß einer ersten Ausführungsform der vorliegenden Offenbarung.
    • 1B ist eine vertikale Querschnittsansicht der ersten beispielhaften Struktur entlang der Ebene B - B' in 1A.
    • 2A ist eine Draufsicht der ersten beispielhaften Struktur nach Aufbringen von Gate-Stapelmaterialschichten gemäß der ersten Ausführungsform der vorliegenden Offenbarung.
    • 2B ist eine vertikale Querschnittsansicht der ersten beispielhaften Struktur entlang der Ebene B - B' in 2A.
    • 3A ist eine Draufsicht der ersten beispielhaften Struktur nach Bildung einer Gate-Stapelstruktur gemäß der ersten Ausführungsform der vorliegenden Offenbarung.
    • 3B ist eine vertikale Querschnittsansicht der ersten beispielhaften Struktur entlang der Ebene B - B' in 3A.
    • 4A ist eine Draufsicht der ersten beispielhaften Struktur nach Bildung eines Source-Erweiterungsbereichs, eines Drain-Erweiterungsbereichs und eines Gate-Abstandshalters gemäß der ersten Ausführungsform der vorliegenden Offenbarung.
    • 4B ist eine vertikale Querschnittsansicht der ersten beispielhaften Struktur entlang der Ebene B - B' in 4A.
    • 5A ist eine Draufsicht der ersten beispielhaften Struktur nach Bildung eines tiefen Source-Bereichs und eines tiefen Drain-Bereichs gemäß der ersten Ausführungsform der vorliegenden Offenbarung.
    • 5B ist eine vertikale Querschnittsansicht der ersten beispielhaften Struktur entlang der Ebene B - B' in 5A.
    • 6A ist eine Draufsicht der ersten beispielhaften Struktur nach Bildung einer dielektrischen Schicht auf Kontaktebene und Kontakt über Hohlräume gemäß der ersten Ausführungsform der vorliegenden Offenbarung.
    • 6B ist eine vertikale Querschnittsansicht der ersten beispielhaften Struktur entlang der Ebene B - B' in 6A.
    • 7A ist eine Draufsicht der ersten beispielhaften Struktur nach Bildung eines Kontakts über Strukturen gemäß der ersten Ausführungsform der vorliegenden Offenbarung.
    • 7B ist eine vertikale Querschnittsansicht der ersten beispielhaften Struktur entlang der Ebene B - B' in 7A.
    • 7C ist ein Schaltkreisschema einer ferroelektrischen Speichervorrichtung, die die erste beispielhafte Struktur der 7A und 7B enthält.
    • 8A ist ein Banddiagramm eines Materialstapels, der den Halbleiterkanal und die Gate-Stapelstruktur in dem Feldeffekttransistor in der ersten beispielhaften Struktur enthält, wenn die an der Gate-Elektrode angelegte Spannung Null ist, gemäß der ersten Ausführungsform der vorliegenden Offenbarung.
    • 8B ist ein Banddiagramm eines Materialstapels, der den Halbleiterkanal und die Gate-Stapelstruktur in dem Feldeffekttransistor in der ersten beispielhaften Struktur enthält, wenn die an der Gate-Elektrode angelegte Spannung eine positive Spannung ist, die den Feldeffekttransistor einschaltet, gemäß der ersten Ausführungsform der vorliegenden Offenbarung.
    • 9A ist ein Banddiagramm eines vergleichenden beispielhaften Materialstapels, der aus dem Materialstapel in 8A durch Entfernen einer Ladungseinfangbandfehlausrichtungsschicht abgeleitet wird, wenn die an der Gate-Elektrode angelegte Spannung Null ist.
    • 9B ist ein Banddiagramm des vergleichenden beispielhaften Materialstapels in 9A, wenn die an der Gate-Elektrode angelegte Spannung eine positive Spannung ist, die den Feldeffekttransistor einschaltet.
    • 10A ist eine Draufsicht einer zweiten beispielhaften Struktur nach Aufbringen von Gate-Stapelmaterialschichten gemäß einer zweiten Ausführungsform der vorliegenden Offenbarung.
    • 10B ist eine vertikale Querschnittsansicht der zweiten beispielhaften Struktur entlang der Ebene B - B' in 10A.
    • 11A ist eine Draufsicht der zweiten beispielhaften Struktur nach Bildung einer Gate-Stapelstruktur gemäß der zweiten Ausführungsform der vorliegenden Offenbarung.
    • 11B ist eine vertikale Querschnittsansicht der zweiten beispielhaften Struktur entlang der Ebene B - B' in 11A.
    • 12A ist eine Draufsicht der zweiten beispielhaften Struktur nach Bildung eines Source-Erweiterungsbereichs, eines Drain-Erweiterungsbereichs und eines Gate-Abstandshalters gemäß der zweiten Ausführungsform der vorliegenden Offenbarung.
    • 12B ist eine vertikale Querschnittsansicht der zweiten beispielhaften Struktur entlang der Ebene B - B' in 12A.
    • 13A ist eine Draufsicht der zweiten beispielhaften Struktur nach Bildung eines tiefen Source-Bereichs und eines tiefen Drain-Bereichs gemäß der zweiten Ausführungsform der vorliegenden Offenbarung.
    • 13B ist eine vertikale Querschnittsansicht der zweiten beispielhaften Struktur entlang der Ebene B - B' in 13A.
    • 14A ist eine Draufsicht der zweiten beispielhaften Struktur nach Bildung eines erhöhten Source-Bereichs und eines erhöhten Drain-Bereichs gemäß der zweiten Ausführungsform der vorliegenden Offenbarung.
    • 14B ist eine vertikale Querschnittsansicht der zweiten beispielhaften Struktur entlang der Ebene B - B' in 14A.
    • 15A ist eine Draufsicht der zweiten beispielhaften Struktur nach Bildung einer dielektrischen Schicht auf Kontaktebene und Kontakt über Hohlräume gemäß der zweiten Ausführungsform der vorliegenden Offenbarung.
    • 15B ist eine vertikale Querschnittsansicht der zweiten beispielhaften Struktur entlang der Ebene B - B' in 15A.
    • 16A ist eine Draufsicht der zweiten beispielhaften Struktur nach Bildung eines Kontakts über Strukturen gemäß der zweiten Ausführungsform der vorliegenden Offenbarung.
    • 16B ist eine vertikale Querschnittsansicht der zweiten beispielhaften Struktur entlang der Ebene B - B' in 16A.
    • 16C ist ein Schaltkreisschema einer ferroelektrischen Speichervorrichtung, die die zweite beispielhafte Struktur der 16A und 16B enthält.
    • 17A ist ein Banddiagramm eines Materialstapels, der den Halbleiterkanal und die Gate-Stapelstruktur in dem Feldeffekttransistor in der zweiten beispielhaften Struktur enthält, wenn die an der Gate-Elektrode angelegte Spannung Null ist, gemäß der zweiten Ausführungsform der vorliegenden Offenbarung.
    • 17B ist ein Banddiagramm eines Materialstapels, der den Halbleiterkanal und die Gate-Stapelstruktur in dem Feldeffekttransistor in der zweiten beispielhaften Struktur enthält, wenn die an der Gate-Elektrode angelegte Spannung eine positive Spannung ist, die den Feldeffekttransistor einschaltet, gemäß der zweiten Ausführungsform der vorliegenden Offenbarung.
    • 18A ist ein Banddiagramm eines vergleichenden beispielhaften Materialstapels, der aus dem Materialstapel in 17A unter Hinzufügung einer GrenzflächenSiliziumoxidschicht, wenn die an der Gate-Elektrode angelegte Spannung Null ist, abgeleitet ist.
    • 18B ist ein Banddiagramm des vergleichenden beispielhaften Materialstapels in 18A, wenn die an der Gate-Elektrode angelegte Spannung eine positive Spannung ist, die den Feldeffekttransistor einschaltet.
    • 19 ist ein erstes Flussdiagramm, das Schritte zum Bilden der ersten beispielhaften Struktur der vorliegenden Offenbarung gemäß einer Ausführungsform der vorliegenden Offenbarung veranschaulicht.
    • 20 ist ein zweites Flussdiagramm, das Schritte zum Bilden der zweiten beispielhaften Struktur der vorliegenden Offenbarung gemäß einer Ausführungsform der vorliegenden Offenbarung veranschaulicht.
  • AUSFÜHRLICHE BESCHREIBUNG
  • Die folgende Offenbarung stellt viele unterschiedliche Ausführungsformen bzw. Beispiele für die Implementierung unterschiedlicher Merkmale des vorgestellten Gegenstandes bereit. Nachfolgend werden konkrete Beispiele der Komponenten und Anordnungen beschrieben, um die vorliegende Offenbarung zu vereinfachen. Dies sind natürlich lediglich Beispiele und sie sind nicht als einschränkend beabsichtigt. Die Bildung eines ersten Merkmals über oder auf einem zweiten Merkmal in der folgenden Beschreibung kann zum Beispiel Ausführungsformen beinhalten, in denen das erste und zweite Merkmal in direktem Kontakt ausgebildet sind, und können auch Ausführungsformen beinhalten, in denen zusätzliche Merkmale zwischen dem ersten und dem zweiten Merkmal ausgebildet sind, so dass das erste und das zweite Merkmal möglicherweise nicht in direktem Kontakt stehen. Zusätzlich kann die vorliegende Offenbarung Bezugszahlen und/oder -buchstaben in den verschiedenen Beispielen wiederholen. Diese Wiederholung dient dem Zweck der Vereinfachung und Klarheit und diktiert nicht an sich eine Beziehung zwischen den verschiedenen diskutierten Ausführungsformen und/oder Konfigurationen.
  • Ferner können hierin räumlich relative Begriffe, wie etwa „darunter“, „unter“, „tieferer“, „über“, „oberer“ und dergleichen, zur Vereinfachung der Beschreibung verwendet werden, um die Beziehung eines Elements oder Merkmals zu einem oder mehreren anderen Element(en) oder Merkmal(en), wie in den Figuren veranschaulicht, zu beschreiben. Es ist vorgesehen, dass die räumlich relativen Begriffe unterschiedliche Orientierungen der Vorrichtung im Gebrauch oder im Betrieb zusätzlich zu der in den Figuren gezeigten Orientierung mit einschließen. Die Vorrichtung kann auch anderweitig orientiert sein (um 90 Grad gedreht oder andere Orientierungen) und die hierin verwendeten räumlich relativen Deskriptoren können ebenfalls entsprechend interpretiert werden. Sofern nicht ausdrücklich anders angegeben, wird davon ausgegangen, dass jedes Element, das die gleiche Referenzzahl hat, die gleiche Materialkomposition aufweist und eine Dicke innerhalb eines gleichen Dickebereichs.
  • Die vorliegende Offenbarung richtet sich allgemein an Halbleitervorrichtungen und insbesondere an ferroelektrische Feldeffekttransistoren (FeFETs) mit einer Fehlausrichtungsschicht zwischen einem Leitungsband eines Halbleiterkanals und einem Ladungseinfangband einer ferroelektrischen dielektrischen Gate-Schicht und ein Verfahren zu deren Bildung.
  • Unter Bezugnahme auf 1A und 1B wird eine erste beispielhafte Struktur gemäß einer ersten Ausführungsform der vorliegenden Offenbarung veranschaulicht, die ein Halbleitersubstrat 8 enthält, das eine Halbleitermaterialschicht 10 enthält. Das Halbleitersubstrat 8 kann ein Massenhalbleitersubstrat sein, in dem sich die Halbleitermaterialschicht 10 von einer vorderen Fläche zu einer rückwärtigen Fläche erstreckt, oder es kann ein Halbleiter-auf-Isolator- (Semiconductor-on-Insulator; SOI) Substrat sein, das eine begrabene Isolierschicht (nicht gezeigt), die der Halbleitermaterialschicht 10 zugrunde liegt, und ein Handle-Substrat (nicht gezeigt), das der begrabenen Isolationsschicht zugrunde liegt, enthalten. Das Halbleitersubstrat 8 kann beispielsweise ein kommerziell erhältliches einzelkristallines Massenhalbleitersubstrat oder ein kommerziell erhältliches Halbleiter-auf-Isolator-Substrat sein.
  • Die Halbleitermaterialschicht 10 kann ein einzelkristallines Halbleitermaterial oder ein polykristallines Halbleitermaterial enthalten. In einer Ausführungsform kann die Gesamtheit der Halbleitermaterialschicht 10 ein einzelkristallines Halbleitermaterial enthalten, wie etwa einzelkristallines Silizium. Das Halbleitermaterial der Halbleitermaterialschicht 10 kann eine Dotierung eines ersten Leitfähigkeitstyps aufweisen, der ein p-Typ oder ein n-Typ sein kann. Die atomare Konzentration von Dotierstoffen des ersten Leitfähigkeitstyps in der Halbleitermaterialschicht 10 kann in einem Bereich von 1,0 × 1014/cm3 bis 3,0 × 1017/cm3 liegen, obwohl auch kleinere und größere atomare Konzentrationen verwendet werden können. In einer Ausführungsform kann die Halbleitermaterialschicht 10 im Wesentlichen aus Silizium und Dotierstoffen des ersten Leitfähigkeitstyps bestehen.
  • Flache Grabenisolationsstrukturen 12 lassen sich in einem oberen Abschnitt der Halbleitermaterialschicht 10 bilden. Flache Gräben können beispielsweise mit einer Tiefe in einem Bereich von 50 nm bis 500 nm durch die obere Fläche der Halbleitermaterialschicht 10 durch Anwenden und Strukturierung einer Photoresistschicht über der oberen Fläche der Halbleitermaterialschicht 10 gebildet werden und durch Übertragen der Struktur in der Photoresistschicht in den oberen Abschnitt der Halbleitermaterialschicht 10 unter Verwendung eines anisotropen Ätzprozesses. Die Photoresistschicht kann danach entfernt werden, beispielsweise durch Veraschung. In den flachen Gräben kann ein dielektrisches Material aufgebracht werden und überschüssige Abschnitte des Dielektrikums können von über der horizontalen Ebene, die die obere Fläche der Halbleitermaterialschicht 10 enthält, unter Verwendung eines Planarisierungsprozesses, wie etwa einem chemisch-mechanischem Polierprozess (CMP), entfernt werden. Die verbleibenden Abschnitte des dielektrischen Materials, die die flachen Gräben füllen, umfassen die flachen Grabenisolationsstrukturen 12. Die flachen Grabenisolationsstrukturen 12 können lateral Vorrichtungsbereiche umgeben, von denen einer in 1A und 1B veranschaulicht ist. In einer Ausführungsform kann jeder Vorrichtungsbereich lateral von einer flachen Grabenisolationsstruktur 12 umgeben sein. Während die vorliegende Offenbarung unter Verwendung eines einzelnen Vorrichtungsbereichs erläutert wird, versteht es sich, dass mehrere Vorrichtungsbereiche lateral von einer jeweiligen flachen Grabenisolationsstruktur 12, die in der ersten beispielhaften Struktur gebildet sein kann, umgeben wird.
  • Unter Bezugnahme auf 2A und 2B kann die obere Fläche der Halbleitermaterialschicht 10 gereinigt werden, um Verunreinigungen zu entfernen. Es kann beispielsweise eine RCA-Reinigung durchgeführt werden, um organische Verunreinigungen, Partikel, Oberflächenoxide und ionische Verunreinigungen von der oberen Fläche der Halbleitermaterialschicht 10 zu entfernen. Es kann ein Nassätzen oder Dampfätzen unter Verwendung von verdünnter Fluorwasserstoffsäure oder Fluorwasserstoffsäuredampf durchgeführt werden, um eine oxidfreie Fläche als die obere Fläche der Halbleitermaterialschicht 10 bereitzustellen. Normale Aussetzung an Luft für eine Dauer von mehr als 10 Minuten bildet im Allgemeinen eine native Oxidschicht, welche ein Oberflächenoxid des Halbleitermaterials der Halbleitermaterialschicht 10 ist. Wenn die Halbleitermaterialschicht 10 beispielsweise ein einzelkristallines Silizium enthält, kann eine Oberflächensiliziumoxidschicht auf der oberen Fläche der Halbleitermaterialschicht 10 gebildet werden. Die Oberflächensiliziumoxidschicht wird hierin als eine Grenzflächensiliziumoxidschicht 51 bezeichnet und weist eine effektive Dicke in einem Bereich von 0,1 nm bis 0,8 nm auf. Wie hierin verwendet, ist die effektive Dicke einer Materialschicht die Summe aller Volumen der Materialschicht dividiert durch die Fläche der Materialschicht. Die effektive Dicke der Grenzflächensiliziumoxidschicht 51 kann kleiner sein als die Dicke einer Monoschicht von Siliziumoxid (die etwa 0,3 nm beträgt). In diesem Fall kann die Grenzflächensiliziumoxidschicht 51 als mehrere diskrete Abschnitte oder als eine kontinuierliche Schicht mit mehreren Löchern dadurch gebildet sein. Alternativ kann die effektive Dicke der Grenzflächensiliziumoxidschicht 51 größer als die Dicke einer Monoschicht von Siliziumoxid sein. In diesem Fall kann die Grenzflächensiliziumoxidschicht 51 als eine kontinuierliche Materialschicht ohne ein Loch dadurch gebildet sein.
  • Die Dicke der Grenzflächensiliziumoxidschicht 51 nimmt im Allgemeinen mit der Dauer der Aussetzungszeit an Luft zu. Falls der Sauerstoffgehalt in der Umgebungsluft höher als normal ist oder falls die Umgebungstemperatur über Raumtemperatur (d.h. 20 Grad Celsius) liegt, kann die Dicke der Grenzflächensiliziumoxidschicht 51 zunehmen. Die Dicke der Grenzflächensiliziumoxidschicht 51 tendiert nach langer Einwirkung von Luft zur Sättigung.
  • Eine dielektrische Metalloxidschicht kann direkt auf der Grenzflächensiliziumoxidschicht 51 durch direktes Aufbringen eines dielektrischen Metalloxidmaterials oder durch Aufbringen eines Metalls und nachfolgendem Oxidieren des Metalls gebildet werden. Aufbringen des dielektrischen Metalloxidmaterials kann durch einen Atomlagenabscheidungsprozess, einem chemischen Dampfabscheidungsprozess oder einem physikalischen Dampfabscheidungsprozess (d.h. einem Sputterprozess) durchgeführt werden. Aufbringen eines Metalls kann durch einen physikalischen Dampfabscheidungsprozess (PVD), einen chemischen Dampfabscheidungsprozess (CVD) oder einen Atomlagenabscheidungsprozess (ALD) durchgeführt werden. Oxidation des Metalls kann durch einen Plasmaoxidationsprozess oder einen thermischen Oxidationsprozess bei einer erhöhten Temperatur, die in einem Bereich von 300 Grad Celsius bis 600 Grad Celsius liegen kann, durchgeführt werden.
  • Gemäß einer Ausführungsform der vorliegenden Offenbarung enthält die dielektrische Metalloxidschicht ein dielektrisches Metalloxidmaterial, das die Bandstruktur eines ferroelektrischen Materials, das anschließend darauf aufzubringen ist, verschieben. Als solches enthält das dielektrische Metalloxidmaterial ein Material, das sich von dem ferroelektrischen Material, das nachfolgend aufzubringen ist, unterscheidet. Ein ferroelektrisches Material enthält im Allgemeinen ein Ladungseinfangband, das ein Energieband zwischen dem Valenzband und dem Leitungsband des ferroelektrischen Materials ist. Das Ladungseinfangband kann durch Grenzflächenladungsfallen verursacht werden, die inhärent in dem ferroelektrischen Material vorhanden sind. Das dielektrische Material der dielektrischen Metalloxidschicht kann so gewählt werden, dass es das Ladungseinfangband des ferroelektrischen Materials, das nachfolgend gebildet werden kann, zu verschieben, und das Ladungseinfangband des ferroelektrischen Materials zu dem elektronischen Band der Minoritätsladungsträger des Halbleitermaterials der Halbleitermaterialschicht 10 fehlauszurichten. Als solches wird die dielektrische Metalloxidschicht hierin als eine Ladungseinfangbandfehlausrichtungsschicht 52 bezeichnet.
  • Die Ladungseinfangbandfehlausrichtungsschicht 52 kann direkt auf der Grenzflächensiliziumoxidschicht 51 gebildet werden. In einer Ausführungsform kann das dielektrische Metalloxid ein Oxidmaterial, das aus mindestens einem elementaren Metall, das aus Be, Mg, Ca, Sr, Ba, Al, Ga, Sc, Y, Ti, V, Cr, Mn, Zr, Nb, Mo, Ta, W und Lathanidelemente gewählt wird, umfassen und/oder im Wesentlichen daraus bestehen. Andere geeignete Materialien können in dem angedachten Umfang der Offenbarung liegen. In einer Ausführungsform kann das dielektrische Material ein Oxidmaterial aus einem einzelnen elementaren Metall, das aus Be, Mg, Ca, Sr, Ba, Al, Ga, Sc, Y, Ti, V, Cr, Mn, Zr, Nb, Mo, Ta, W und Lanthanidelementen gewählt wird, umfassen und/oder im Wesentlichen daraus bestehen. In einer Ausführungsform kann das dielektrische Metalloxid ein Oxidmaterial, das aus mindestens zwei elementaren Metallen, die aus Be, Mg, Ca, Sr, Ba, Al, Ga, Sc, Y, Ti, V, Cr, Mn, Zr, Nb, Mo, Ta, W gewählt wird, und Lathanidelemente umfassen und/oder im Wesentlichen daraus bestehen. Die Ladungseinfangbandfehlausrichtungsschicht 52 kann eine effektive Dicke in einem Bereich von 0,1 nm bis 0,5 nm aufweisen. Das dielektrische Material der Ladungseinfangbandfehlausrichtungsschicht 52 induziert Verschieben des Ladungseinfangbandes des ferroelektrischen Materials, das nachfolgend relativ zu einer Bandstruktur des Halbleitermaterials bei Anlegen eines externen elektrischen Feldes zu bilden ist.
  • Ein ferroelektrisches dielektrisches Material kann nachfolgend direkt auf der Ladungseinfangbandfehlausrichtungsschicht 52 aufgebracht werden, um eine ferroelektrische Materialschicht zu bilden, die als eine Komponentenschicht eines Gate-Dielektrikums eines Feldeffekttransistors verwendet wird. Als solches wird die aufgebrachte ferroelektrische Materialschicht hierin als eine ferroelektrische dielektrische Gate-Schicht 53 bezeichnet. Das ferroelektrische dielektrische Material der ferroelektrischen dielektrischen Schicht 53 kann aus Pb(ZrxTi1-x)O3, wobei x ein Bereich von 0 bis 1 ist (d.h. Bleizirkonattitanat (PZT)), PbZrO3 (PZ), PbTiO3 (PT), HfO2 (d.h. Hafniumoxid), ZrO2 (d.h. Zirkoniumoxid)), HfxZr1-xO2, wobei x zwischen 0 und 1 liegt (d.h. Hafniumzirkoniumoxid), NH4H2PO4 (ADP), KH2PO4 (KDP), LiNbO3 (LN), LiTaO3 (LT), BaTiO3 (BT), (Pb,La)TiO3 (PLT) und (Pb,La)(Zr,Ti)O3 und dotierten Varianten davon gewählt werden, ist aber nicht darauf beschränkt. Andere geeignete Materialien können in dem angedachten Umfang der Offenbarung liegen. Die ferroelektrische dielektrische Gate-Schicht 53 kann beispielsweise durch einen physikalischen Dampfabscheidungsprozess (PVF) von einem Sputtertarget aufgebracht werden. Die Dicke der ferroelektrischen dielektrischen Gate-Schicht 53 kann in einem Bereich von 3 nm bis 20 nm liegen, wie etwa von 4,5 nm bis 10 nm, obwohl auch kleinere oder größere Dicken verwendet werden können. Die ferroelektrische dielektrische Gate-Schicht 53 kann dick genug sein, um einen dielektrischen Durchschlag während des Betriebs eines Feldeffekttransistors zu vermeiden und sie kann dünn genug sein, um ein hinreichend starkes elektrisches Feld auf einen Halbleiterkanal anzulegen, der an der Fläche der Halbleitermaterialschicht 10 gebildet ist.
  • Die ferroelektrische dielektrische Gate-Schicht 53 kann über ein Ladungseinfangband verfügen, das elektronische Zustände enthält, die durch Grenzflächenfallen des ferroelektrischen dielektrischen Materials erzeugt werden. Das dielektrische Material der Ladungseinfangbandfehlausrichtungsschicht 52 verschiebt eine Bandstruktur des ferroelektrischen dielektrischen Materials der ferroelektrischen dielektrischen Gate-Schicht 53. Dementsprechend kann das Ladungseinfangband des ferroelektrischen dielektrischen Materials durch das dielektrische Material der Ladungseinfangbandfehlausrichtungsschicht 52 relativ zu einer vergleichenden beispielhaften Struktur, in der die Ladungseinfangbandfehlausrichtungsschicht 52 weggelassen wird, verschoben werden. Das Ladungseinfangband des ferroelektrischen dielektrischen Materials der ferroelektrischen dielektrischen Gate-Schicht 53 kann von dem elektronischen Band der Minoritätsladungsträger des Halbleitermaterials der Halbleitermaterialschicht 10 entlang einer Richtung verschoben werden, die die Energieseparation zwischen der Fermi-Ebene in der Halbleitermaterialschicht 10 und dem Ladungseinfangband des ferroelektrischen dielektrischen Materials der ferroelektrischen dielektrischen Gate-Schicht 53 während des Betriebs eines Feldeffekttransistors erhöht.
  • Eine Gate-Elektrodenmaterialschicht 54L kann anschließend über der ferroelektrischen dielektrischen Gate-Schicht 53 aufgebracht werden. Die Gate-Elektrodenmaterialschicht 54L enthält mindestens ein leitfähiges Material. Die Gate-Elektrodenmaterialschicht 54L kann beispielsweise ein Metall, eine intermetallische Legierung, eine Metallhalbleiterlegierung, ein leitfähiges Metalloxid, ein leitfähiges Metallnitrid, ein leitfähiges Metallkarbid und/oder ein dotiertes Halbleitermaterial, das Dotierstoffe vom p-Typ oder Dotierstoffe vom n-Typ mit einer durchschnittlichen Atomkonzentration in einem Bereich von 5,0 × 1019/cm3 bis 2,0 × 1021/cm3 enthält, enthalten. Die Gate-Elektrodenmaterialschicht 54L kann aus einem einzelnen leitfähigen Material oder einem Stapel mehrerer leitfähiger Materialien bestehen. Das unterste Material in der Gate-Elektrodenmaterialschicht 54L kann ausgewählt werden, um eine geeignete Arbeitsfunktion für die Gate-Elektroden, die nachfolgend durch Strukturierung der Gate-Elektrodenmaterialschicht 54L zu bilden sind, bereitzustellen. Die Gate-Elektrodenmaterialschicht 54L kann durch chemische Dampfabscheidung, physikalische Dampfabscheidung, Vakuumverdampfung und/oder Atomlagenabscheidung gebildet werden. Die Dicke der Gate-Elektrodenmaterialschicht 54L kann in einem Bereich von 50 nm bis 300 nm liegen, obwohl auch kleinere und größere Dicken verwendet werden können.
  • Über der Gate-Elektrodenmaterialschicht 54L kann eine dielektrische Gate-Cap-Schicht 58L gebildet werden. Die dielektrische Gate-Cap-Schicht 58L enthält ein Diffusionssperrmaterial, wie etwa Siliziumnitrid. Andere geeignete Materialien liegen in dem angedachten Umfang der Offenbarung. Die Dicke der dielektrischen Gate-Cap-Schicht 58L kann in einem Bereich von 20 nm bis 60 nm liegen, obwohl auch kleinere und größere Dicken verwendet werden können.
  • Unter Bezugnahme auf 3A und 3B kann eine Photoresistschicht (nicht gezeigt) über die Gate-Elektrodenmaterialschicht 54L aufgetragen und lithographisch strukturiert werden, um Linienstrukturen zu bilden, die sich über jeweilige eine der Vorrichtungsbereiche erstrecken. Es kann ein anisotroper Ätzprozess (wie etwa ein Reaktionsionenätzprozess) durchgeführt werden, um die Struktur in der Photoresistschicht durch den Schichtstapel der dielektrischen Gate-Cap-Schicht 58L, die Gate-Elektrodenmaterialschicht 54L, die ferroelektrische dielektrische Gate-Schicht 53, die Ladungseinfangbandfehlausrichtungsschicht 52 und die Grenzflächensiliziumoxidschicht 51 zu übertragen. Die Halbleitermaterialschicht 10 und die flachen Grabenisolationsstrukturen 12 können als Ätzstoppstrukturen verwendet werden.
  • Verbleibende Abschnitte des Schichtstapels der dielektrischen Gate-Cap-Schicht 58L, die Gate-Elektrodenmaterialschicht 54L, die ferroelektrische dielektrische Gate-Schicht 53, die Ladungseinfangbandfehlausrichtungsschicht 52 und die Grenzflächensiliziumoxidschicht 51 umfassen Gate-Stapelstrukturen (51, 52, 53, 54, 58). Jede Gate-Stapelstruktur (51, 52, 53, 54, 58) kann, von unten nach oben, eine Grenzflächensiliziumoxidschicht 51, eine Ladungseinfangbandfehlausrichtungsschicht 52, eine ferroelektrische dielektrische Gate-Schicht 53, eine Gate-Elektrode 54 und ein dielektrisches Gate-Cap 58 enthalten. Die Grenzflächensiliziumoxidschicht 51 in jeder Gate-Stapelstruktur (51, 52, 53, 53, 58) kann ein strukturierter Abschnitt der Grenzflächensiliziumoxidschicht 51 sein, wie sie in den Verarbeitungsschritten in 2A und 2B gebildet wird. Die Ladungseinfangbandfehlausrichtungsschicht 52 in jeder Gate-Stapelstruktur (51, 52, 53, 54, 58) kann ein strukturierter Abschnitt der Ladungseinfangbandfehlausrichtungsschicht 52 sein, wie sie in den Verarbeitungsschritten in 2A und 2B gebildet wird. Die ferroelektrische dielektrische Gate-Schicht 53 in jeder Gate-Stapelstruktur (51, 52, 53, 54,48) kann ein strukturierter Abschnitt der ferroelektrischen dielektrischen Gate-Schicht 53 sein, wie sie in den Verarbeitungsschritten in 2A und 2B gebildet wird. Die Gate-Elektrode 54 in jeder Gate-Stapelstruktur (51, 52, 53, 54, 58) kann ein strukturierter Abschnitt der Gate-Elektrodenmaterialschicht 54L sein, wie sie in den Verarbeitungsschritten in 2A und 2B gebildet wird. Das dielektrische Gate-Cap 58 in jeder Gate-Stapelstruktur (51, 52, 53, 54, 58) kann ein strukturierter Abschnitt der dielektrischen Gate-Cap-Schicht 58L sein, wie sie in den Verarbeitungsschritten in 2A und 2B gebildet wird.
  • Die Seitenwände jeder Gate-Stapelstruktur (51, 52, 53, 54, 58) können vertikal mit Seitenwänden der strukturierten Photoresistschicht zusammenfallen. Mit anderen Worten, die Seitenwände jeder Gate-Stapelstruktur (51, 52, 53, 54, 58) können sich innerhalb der gleichen vertikalen Ebenen befinden wie die Seitenwände eines jeweiligen darüberliegenden, strukturierten Abschnitts der Photoresistschicht. In einer Ausführungsform können die Seitenwände der Grenzflächensiliziumoxidschicht 51, die Seitenwände der Ladungseinfangbandfehlausrichtungsschicht 52, die Seitenwände der ferroelektrischen dielektrischen Gate-Schicht 53 und die Seitenwände der Gate-Elektrode 54 in jeder Gate-Stapelstruktur (51, 52, 53, 54, 58) vertikal miteinander zusammenfallen. Die Photoresistschicht kann danach entfernt werden, beispielsweise durch Veraschung.
  • Unter Bezugnahme auf 4A und 4B kann ein Erweiterungsionenimplantationsprozess durchgeführt werden, um Dotierstoffe eines zweiten Leitfähigkeitstyps in unmaskierte Flächenabschnitte der Halbleitermaterialschicht 10 zu implantieren. Der zweite Leitfähigkeitstyp ist das Gegenteil des ersten Leitfähigkeitstyps. Wenn der erste Leitfähigkeitstyp beispielsweise vom p-Typ ist, ist der zweite Leitfähigkeitstyp vom n-Typ und umgekehrt. Die implantierten Flächenabschnitte der Halbleitermaterialschicht 10 können in dotierte Halbleiterbereiche mit einer Dotierung des zweiten Leitfähigkeitstyps gewandelt werden. Ein Source-Erweiterungsbereich 32E und ein Drain-Erweiterungsbereich 38E können in jedem Vorrichtungsbereich zum Bilden eines Feldeffekttransistors durch Umwandlung der Flächenabschnitte der Halbleitermaterialschicht 10 in dotierte Halbleitermaterialabschnitte mit einer Dotierung vom zweiten Leitfähigkeitstyp gebildet werden. Die durchschnittliche atomare Konzentration von Dotierstoffen des zweiten Leitfähigkeitstyps in den Source-Erweiterungsbereichen 32E und den Drain-Erweiterungsbereichen 38E können in einem Bereich von 1,0 × 1019/cm3 bis 1,0 × 1021/cm3 liegen, obwohl auch kleinere und größere Dotierstoffkonzentrationen verwendet werden können. Die Tiefe der Source-Erweiterungsbereiche 32E und der Drain-Erweiterungsbereiche 38E können in einem Bereich von 10 nm bis 100 nm liegen, obwohl auch kleinere und größere Tiefen verwendet werden können. Jeder Flächenabschnitt der Halbleitermaterialschicht 10, die einer Gate-Stapelstruktur (51, 52, 53, 54, 58) zugrunde liegt und sich zwischen einem benachbarten Paar aus einem Source-Erweiterungsbereich 32E und einem Drain-Erweiterungsbereich 38E befindet, kann einen Halbleiterkanal 35 umfassen. Jeder Halbleiterkanal 35 erstreckt sich zwischen einem Source-Erweiterungsbereich 32E und einem Drain-Erweiterungsbereich 38E und kann einen Dotierstoff des ersten Leitfähigkeitstyps aufweisen. Optional kann eine Halo-Implantation durchgeführt werden, um Dotierstoffe des ersten Leitfähigkeitstyps in Bereiche zu implantieren, die unter den Gate-Stapelstrukturen (51, 52, 53, 54, 58) liegen.
  • Mindestens ein dielektrisches Material, wie etwa Siliziumnitrid und/oder Siliziumoxid, kann konform über die Gate-Stapelstrukturen (51, 52, 53, 54, 58), die Source-Erweiterungsbereiche 32E, die Drain-Erweiterungsbereiche 38E und die flachen Grabenisolationsstrukturen 12 aufgebracht werden. Das mindestens eine dielektrische Material kann anisotrop geätzt sein (beispielsweise durch einen reaktiven Ionenätzprozess), um horizontale Abschnitte zu entfernen. Jeder verbleibende vertikale Abschnitt, der eine jeweilige Gate-Stapelstruktur (51, 52, 53, 54, 58) lateral umgibt, umfasst einen Gate-Abstandshalter 56, der das mindestens eine dielektrische Material enthält. Obwohl für eine Gate-Stapelstruktur (51, 52, 53, 54, 58) nur ein einziger Gate-Abstandshalter 56 veranschaulicht ist, werden hierin auch ausdrücklich Ausführungsformen in Betracht gezogen, bei denen mehrere Gate-Abstandshalter auf einer Gate-Stapelstruktur (51, 52, 53, 54, 58) durch sequenzielles Aufbringen und anisotropes Ätzen mehrerer dielektrischer Materialien gebildet werden. In einer Ausführungsform kann ein Gate-Abstandshalter 56 eine Gate-Stapelstruktur (51, 52, 53, 54, 58) lateral umgeben und topologisch homöomorph zu einem Torus sein, d.h. er kann kontinuierlich in einen Torus verformt sein, ohne eine neue Öffnung zu bilden oder eine bestehende Öffnung zu zerstören. Die Breite jedes Gate-Abstandshalters 56 an dem Basisabschnitt (d.h. die laterale Entfernung zwischen einer inneren Seitenwand und einer äußeren Seitenwand) kann in einem Bereich von 20 nm bis 100 nm liegen, obwohl auch kleinere und größere Breiten verwendet werden können.
  • Unter Bezugnahme auf 5A und 5B können Dotierstoffe des zweiten Leitfähigkeitstyps in unmaskierte Abschnitte der Source-Erweiterungsbereiche 32E, die Drain-Erweiterungsbereiche 38E und darunter liegende Bereiche der Halbleitermaterialschicht 10 implantiert werden. Implantierte Abschnitte der Source-Erweiterungsbereiche 32E, der Drain-Erweiterungsbereiche 38E und der Halbleitermaterialschicht 10 bilden tiefe Source-Bereiche 32D und tiefe Drain-Bereiche 38D. Die durchschnittliche atomare Konzentration von Dotierstoffen des zweiten Leitfähigkeitstyps in den tiefen Source-Bereichen 32D und den tiefen Drain-Bereichen 38D können in einem Bereich von 5,0 × 1019/cm3 bis 2,0 × 1021/cm3liegen, obwohl auch kleinere und größere Dotierstoffkonzentrationen verwendet werden können. Die durchschnittliche atomare Konzentration von Dotierstoffen des zweiten Leitfähigkeitstyps in den tiefen Source-Bereichen 32D und den tiefen Drain-Bereichen 38D ist höher als die durchschnittliche atomare Konzentration von Dotierstoffen des zweiten Leitfähigkeitstyps in den verbleibenden Abschnitten der Source-Erweiterungsbereiche 32E und der Drain-Erweiterungsbereiche 38E. Die Tiefe der tiefen Source-Bereiche 32D und der tiefen Drain-Bereiche 38D können in einem Bereich von 40 nm bis 300 nm liegen, obwohl auch kleinere und größere Tiefen verwendet werden können. Die Tiefe der tiefen Source-Bereiche 32D und der tiefen Drain-Bereiche 38D können größer sein als die Tiefe der verbleibenden Abschnitte der Source-Erweiterungsbereiche 32E und der Drain-Erweiterungsbereiche 38E. Ein tiefer Source-Bereich 32D und ein tiefer Drain-Bereich 38D können innerhalb jedes Vorrichtungsbereichs gebildet werden. Jede Kombination eines Source-Erweiterungsbereichs 32E und eines tiefen Source-Bereichs 32D bildet einen Source-Bereich 32. Jede Kombination eines Drain-Erweiterungsbereichs 38E und eines tiefen Drain-Bereichs 38D bildet einen Drain-Bereich 38. Generell lassen sich ein Source-Bereich 32 und ein Drain-Bereich 38 in dem Halbleitermaterial der Halbleitermaterialschicht 10 innerhalb jedes Vorrichtungsbereichs bilden, der seitlich von einer flachen Grabenisolationsstruktur 12 umgeben ist. Ein Halbleiterkanal 35 erstreckt sich zwischen dem Source-Bereich 32 und dem Drain-Bereich 38 unter einem Schichtstapel einer Gate-Stapelstruktur (51, 52, 53, 54, 58).
  • Unter Bezugnahme auf 6A und 6B kann ein dielektrisches Material über den Gate-Stapelstrukturen (51, 52, 53, 54, 58), den Source-Bereichen 32, den Drain-Bereichen 38 und den flachen Grabenisolationsstrukturen 12 aufgebracht werden. Das dielektrische Material kann ein planarisierbares dielektrisches Material enthalten, wie etwa undotiertes Silikatglas oder ein dotiertes Silikatglas, oder ein selbstplanarisierendes dielektrisches Material, wie etwa fließfähiges Oxid (FOX). Das dielektrische Material kann durch einen chemischen Dampfabscheidungsprozess (wie etwa einem plasmaunterstützten chemischen Dampfabscheidungsprozess) oder durch Spin-Beschichtung aufgebracht werden. Die obere Fläche des dielektrischen Materials kann während oder nach dem Abscheidungsprozess planarisiert werden. Es wird eine dielektrische Materialschicht mit einer planaren (d.h. horizontalen) oberen Fläche gebildet, durch die später Kontakt über Strukturen gebildet wird. Als solches wird die dielektrische Materialschicht hierin als eine dielektrische Schicht auf Kontaktebene 70 bezeichnet. Die obere Fläche der dielektrischen Schicht auf Kontaktebene 70 kann planar sein und sich über der oberen Fläche des dielektrischen Gate-Cap 58 befinden. Der vertikale Abstand zwischen der oberen Fläche der dielektrischen Schicht auf Kontaktebenen 70 und die oberen Flächen der dielektrischen Gate-Caps 58 können in einem Bereich von 30 nm bis 400 nm liegen, obwohl auch kleinere und größere Dicken verwendet werden können.
  • Eine Photoresistschicht (nicht gezeigt) kann über die dielektrische Schicht auf Kontaktebene 70 aufgebracht werden und kann lithographisch strukturiert werden, um diskrete Öffnungen dadurch zu bilden. Die Öffnungen in der Photoresistschicht können über den Source-Bereichen 32, den Drain-Bereichen 38 und den Gate-Elektroden 54 gebildet werden. Ein anisotroper Ätzprozess kann durchgeführt werden, um Durchkontaktierungshohlräume (72, 75, 78) durch die dielektrische Schicht auf Kontaktebene 70 zu bilden. Die Durchkontaktierungshohlräume (72, 75, 78) enthalten Source-Durchkontaktierungshohlräume 72, die sich von der oberen Fläche der dielektrischen Schicht auf Kontaktebene 70 zu einer oberen Fläche eines jeweiligen einen der Source-Bereiche 32 erstrecken, Drain-Durchkontaktierungshohlräume 78, die sich von der oberen Fläche der dielektrischen Schicht auf Kontaktebene 70 zu einer oberen Fläche eines jeweiligen einen der Drain-Bereiche 38 erstrecken, und Gate-Durchkontaktierungshohlräume 75, die sich von der oberen Fläche der dielektrischen Schicht auf Kontaktebene 70 zu einer oberen Fläche eines jeweiligen einen der Gate-Elektroden 54 erstrecken.
  • Unter Bezugnahme auf 7A und 7B kann ein Metall, das eine Metallhalbleiterlegierung bilden kann, in die Durchkontaktierungshohlräume (72, 75, 78) über ein konformes oder nichtkonformes Abscheidungsverfahren aufgebracht werden. Wenn die Halbleitermaterialschicht 10 dotiertes Silizium umfasst und/oder im Wesentlichen daraus besteht, kann das Metall ein Material sein, das ein Metallsilizid bilden kann. Das Metall kann beispielsweise Nickel, Titan, Wolfram, Molybdän, Platin oder ein anderes Metall, das ein Metallsilizid bildet, umfassen. Es kann ein Glühprozess bei einer erhöhten Temperatur durchgeführt werden, um Bildung eines Metallsilizidmaterials zu induzieren. Die erhöhte Temperatur kann in einem Bereich von 500 Grad Celsius bis 750 Grad Celsius liegen. Nicht reagierte Abschnitte des Metalls können durch einen Nassätzprozess entfernt werden, der das Metall selektiv zu dem Metallsilizidmaterial ätzt. Verbleibende Abschnitte des Metallsilizidmaterials umfassen Source-seitige Metallhalbleiterlegierungsbereiche 42, die einen jeweiligen Source-Bereich 32 kontaktieren, Drain-seitige Metallhalbleiterlegierungsbereiche 48, die einen jeweiligen Drain-Bereich 38 kontaktieren, und Gate-seitige Metallhalbleiterlegierungsbereiche 45, die eine jeweilige Gate-Elektrode 54 kontaktieren (falls das oberste Material der Gate-Elektroden 54 vor dem Glühprozess Silizium enthält).
  • Es kann eine metallische Auskleidung, die Diffusionssperrmaterial umfasst, an Peripherieabschnitten der verbleibenden Volumen der Durchkontaktierungshohlräume (72, 75, 78) aufgebracht werden. Die metallische Auskleidung enthält ein leitfähiges, metallisches Nitridmaterial (wie etwa TiN, TaN oder WN) und/oder ein metallisches Karbidmaterial (wie etwa TiC, TaC oder WC). Die Dicke der metallischen Auskleidung kann in einem Bereich von 3 nm bis 15 nm liegen, obwohl auch kleinere und größere Dicken verwendet werden können.
  • Ein metallisches Füllmaterial, wie etwa Cu, W, Mo, Co, Ru und/oder ein anderes elementares Metall, oder eine intermetallische Legierung kann in verbleibenden Volumen der Durchkontaktierungshohlräume (72, 75, 78) aufgebracht werden. Abschnitte des metallischen Füllmaterials und der metallischen Auskleidung, die sich über der horizontalen Ebene befinden, einschließlich der oberen Fläche der dielektrischen Schicht auf Kontaktebene 70, können durch einen Planarisierungsprozess entfernt werden. Jede Kombination eines verbleibenden Abschnitts des metallischen Füllmaterials und der metallischen Auskleidung, die einen jeweiligen der Durchkontaktierungshohlräume (72, 75, 78) füllt, bildet eine Durchkontaktierungsstruktur (82, 88, 85). Die Durchkontaktierungsstrukturen (82, 88, 85) enthalten Source-Durchkontaktierungsstrukturen 82, die einen jeweiligen Source-seitigen Metallhalbleiterlegierungsbereich 42 kontaktieren, Drain-Durchkontaktierungsstrukturen 88, die einen jeweiligen Drain-seitigen Metallhalbleiterlegierungsbereich 48 kontaktieren, und Gate-Durchkontaktierungsstrukturen 85, die einen jeweiligen Gate-seitigen Metallhalbleiterbereich 45 oder eine jeweilige Gate-Elektrode 54 kontaktieren (falls keine Gate-seitigen Metallhalbleiterlegierungsbereiche 45 gebildet werden). Jede Source-Durchkontaktierungsstruktur 82 enthält eine Source-seitige metallische Auskleidung 82L und einen Source-seitigen metallischen Füllmaterialabschnitt 82F. Jede Drain-Durchkontaktierungsstruktur 88 enthält eine Drain-seitige metallische Auskleidung 88L und einen Drain-seitigen metallischen Füllmaterialabschnitt 88F. Jede Gate-Durchkontaktierungsstruktur 85 enthält eine Gate-seitige metallische Auskleidung 85L und einen Gate-seitigen metallischen Füllmaterialabschnitt 85F. Die erste beispielhafte Struktur enthält einen ferroelektrischen Feldeffekttransistor, der eine Ladungseinfangbandfehlausrichtungsschicht 52 als eine Komponente eines Gate-Dielektrikums (51, 52, 53) enthält.
  • In einer Ausführungsform kann der ferroelektrische Feldeffekttransistor in 7A und 7B verwendet werden, um eine ferroelektrische Speichervorrichtung zu bilden. In der ersten beispielhaften Struktur können zusätzliche Vorrichtungen, wie etwa Feldeffekttransistoren für eine Gate-Vorspannschaltung und eine Abtastschaltung, gleichzeitig mit Bildung des Feldeffekttransistors, der in 2A bis 7B veranschaulicht ist, gebildet werden. Dielektrische Materialschichten auf Verbindungsebene und Metallverbindungsstrukturen können über der dielektrischen Schicht auf Kontaktebene 70 gebildet werden, um elektrische Verbindungen zwischen den verschiedenen Knoten des in 7A und 7B veranschaulichten Feldeffekttransistors, der Gate-Vorspannschaltung und der Abtastschalung gebildet werden. 7C veranschaulicht ein Schaltkreisschema einer ferroelektrischen Speichervorrichtung, die die erste beispielhafte Struktur der 7A und 7B enthält. Der ferroelektrische Feldeffekttransistor enthält einen Flächenabschnitt des Halbleitermaterials der Halbleitermaterialschicht 10 als einen Halbleiterkanal 35.
  • Eine ferroelektrische Speichervorrichtung gemäß einer Ausführungsform der vorliegenden Offenbarung enthält den in 7A und 7B veranschaulichten ferroelektrischen Feldeffekttransistor 100, eine Gate-Vorspannschaltung 120, die konfiguriert ist, einen On-Zustand und einen Off-Zustand für den ferroelektrischen Feldeffekttransistor durch Anlegen einer On-Spannung bzw. einer Off-Spannung bereitzustellen, und eine Abtastschaltung 140, die konfiguriert ist, eine Richtung von elektrischer Polarisation in der ferroelektrischen dielektrischen Gate-Schicht 53 durch Messen einer Größenordnung des elektrischen Stroms zwischen dem Source-Bereich 32 und dem Drain-Bereich 38 zu messen, während eine Gate-Abtastspannung an die Gate-Elektrode 54 angelegt ist. Im Allgemeinen kann sich die Gate-Elektrode 54 auf der ferroelektrischen dielektrischen Gate-Schicht 53 befinden und sie kann konfiguriert sein, einen On-Zustand und einen Off-Zustand für den ferroelektrischen Feldeffekttransistor durch Anlegen einer On-Spannung bzw. einer Off-Spannung von der Gate-Vorspannschaltung 120 bereitzustellen. In einem veranschaulichenden Beispiel kann die On-Spannung eine Größenordnung in einem Bereich von 0,5 V bis 5 V aufweisen und die Off-Spannung kann eine Größenordnung in einem Bereich von 0 V bis 0,3 V aufweisen.
  • Das ferroelektrische Material der ferroelektrischen dielektrischen Gate-Schicht 53 kann über ein Ladungseinfangband verfügen, das elektronische Zustände enthält, die durch Grenzflächenfallen des ferroelektrischen Materials erzeugt werden. Die Ladungseinfangbandfehlausrichtungsschicht 52 enthält ein dielektrisches Material, das Verschieben des Ladungseinfangbands des ferroelektrischen Materials relativ zu einer Bandstruktur des Halbleitermaterials der Halbleitermaterialschicht 10, das bei Fehlen des äußeren elektrischen Feldes und bei Anlegen eines äußeren elektrischen Feldes vorhanden ist, induzieren.
  • Unter Bezugnahme auf 8A, 8B, 9A und 9B werden Banddiagramme für zwei Materialstapel unter zwei unterschiedlichen elektrischen Vorspannungsbedingungen gezeigt. 8A ist ein Banddiagramm eines Materialstapels, der den Halbleiterkanal 35 und die Gate-Stapelstruktur (51, 52, 53, 54, 58) ohne das dielektrische Gate-Cap 58 in dem Feldeffekttransistor in der ersten beispielhaften Struktur in 7A und 7B enthält, wenn die an der Gate-Elektrode 54 (relativ zu dem Halbleiterkanal 35) angelegte Spannung Null (d.h. eine Off-Spannung) ist. 8B ist ein Banddiagramm des Materialstapels in 8A, wenn die an der Gate-Elektrode 54 angelegte Spannung eine positive Spannung (d.h. eine On-Spannung) ist, die den Feldeffekttransistor einschaltet. 9A ist ein Banddiagramm eines vergleichenden beispielhaften Materialstapels, der aus dem Materialstapel in 8A durch Entfernen einer Ladungseinfangbandfehlausrichtungsschicht abgeleitet wird, wenn die an der Gate-Elektrode 54 angelegte Spannung Null ist. Mit anderen Worten, der vergleichende beispielhafte Materialstapel besteht, von einer Seite zur anderen, aus einem Halbleiterkanal 35, einer Grenzflächensiliziumoxidschicht 51, einer ferroelektrischen dielektrischen Gate-Schicht 53 und einer Gate-Elektrode 54. 9B ist ein Banddiagramm des vergleichenden beispielhaften Materialstapels in 9A, wenn die an der Gate-Elektrode angelegte Spannung eine positive Spannung (d.h. die On-Spannung) ist, die den Feldeffekttransistor einschaltet. Die On-Spannung und die Off-Spannung können beispielsweise von der Gate-Vorspannungsschaltung 120 bereitgestellt werden.
  • Die verschiedenen Banddiagramme veranschaulichen das Valenzband 35V des Halbleiterkanals 35, das Leitungsband 35C des Halbleiterkanals 35, das Valenzband 51V der Grenzflächensiliziumoxidschicht 51, das Leitungsband 51C der Grenzflächensiliziumoxidschicht 51, das Valenzband 52V der Ladungseinfangbandfehlausrichtungsschicht 52, das Leitungsband 52C der Ladungseinfangbandfehlausrichtungsschicht 52, das Valenzband 53V der ferroelektrischen dielektrischen Gate-Schicht 53, das Leitungsband 53C der ferroelektrischen dielektrischen Gate-Schicht 53, das Ladungseinfangband 53T der ferroelektrischen dielektrischen Gate-Schicht 53 und die Fermi-Ebene 54F innerhalb der Gate-Elektrode 54 für jede elektrische Vorspannungsbedingung für die Kombination eines Halbleiterkanals 35 und eines Gate-Stapels der ersten beispielhaften Struktur und für die Kombination aus einem Halbleiterkanal und dem vergleichenden beispielhaften Materialstapel ohne eine Ladungseinfangbandfehlausrichtungsschicht.
  • 9B veranschaulicht, dass sich das Ladungseinfangband 53T der ferroelektrischen dielektrischen Gate-Schicht 53 auf dem gleichen Energieniveau befindet, wie das Fermi-Niveau für die Kombination des Halbleiterkanals und des vergleichenden beispielhaften Materialstapels ohne eine Ladungseinfangbandfehlausrichtungsschicht, wenn ein ferroelektrischer Feldeffekttransistor eingeschaltet ist. Demgegenüber veranschaulicht 8B, dass das Ladungseinfangband 53T der ferroelektrischen dielektrischen Gate-Schicht 53 von dem Fermi-Niveau für die Kombination des Halbleiterkanals 35 und der Gate-Stapelstruktur (51, 52, 53, 54, 58) ohne das dielektrische Gate-Cap 58 der vorliegenden Offenbarung versetzt ist, wenn der ferroelektrische Feldeffekttransistor der ersten beispielhaften Struktur eingeschaltet ist. Elektroneneinfang in dem Ladungseinfangband 53T der ferroelektrischen dielektrischen Gate-Schicht 53 während Betriebs des ferroelektrischen Feldeffekttransistors der ersten beispielhaften Struktur wird durch Versetzen des Energieniveaus des Ladungseinfangbandes 53T der ferroelektrischen dielektrischen Gate-Schicht 53 von dem Fermi-Niveau während des On-Zustandes des ferroelektrischen Feldeffekttransistors der vorliegenden Offenbarung vermieden.
  • Der Anstieg des Leitungsbandes 52C und des Valenzbandes 52V der Ladungseinfangbandfehlausrichtungsschicht 52 kann in Abhängigkeit von der Größenordnung des internen elektrischen Feldes, das von den Dipolmomenten des Materials der Ladungseinfangbandfehlausrichtungsschicht erzeugt wird, positiv oder negativ sein. Im Allgemeinen kann die Ladungseinfangbandfehlausrichtungsschicht 52 ein dielektrisches Metalloxidmaterial enthalten, das ein Dipolmoment aufweist, das auf die Richtung des externen elektrischen Feldes, das von einer angelegten Spannungsvorspannung über den Halbleiterkanal 34 und die Gate-Elektrode 54 angelegt wird, ausgerichtet ist. Das Dipolmoment erzeugt ein internes elektrisches Feld, das zu dem externen elektrischen Feld antiparallel ist. Die Größenordnung des internen elektrischen Feldes kann größer als oder kleiner als die Größenordnung des externen elektrischen Feldes sein. In einer Ausführungsform kann der Anstieg des Leitungsbandes 52C und des Valenzbandes 52V der Ladungseinfangbandfehlausrichtungsschicht 52 entlang der gegenüberliegenden Richtung der Anstiege verschiedener Bänder in benachbarten Materialschichten verlaufen, wie in 9B veranschaulicht. Der gegenüberliegende Anstieg der Energiebänder (52C, 52V) innerhalb der Ladungseinfangbandfehlausrichtungsschicht 52 kann veranlassen, dass sich das Energieniveau des Ladungseinfangbandes 53T der ferroelektrischen dielektrischen Gate-Schicht 53 nach oben, von dem Fermi-Niveau fort, verschiebt, wodurch eine größere Energielücke zwischen Elektronen, die durch die ferroelektrische dielektrische Gate-Schicht 53 tunneln, und dem Energieniveau des Ladungseinfangbandes 53T der ferroelektrischen dielektrischen Gate-Schicht 53 bereitgestellt wird. In einer anderen Ausführungsform kann der Anstieg des Leitungsbandes 52C und des Valenzbandes 52V der Ladungseinfangbandfehlausrichtungsschicht 52 entlang der Richtung der Anstiege verschiedener Bänder in benachbarten Materialschichten verlaufen. Das Vorhandensein der Ladungseinfangbandfehlausrichtungsschicht 52 kann im Vergleich zu der Energielücke in der Bandstruktur der vergleichenden beispielhaften Struktur, die in 9D veranschaulicht ist, in der keine Ladungseinfangbandfehlausrichtungsschicht vorhanden ist, eine größere Energielücke zwischen Elektronen, die durch die ferroelektrische dielektrische Gate-Schicht 53 tunneln, und dem Energieniveau des Ladungseinfangbandes 53T der ferroelektrischen dielektrischen Gate-Schicht 53 induzieren.
  • Im Allgemeinen kann das Material der Ladungseinfangbandfehlausrichtungsschicht 52 derart ausgewählt werden, dass das Energieniveau des Ladungseinfangbandes 53T während des On-Zustandes von dem Fermi-Niveau versetzt ist. Das Fermi-Niveau kann während des On-Zustandes näher an dem Energieniveau der Minoritätsladungsträger des Halbleiterkanals 35 liegen. Das Energieniveau der Minoritätsladungsträger kann, falls die Minoritätsladungsträger Löcher sind, das Valenzbandniveau und, falls die Minoritätsladungsträger Elektronen sind, das Leitungsbandniveau sein. In einer Ausführungsform wird das Material der Ladungseinfangbandfehlausrichtungsschicht 52 derart ausgewählt, dass das Energieniveau des Ladungseinfangbandes 53T während des On-Zustandes von dem Energieniveau der Minoritätsladungsträger des Halbleiterkanals 35 versetzt ist.
  • Unter Bezugnahme auf 10A und 10B lässt sich eine zweite beispielhafte Struktur gemäß einer zweiten Ausführungsform der vorliegenden Offenbarung aus der ersten beispielhaften Struktur, die in 2A und 2B veranschaulicht ist, durch Modifikationen der Zusammensetzung der Halbleitermaterialschicht 10 und durch Weglassen der Bildung der Grenzflächensiliziumoxidschicht 51 und der Ladungseinfangbandfehlausrichtungsschicht 52 ableiten. In der zweiten beispielhaften Struktur kann eine Halbleitermaterialschicht 110, die Germanium enthält, anstelle der Halbleitermaterialschicht 10 der ersten Ausführungsform verwendet werden. In einer Ausführungsform enthält die Halbleitermaterialschicht 110 einkristallines Germanium, das Germaniumatome mit einer Atomkonzentration größer als 90 % und/oder größer als 95 % und/oder größer als 98 % und/oder größer als 99 % und/oder größer als 99,9 % und/oder größer als 99,99 % und/oder größer als 99,999 % enthält. Die Halbleitermaterialschicht 110 kann eine Dotierung des ersten Leitfähigkeitstyps aufweisen, der ein p- Typ oder ein n-Typ sein kann. In einer Ausführungsform liegt die atomare Konzentration von Dotierstoffen des ersten Leitfähigkeitstyps in der Halbleitermaterialschicht 110 in einem Bereich von 1,0 × 1014/cm3 bis 3,0 × 1017/cm3, obwohl auch kleinere und größere atomare Konzentrationen verwendet werden können.
  • Somit kann das Halbleitersubstrat 8 einen dotierten Germaniummaterialabschnitt mit einer Dotierung des ersten Leitfähigkeitstyps enthalten. Der dotierte Germaniummaterialabschnitt kann ein einzelkristalliner dotierter Halbleitermaterialabschnitt sein, wie in der Halbleitermaterialschicht 110 verkörpert. Die Halbleitermaterialschicht 110 kann als Massen-Germaniumsubstrat (wie etwa einem Germanium-Wafer) bereitgestellt werden oder kann als eine obere Halbleiterschicht bereitgestellt werden, die über einer isolierenden Schicht in einem Halbleiter-auf-Isolator-Substrat (SOI) liegt. Alternativ kann die Halbleitermaterialschicht 110 in einem Hostsubstrat mit einer größeren lateralen Abmessung als die Halbleitermaterialschicht 100 gebildet werden. In diesem Fall kann die Halbleitermaterialschicht 110 an dem Hostsubstrat durch Halbleiter-Halbleiter-Bonden (beispielsweise durch eine Silizium-Germanium-Legierungsschicht, die durch ein Ausglühen eines Grenzflächenbereichs zwischen der Halbleitermaterialschicht 110 und einem Siliziumsubstrat gebildet wird) oder durch ein Halbleiter-Oxid-Bonden (beispielsweise durch eine Siliziumoxidschicht oder eine Silizium-Germaniumoxidschicht) angebracht werden.
  • Die flachen Grabenisolationsstrukturen 12 können durch Durchführen der Verarbeitungsschritte in 2A und 2B durchgeführt werden. In einer Ausführungsform kann die Siliziumnitridauskleidung (nicht gezeigt) an der äußeren Peripherie jeder flachen Grabenisolationsstruktur 12 gebildet werden, um zu vermeiden, dass das Germaniummaterial in der Halbleitermaterialschicht 110 dem Siliziumoxidmaterial in den flachen Grabenisolationsstrukturen 12 ausgesetzt wird.
  • Die obere Fläche der Halbleitermaterialschicht 110 kann gereinigt werden, um Verunreinigungen zu entfernen. Der letzte Schritt des Reinigungsprozesses kann einen Reinigungsschritt umfassen, der alle Flächenoxidmaterialien von der oberen Fläche der Halbleitermaterialschicht 110 entfernt. Es kann beispielsweise eine alkalische Lösung verwendet werden, die Germaniumoxid auflöst, um Flächengermaniumoxid von der oberen Fläche der Halbleitermaterialschicht 110, die eine dotierte Germaniumschicht ist, zu entfernen. Das gereinigte Halbleitersubstrat 8 kann in einer inerten Umgebung platziert werden, wie etwa einer Transportkapsel mit Stickstoff-Umgebungsgas, um Sauerstoffexposition zu minimieren und um Bildung von Germaniumoxid auf der Fläche der Halbleitermaterialschicht 110 zu verhindern. Das Halbleitersubstrat 8 kann durch eine Vakuumladeschleuse in eine Prozesskammer geladen werden, um Bildung von Germaniumoxid auf der oberen Fläche der Halbleitermaterialschicht 110 zu verhindern.
  • Gemäß einer Ausführungsform der vorliegenden Offenbarung kann ein ferroelektrisches dielektrisches Material direkt auf die obere Fläche der Halbleitermaterialschicht 110 (die eine einzelkristalline dotierte Germaniumschicht sein kann) aufgebracht werden, um eine ferroelektrische Materialschicht ohne eine Grenzflächenoxidschicht zwischen der Halbleitermaterialschicht 110 und der ferroelektrischen Materialschicht zu bilden. Exposition der oberen Fläche der Halbleitermaterialschicht 110 gegenüber einer Sauerstoffumgebung (wie etwa Luft) lässt sich während des Transports der zweiten beispielhaften Struktur von einem Flächenreinigungswerkzeug zu der Prozesskammer zum Aufbringen der ferroelektrischen Materialschicht vermeiden oder minimieren.
  • Die ferroelektrische Materialschicht kann anschließend als eine Komponentenschicht eines Gate-Dielektrikums eines Feldeffekttransistors verwendet werden. Als solches wird die aufgebrachte ferroelektrische Materialschicht hierin als eine ferroelektrische dielektrische Gate-Schicht 53 bezeichnet. Das ferroelektrische dielektrische Material der ferroelektrischen dielektrischen Gate-Schicht 53 kann in der ersten beispielhaften Struktur jedwedes der Materialien sein, die für die ferroelektrische dielektrische Gate-Schicht 53 verwendet werden können. Die Dicke der ferroelektrischen dielektrischen Gate-Schicht 53 kann in dem gleichen Bereich liegen, wie in der ersten Ausführungsform, beispielsweise in einem Bereich von 3 nm bis 20 nm.
  • Die ferroelektrische dielektrische Gate-Schicht 53 kann über ein Ladungseinfangband verfügen, das elektronische Zustände enthält, die durch Grenzflächenfallen des ferroelektrischen dielektrischen Materials erzeugt werden. Eliminierung jeglichen Grenzflächenoxidmaterials an der Grenzfläche zwischen der Halbleitermaterialschicht 110 und der ferroelektrischen dielektrischen Gate-Schicht 53 hat den Effekt eines Verschiebens der Bandstruktur des ferroelektrischen dielektrischen Materials der ferroelektrischen dielektrischen Gate-Schicht 53 relativ zu einer vergleichenden beispielhaften Struktur, in der eine Grenzflächenoxidschicht an der Grenzfläche zwischen der Halbleitermaterialschicht 110 und der ferroelektrischen dielektrischen Gate-Schicht vorhanden ist. Das Ladungseinfangband des ferroelektrischen dielektrischen Materials der ferroelektrischen dielektrischen Gate-Schicht 53 kann von dem elektronischen Band der Minoritätsladungsträger des Halbleitermaterials der Halbleitermaterialschicht 10 entlang einer Richtung verschoben werden, die die Energieseparation zwischen der Fermi-Ebene in der Halbleitermaterialschicht 10 und dem Ladungseinfangband des ferroelektrischen dielektrischen Materials der ferroelektrischen dielektrischen Gate-Schicht 53 während des Betriebs eines Feldeffekttransistors erhöht.
  • Eine Gate-Elektrodenmaterialschicht 54L kann anschließend über der ferroelektrischen dielektrischen Gate-Schicht 53 aufgebracht werden. Die Gate-Elektrodenmaterialschicht 54L enthält mindestens ein leitfähiges Material. Die Gate-Elektrodenmaterialschicht 54L kann beispielsweise ein Metall, eine intermetallische Legierung, eine Metallhalbleiterlegierung, ein leitfähiges Metalloxid, ein leitfähiges Metallnitrid, ein leitfähiges Metallkarbid und/oder ein dotiertes Halbleitermaterial, das Dotierstoffe vom p-Typ oder Dotierstoffe vom n-Typ mit einer durchschnittlichen Atomkonzentration in einem Bereich von 5,0 × 1019/cm3 bis 2,0 × 1021/cm3 enthält, enthalten. Die Gate-Elektrodenmaterialschicht 54L kann aus einem einzelnen leitfähigen Material oder einem Stapel mehrerer leitfähiger Materialien bestehen. Das unterste Material in der Gate-Elektrodenmaterialschicht 54L kann ausgewählt werden, um eine geeignete Arbeitsfunktion für die Gate-Elektroden, die nachfolgend durch Strukturierung der Gate-Elektrodenmaterialschicht 54L zu bilden sind, bereitzustellen. Die Gate-Elektrodenmaterialschicht 54L kann durch chemische Dampfabscheidung, physikalische Dampfabscheidung, Vakuumverdampfung und/oder Atomlagenabscheidung gebildet werden. Die Dicke der Gate-Elektrodenmaterialschicht 54L kann in einem Bereich von 50 nm bis 300 nm liegen, obwohl auch kleinere und größere Dicken verwendet werden können.
  • Über der Gate-Elektrodenmaterialschicht 54L kann eine dielektrische Gate-Cap-Schicht 58L gebildet werden. Die dielektrische Gate-Cap-Schicht 58L enthält ein Diffusionssperrmaterial, wie etwa Siliziumnitrid. Die Dicke der dielektrischen Gate-Cap-Schicht 58L kann in einem Bereich von 20 nm bis 60 nm liegen, obwohl auch kleinere und größere Dicken verwendet werden können.
  • Unter Bezugnahme auf 11A und 11B kann eine Photoresistschicht (nicht gezeigt) über die Gate-Elektrodenmaterialschicht 54L aufgetragen und lithographisch strukturiert werden, um Linienstrukturen zu bilden, die sich über jeweilige eine der Vorrichtungsbereiche erstrecken. Es kann ein anisotroper Ätzprozess (wie etwa ein Reaktionsionenätzprozess) durchgeführt werden, um die Struktur in der Photoresistschicht durch den Schichtstapel der dielektrischen Gate-Cap-Schicht 58L, die Gate-Elektrodenmaterialschicht 54L und die ferroelektrische dielektrische Gate-Schicht zu übertragen. Die Halbleitermaterialschicht 110 und die flachen Grabenisolationsstrukturen 12 können als Ätzstoppstrukturen verwendet werden.
  • Verbleibende Abschnitte des Schichtstapels der dielektrischen Gate-Cap-Schicht 58L, der Gate-Elektrodenmaterialschicht 54L und der ferroelektrischen dielektrischen Gate-Schicht 53 umfassen Gate-Stapelstrukturen (53, 54, 58). Jede Gate-Stapelstruktur (53, 54, 58) kann, von unten nach oben, eine ferroelektrische dielektrische Gate-Schicht 53, eine Gate-Elektrode 54 und ein dielektrisches Gate-Cap 58 enthalten. Die ferroelektrische dielektrische Gate-Schicht 53 in jeder Gate-Stapelstruktur (53, 54, 58) ist ein strukturierter Abschnitt der ferroelektrischen dielektrischen Gate-Schicht 53, wie in den Verarbeitungsschritten in 10A und 10B gebildet. Die Gate-Elektrode 54 in jeder Gate-Stapelstruktur (53, 54, 58) kann ein strukturierter Abschnitt der Gate-Elektrodenmaterialschicht 54L sein, wie in den Verarbeitungsschritten in 10A und 10B gebildet. Das dielektrische Gate-Cap 58 in jeder Gate-Stapelstruktur (53, 54, 58) kann ein strukturierter Abschnitt der dielektrischen Gate-Cap-Schicht 58L sein, wie sie in den Verarbeitungsschritten in 10A und 10B gebildet wurden.
  • Die Seitenwände jeder Gate-Stapelstruktur (53, 54, 58) können vertikal mit Seitenwänden der strukturierten Photoresistschicht zusammenfallen. Mit anderen Worten, die Seitenwände jeder Gate-Stapelstruktur (53, 54, 58) können sich innerhalb der gleichen vertikalen Ebenen befinden wie die Seitenwände eines jeweiligen darüberliegenden, strukturierten Abschnitts der Photoresistschicht. In einer Ausführungsform können die Seitenwände der ferroelektrischen dielektrischen Gate-Schicht 53 und die Seitenwände der Gate-Elektrode 54 innerhalb jeder Gate-Stapelstruktur (53, 54, 58) vertikal miteinander zusammenfallen. Die Photoresistschicht kann danach entfernt werden, beispielsweise durch Veraschung.
  • Unter Bezugnahme auf 12A und 12B kann ein Erweiterungsionenimplantationsprozess durchgeführt werden, um Dotierstoffe eines zweiten Leitfähigkeitstyps in unmaskierte Flächenabschnitte der Halbleitermaterialschicht 110 zu implantieren. Der zweite Leitfähigkeitstyp ist das Gegenteil des ersten Leitfähigkeitstyps. Wenn der erste Leitfähigkeitstyp beispielsweise vom p-Typ ist, ist der zweite Leitfähigkeitstyp vom n-Typ und umgekehrt. Die implantierten Flächenabschnitte der Halbleitermaterialschicht 110 werden in dotierte Halbleiterbereiche mit einer Dotierung des zweiten Leitfähigkeitstyps gewandelt. Ein Source-Erweiterungsbereich 132A und ein Drain-Erweiterungsbereich 138E können in jedem Vorrichtungsbereich zum Bilden eines Feldeffekttransistors durch Umwandlung der Flächenabschnitte der Halbleitermaterialschichten 110 in dotierte Halbleitermaterialabschnitte mit einer Dotierung vom zweiten Leitfähigkeitstyp gebildet werden. Die durchschnittliche atomare Konzentration von Dotierstoffen des zweiten Leitfähigkeitstyps in den Source-Erweiterungsbereichen 132E und den Drain-Erweiterungsbereichen 138E können in einem Bereich von 1,0 × 1019/cm3 bis 1,0 × 1021/cm3 liegen, obwohl auch kleinere und größere Dotierstoffkonzentrationen verwendet werden können. Die Tiefe der Source-Erweiterungsbereiche 132E und der Drain-Erweiterungsbereiche 138E können in einem Bereich von 10 nm bis 100 nm liegen, obwohl auch kleinere und größere Tiefen verwendet werden können. Jeder Flächenabschnitt der Halbleitermaterialschicht 110, die einer Gate-Stapelstruktur (53, 54, 58) zugrunde liegt und sich zwischen einem benachbarten Paar aus einem Source-Erweiterungsbereich 132E und einem Drain-Erweiterungsbereich 138E befindet, umfasst einen Halbleiterkanal 135. Jeder Halbleiterkanal 135 erstreckt sich zwischen einem Source-Erweiterungsbereich 132E und einem Drain-Erweiterungsbereich 138E und weist einen Dotierstoff des ersten Leitfähigkeitstyps auf. In einer alternativen Ausführungsform kann eine Halo-Implantation durchgeführt werden, um Dotierstoffe des ersten Leitfähigkeitstyps in Bereiche zu implantieren, die unter den Gate-Stapelstrukturen (53, 54, 58) liegen.
  • Mindestens ein dielektrisches Material, wie etwa Siliziumnitrid und/oder Siliziumoxid, kann konform über die Gate-Stapelstrukturen (53, 54, 58), die Source-Erweiterungsbereiche 132E, die Drain-Erweiterungsbereiche 138E und die flachen Grabenisolationsstrukturen 12 aufgebracht werden. Das mindestens eine dielektrische Material kann anisotrop geätzt sein (beispielsweise durch einen reaktiven Ionenätzprozess), um horizontale Abschnitte zu entfernen. Jeder verbleibende vertikale Abschnitt, der eine jeweilige Gate-Stapelstruktur (53, 54, 58) lateral umgibt, umfasst einen Gate-Abstandshalter 56, der das mindestens eine dielektrische Material enthält. Obwohl für eine Gate-Stapelstruktur (53, 54, 58) nur ein einziger Gate-Abstandshalter 56 veranschaulicht ist, werden hierin auch ausdrücklich Ausführungsformen in Betracht gezogen, bei denen mehrere Gate-Abstandshalter auf einer Gate-Stapelstruktur (53, 54, 58) durch sequenzielles Aufbringen und anisotropes Ätzen mehrerer dielektrischer Materialien gebildet werden. In einer Ausführungsform kann ein Gate-Abstandshalter 56 eine Gate-Stapelstruktur (53, 54, 58) seitlich umgeben und kann topologisch homöomorph zu einem Torus sein. Die Breite jedes Gate-Abstandshalters 56 an dem Basisabschnitt (d.h. die laterale Entfernung zwischen einer inneren Seitenwand und einer äußeren Seitenwand) kann in einem Bereich von 20 nm bis 100 nm liegen, obwohl auch kleinere und größere Breiten verwendet werden können.
  • Unter Bezugnahme auf 13A und 13B können Dotierstoffe des zweiten Leitfähigkeitstyps in unmaskierte Abschnitte der Source-Erweiterungsbereiche 132E, die Drain-Erweiterungsbereiche 138E und darunter liegende Bereiche der Halbleitermaterialschicht 110 implantiert werden. Implantierte Abschnitte der Source-Erweiterungsbereiche 132E, der Drain-Erweiterungsbereiche 138E und der Halbleitermaterialschicht 110 bilden tiefe Source-Bereiche 132D und tiefe Drain-Bereiche 138D. Die durchschnittliche atomare Konzentration von Dotierstoffen des zweiten Leitfähigkeitstyps in den tiefen Source-Bereichen 132D und den tiefen Drain-Bereichen 138D können in einem Bereich von 5,0 × 1019/cm3 bis 2,0 × 1021/cm3 liegen, obwohl auch kleinere und größere Dotierstoffkonzentrationen verwendet werden können. Die durchschnittliche atomare Konzentration von Dotierstoffen des zweiten Leitfähigkeitstyps in den tiefen Source-Bereichen 132D und den tiefen Drain-Bereichen 138D ist höher als die durchschnittliche atomare Konzentration von Dotierstoffen des zweiten Leitfähigkeitstyps in den verbleibenden Abschnitten der Source-Erweiterungsbereiche 132E und der Drain-Erweiterungsbereiche 138E. Die Tiefe der tiefen Source-Bereiche 132D und der tiefen Drain-Bereiche 138D können in einem Bereich von 40 nm bis 300 nm liegen, obwohl auch kleinere und größere Tiefen verwendet werden können. Die Tiefe der tiefen Source-Bereiche 132D und der tiefen Drain-Bereiche 138D können größer sein als die Tiefe der verbleibenden Abschnitte der Source-Erweiterungsbereiche 132E und der Drain-Erweiterungsbereiche 138E. Ein tiefer Source-Bereich 132D und ein tiefer Drain-Bereich 138D können innerhalb jedes Vorrichtungsbereichs gebildet werden. Jede Kombination eines Source-Erweiterungsbereichs 132E und eines tiefen Source-Bereichs 132D bildet einen Source-Bereich 132. Jede Kombination eines Drain-Erweiterungsbereichs 138E und eines tiefen Drain-Bereichs 138D bildet einen Drain-Bereich 138. Generell lassen sich ein Source-Bereich 132 und ein Drain-Bereich 138 in dem Halbleitermaterial der Halbleitermaterialschicht 110 innerhalb jedes Vorrichtungsbereichs bilden, der seitlich von einer flachen Grabenisolationsstruktur 12 umgeben ist. Ein Halbleiterkanal 135 erstreckt sich zwischen dem Source-Bereich 132 und dem Drain-Bereich 38 unter einem Schichtstapel einer Gate-Stapelstruktur (53, 54, 58).
  • Unter Bezugnahme auf 14A und 14B, können erhöhte Source-Bereiche 34 und erhöhte Drain-Bereiche 36 aus den physikalisch exponierten Flächen der Source-Bereiche 32 und der Drain-Bereiche 38 gezüchtet werden. Im Allgemeinen neigt Germanium bei einem Nassreinigungsprozess zu Lochfraß („Pitting“) und es neigt zur Bildung von Germaniumoxid, was ein wasserlösliches Material ist. Somit ist Vermeidung direkter Exposition von Germanium gegenüber einem Nassätzmittel oder einem Oxidmaterial für den Zweck des Erhalts der Vorrichtungseigenschaften für eine Germanium-haltige Vorrichtung vorteilhaft. In einer Ausführungsform kann ein selektiver Epitaxieprozess zum Züchten einer Silizium-Germanium-Legierung aus jeder physikalisch exponierten Halbleiterfläche durchgeführt werden. Ein selektiver Epitaxieprozess ist ein Halbleiterabscheidungsverfahren, bei dem ein Halbleiter-Präkursor und ein Ätzgas gleichzeitig oder alternierend in eine Prozesskammer eingeströmt werden. Halbleiterflächen stellen eine kürzere Inkubationszeit bereit als dielektrische Flächen und Abscheidungsraten eines Halbleitermaterials auf Halbleiterflächen liegen höher als Abscheidungsraten des Halbleitermaterials auf isolierenden Flächen. Die Flussrate des Ätzgases wird derart gewählt, dass Nettoabscheidung des Halbleitermaterials auf Halbleiterflächen auftritt und Nettoabscheidung des Halbleitermaterials nicht auf isolierenden Flächen in dem selektiven Epitaxieprozess auftritt.
  • Jeder erhöhte Source-Bereich 34 kann auf der oberen Fläche eines jeweiligen Source-Bereichs 132 gebildet werden und jeder erhöhte Drain-Bereich 36 wird auf der oberen Fläche eines jeweiligen Drain-Bereiches 138 gebildet. Die erhöhten Source-Bereiche 34 und die erhöhten Drain-Bereiche 36 können eine Silizium-Germanium-Legierung mit einer Dotierung des zweiten Leitfähigkeitstyps enthalten. Die atomare Konzentration von Silizium in der Silizium-Germanium-Legierung kann einheitlich sein oder sie kann mit Abstand von den Source-Bereichen 132 und den Drain-Bereichen 138 abnehmen. Der durchschnittliche Atomanteil von Silizium in der Silizium-Germanium-Legierung der erhöhten Source-Bereiche 34 und der erhöhten Drain-Bereiche 36 kann in einem Bereich von 25 % bis 95 % liegen. Der Atomanteil an Siliziumatomen an den obersten Abschnitten der erhöhten Source-Bereiche 34 und der erhöhten Drain-Bereiche 36 kann in einem Bereich von 70 % bis 99,9 % liegen. Die Dotierstoffe des zweiten Leitfähigkeitstyps in den erhöhten Source-Bereichen 34 und den erhöhten Drain-Bereichen 36 können in-situ während des selektiven Epitaxieprozesses bereitgestellt werden oder sie können durch einen Ionenimplantationsprozess nach Aufbringen eines undotierten Silizium-Germanium-Legierungsmaterials bereitgestellt werden. Die atomare Konzentration der Dotierstoffe des zweiten Leitfähigkeitstyps in den erhöhten Source-Bereichen 34 und den erhöhten Drain-Bereichen 36 können in einem Bereich von 5,0 × 1019/cm3 bis 2,0 × 1021/cm3 liegen, obwohl auch kleinere oder größere Atomkonzentrationen verwendet werden können.
  • Unter Bezugnahme auf 15A und 15B kann ein dielektrisches Material über den Gate-Stapelstrukturen (58, 54, 58), den erhöhten Source-Bereichen 34, den Drain-Bereichen 36 und den flachen Grabenisolationsstrukturen 12 aufgebracht werden. Das dielektrische Material kann ein planarisierbares dielektrisches Material enthalten, wie etwa undotiertes Silikatglas oder ein dotiertes Silikatglas, oder ein selbstplanarisierendes dielektrisches Material, wie etwa fließfähiges Oxid (FOX). Das dielektrische Material kann durch einen chemischen Dampfabscheidungsprozess (wie etwa einem plasmaunterstützten chemischen Dampfabscheidungsprozess) oder durch Spin-Beschichtung aufgebracht werden. Die obere Fläche des dielektrischen Materials kann während oder nach dem Abscheidungsprozess planarisiert werden. Es wird eine dielektrische Materialschicht mit einer planaren (d.h. horizontalen) oberen Fläche gebildet, durch die später Durchkontaktierungsstrukturen gebildet werden. Als solches wird die dielektrische Materialschicht hierin als eine dielektrische Schicht auf Kontaktebene 70 bezeichnet. Die obere Fläche der dielektrischen Schicht auf Kontaktebene 70 kann planar sein und sich über der oberen Fläche des dielektrischen Gate-Cap 58 befinden. Der vertikale Abstand zwischen der oberen Fläche der dielektrischen Schicht auf Kontaktebene 70 und die oberen Flächen der dielektrischen Gate-Caps 58 können in einem Bereich von 30 nm bis 400 nm liegen, obwohl auch kleinere und größere Dicken verwendet werden können.
  • Eine Photoresistschicht (nicht gezeigt) kann über die dielektrische Schicht auf Kontaktebene 70 aufgebracht werden und kann lithographisch strukturiert werden, um diskrete Öffnungen dadurch zu bilden. Die Öffnungen in der Photoresistschicht können über den erhöhten Source-Bereichen 34, den erhöhten Drain-Bereichen 36 und den Gate-Elektroden 54 gebildet werden. Ein anisotroper Ätzprozess kann durchgeführt werden, um Durchkontaktierungshohlräume (72, 75, 78) durch die dielektrische Schicht auf Kontaktebene 70 zu bilden. Die Durchkontaktierungshohlräume (72, 75, 78) enthalten Source-Durchkontaktierungshohlräume 72, die sich von der oberen Fläche der dielektrischen Schicht auf Kontaktebene 70 zu einer oberen Fläche eines jeweiligen einen der erhöhten Source-Bereiche 34 erstrecken, Drain-Durchkontaktierungshohlräume 78, die sich von der oberen Fläche der dielektrischen Schicht auf Kontaktebene 70 zu einer oberen Fläche eines jeweiligen einen der erhöhten Drain-Bereiche 36 erstrecken, und Gate-Durchkontaktierungshohlräume 75, die sich von der oberen Fläche der dielektrischen Schicht auf Kontaktebene 70 zu einer oberen Fläche eines jeweiligen einen der Gate-Elektroden 54 erstreckt.
  • Unter Bezugnahme auf 16A und 16B kann ein Metall, das eine Metallhalbleiterlegierung bilden kann, in die Durchkontaktierungshohlräume (72, 75, 78) über ein konformes oder nichtkonformes Abscheidungsverfahren aufgebracht werden. Wenn die erhöhten Source-Bereiche 34 und die erhöhten Drain-Bereiche 36 eine dotierte Silizium-Germanium-Legierung umfassen und/oder im Wesentlichen daraus bestehen, kann das Metall ein Material sein, das ein Metallgermaniumsilizid bilden kann. Das Metall kann beispielsweise Nickel, Titan, Wolfram, Molybdän, Platin oder ein anderes Metall, das ein Metallgermaniumsilizid bildet, umfassen. Andere geeignete Materialien liegen in dem angedachten Umfang der Offenbarung. Es kann ein Glühprozess mit einer erhöhten Temperatur durchgeführt werden, um Bildung eines Metallgermaniumsilizidmaterials über den erhöhten Source-Bereichen 34 und den erhöhten Drain-Bereichen 36 zu induzieren und um Bildung eines Metallsilizidmaterials über den Gate-Elektroden 54 zu induzieren (wenn das oberste Material der Gate-Elektroden Silizium enthält). Die erhöhte Temperatur kann in einem Bereich von 500 Grad Celsius bis 750 Grad Celsius liegen. Nicht reagierte Abschnitte des Metalls können durch einen Nassätzprozess entfernt werden, der das Metall selektiv zu dem Metallsilizidmaterial ätzt. Verbleibende Abschnitte des Metallgermaniumsilizidmaterials enthalten Source-seitige Metallhalbleiterlegierungsbereiche 142, die einen jeweiligen erhöhten Source-Bereich 34 oder einen jeweiligen Source-Bereich 132 kontaktieren, Drain-seitige Metallhalbleiterlegierungsbereiche 148, die einen jeweiligen erhöhten Drain-Bereich 36 oder einen jeweiligen Drain-Bereich 138 kontaktieren, und Gate-seitige Metallhalbleiterlegierungsbereiche 145, die eine jeweilige Gate-Elektrode 54 kontaktieren.
  • Es kann eine metallische Auskleidung, die Diffusionssperrmaterial umfasst, an Peripherieabschnitten der verbleibenden Volumen der Durchkontaktierungshohlräume (72, 75, 78) aufgebracht werden. Die metallische Auskleidung enthält ein leitfähiges, metallisches Nitridmaterial (wie etwa TiN, TaN oder WN) und/oder ein metallisches Karbidmaterial (wie etwa TiC, TaC oder WC). Die Dicke der metallischen Auskleidung kann in einem Bereich von 3 nm bis 15 nm liegen, obwohl auch kleinere und größere Dicken verwendet werden können.
  • Ein metallisches Füllmaterial, wie etwa Cu, W, Mo, Co, Ru und/oder ein anderes elementares Metall, oder eine intermetallische Legierung kann in verbleibenden Volumen der Durchkontaktierungshohlräume (72, 75, 78) aufgebracht werden. Andere geeignete Materialien liegen in dem angedachten Umfang der Offenbarung. Abschnitte des metallischen Füllmaterials und der metallischen Auskleidung, die sich über der horizontalen Ebene befinden, einschließlich der oberen Fläche der dielektrischen Schicht auf Kontaktebene 70, können durch einen Planarisierungsprozess entfernt werden. Jede Kombination eines verbleibenden Abschnitts des metallischen Füllmaterials und der metallischen Auskleidung, die einen jeweiligen der Durchkontaktierungshohlräume (72, 75, 78) füllt, bildet eine Durchkontaktierungsstruktur (82, 88, 85). Die Durchkontaktierungsstrukturen (82, 88, 85) können Source-Durchkontaktierungsstrukturen 82, die einen jeweiligen Source-seitigen Metallhalbleiterlegierungsbereich 142 kontaktieren, Drain-Durchkontaktierungsstrukturen 88, die einen jeweiligen Drain-seitigen Metallhalbleiterlegierungsbereich 148 kontaktieren, und Gate-Durchkontaktierungsstrukturen 85, die einen jeweiligen Gate-seitigen Metallhalbleiterbereich 145 oder eine jeweilige Gate-Elektrode 54 kontaktieren (in dem Fall, dass keine Gate-seitigen Metallhalbleiterlegierungsbereiche 145 gebildet werden) kontaktieren. Jede Source-Durchkontaktierungsstruktur 82 enthält eine Source-seitige metallische Auskleidung 82L und einen Source-seitigen metallischen Füllmaterialabschnitt 82F. Jede Drain-Durchkontaktierungsstruktur 88 enthält eine Drain-seitige metallische Auskleidung 88L und einen Drain-seitigen metallischen Füllmaterialabschnitt 88F. Jede Gate-Durchkontaktierungsstruktur 85 enthält eine Gate-seitige metallische Auskleidung 85L und einen Gate-seitigen metallischen Füllmaterialabschnitt 85F.
  • In der zweiten beispielhaften Struktur umfasst der Halbleiterkanal 135 dotiertes Germanium, das Germaniumatome mit einer Atomkonzentration von mehr als 99 % umfasst und Dotierstoffe des ersten Leitfähigkeitstyps mit einer Atomkonzentration von weniger als 1 % enthält. Die ferroelektrische dielektrische Gate-Schicht 53 wird direkt auf einer oberen Fläche des dotierten Germaniummaterialabschnitts ohne eine Grenzflächenmaterialschicht zwischen dem dotierten Germaniummaterialabschnitt und der ferroelektrischen dielektrischen Gate-Schicht 53 gebildet. Somit steht die ferroelektrische dielektrische Gate-Schicht 53 in direktem Kontakt mit einer Fläche des Halbleiterkanals 135 ohne ein Grenzflächenmaterialschicht dazwischen.
  • In einer Ausführungsform kann der ferroelektrische Feldeffekttransistor in 16A und 16B verwendet werden, um eine ferroelektrische Speichervorrichtung zu bilden. In der ersten beispielhaften Struktur können zusätzliche Vorrichtungen, wie etwa Feldeffekttransistoren für eine Gate-Vorspannschaltung und eine Abtastschaltung, gleichzeitig mit Bildung des Feldeffekttransistors, der in 10A bis 16B veranschaulicht ist, gebildet werden. Dielektrische Materialschichten auf Verbindungsebene und Metallverbindungsstrukturen können über der dielektrischen Schicht auf Kontaktebene 70 gebildet werden, um elektrische Verbindungen zwischen den verschiedenen Knoten des in 16A und 16B veranschaulichten Feldeffekttransistors, der Gate-Vorspannschaltung und der Abtastschalung bereitzustellen. 16C veranschaulicht ein Schaltkreisschema einer ferroelektrischen Speichervorrichtung, die die zweite beispielhafte Struktur der 16A und 16B enthält. Der ferroelektrische Feldeffekttransistor enthält einen Flächenabschnitt des Halbleitermaterials der Halbleitermaterialschicht 110 als einen Halbleiterkanal 135.
  • Eine ferroelektrische Speichervorrichtung gemäß einer Ausführungsform der vorliegenden Erfindung enthält den in 16A und 16B veranschaulichten ferroelektrischen Feldeffekttransistor 200, eine Gate-Vorspannschaltung 120, die konfiguriert ist, einen On-Zustand und einen Off-Zustand für den ferroelektrischen Feldeffekttransistor durch Anlegen einer On-Spannung bzw. einer Off-Spannung bereitzustellen, und eine Abtastschaltung 140, die konfiguriert ist, eine Richtung von elektrischer Polarisation in der ferroelektrischen dielektrischen Gate-Schicht 53 durch Messen einer Größenordnung des elektrischen Stroms zwischen dem Source-Bereich 132 und dem Drain-Bereich 138 zu messen, während eine Gate-Abtastspannung an die Gate-Elektrode 54 angelegt ist. Im Allgemeinen kann sich die Gate-Elektrode 54 auf der ferroelektrischen dielektrischen Gate-Schicht 53 befinden und sie kann konfiguriert sein, einen On-Zustand und einen Off-Zustand für den ferroelektrischen Feldeffekttransistor durch Anlegen einer On-Spannung bzw. einer Off-Spannung von der Gate-Vorspannschaltung 120 bereitzustellen. In einem veranschaulichenden Beispiel kann die On-Spannung eine Größenordnung in einem Bereich von 0,5 V bis 5 V aufweisen und die Off-Spannung kann eine Größenordnung in einem Bereich von 0 V bis 0,3 V aufweisen.
  • Das ferroelektrische Material der ferroelektrischen dielektrischen Gate-Schicht 53 kann über ein Ladungseinfangband verfügen, das elektronische Zustände enthält, die durch Grenzflächenfallen des ferroelektrischen Materials erzeugt werden. Das Niveau des Ladungseinfangbandes des ferroelektrischen Materials wird bei Fehlen einer Grenzflächenmaterialschicht zwischen der Halbleitermaterialschicht 110 und der ferroelektrischen dielektrischen Gate-Schicht 53 relativ zu einer Bandstruktur des Halbleitermaterials der Halbleitermaterialschicht 110, die bei Fehlen des externen elektrischen Feldes und bei Anlegen eines externen elektrischen Feldes vorhanden ist, verschoben.
  • Unter Bezugnahme auf 17A, 17B, 18A und 18B werden Banddiagramme für zwei Materialstapel unter zwei unterschiedlichen elektrischen Vorspannungsbedingungen gezeigt. 17A ist ein Banddiagramm eines Materialstapels, der den Halbleiterkanal 135 und die Gate-Stapelstruktur (53, 54, 58) ohne das dielektrische Gate-Cap 58 in dem Feldeffekttransistor in der zweiten beispielhaften Struktur in 16A und 16B enthält, wenn die an der Gate-Elektrode 54 (relativ zu dem Halbleiterkanal 35) angelegte Spannung Null (d.h. eine Off-Spannung) ist. 17B ist ein Banddiagramm des Materialstapels in 17A, wenn die an der Gate-Elektrode 54 angelegte Spannung eine positive Spannung (d.h. eine On-Spannung) ist, die den Feldeffekttransistor einschaltet. 18A ist ein Banddiagramm eines vergleichenden beispielhaften Materialstapels, der aus dem Materialstapel in 17A durch Einführung einer Grenzflächen-Germaniumoxidschicht abgeleitet wird, wenn die an der Gate-Elektrode 54 angelegte Spannung Null ist. Mit anderen Worten, der vergleichende beispielhafte Materialstapel besteht, von einer Seite zur anderen, aus einem Halbleiterkanal 135, einer Grenzflächengermaniumoxidschicht, einer ferroelektrischen dielektrischen Gate-Schicht 53 und einer Gate-Elektrode 54. 18B ist ein Banddiagramm des vergleichenden beispielhaften Materialstapels in 18A, wenn die an der Gate-Elektrode angelegte Spannung eine positive Spannung (d.h. die On-Spannung) ist, die den Feldeffekttransistor einschaltet. Die On-Spannung und die Off-Spannung können beispielsweise von der Gate-Vorspannungsschaltung 120 bereitgestellt werden.
  • Die verschiedenen Banddiagramme veranschaulichen das Valenzband 135V des Halbleiterkanals 135, das Leitungsband 135C des Halbleiterkanals 135, das Valenzband 151V der Grenzflächengermaniumoxidschicht, das Leitungsband 151C der Grenzflächengermaniumoxidschicht 51, das Valenzband 53V der ferroelektrischen dielektrischen Gate-Schicht 53, das Leitungsband 53C der ferroelektrischen dielektrischen Gate-Schicht 53, das Ladungseinfangband 53T der ferroelektrischen dielektrischen Schicht 53 und die Fermi-Ebene 54F innerhalb der Gate-Elektrode 54 für jede elektrische Vorspannungsbedingung für die Kombination eines Halbleiterkanals 135 und eines Gate-Stapels der zweiten beispielhaften Struktur und für die Kombination aus einem Halbleiterkanal und dem vergleichenden beispielhaften Materialstapel mit einer Grenzflächengermaniumoxidschicht.
  • 18B veranschaulicht, dass sich das Ladungseinfangband 53T der ferroelektrischen dielektrischen Gate-Schicht 53 auf dem gleichen Energieniveau befindet, wie das Fermi-Niveau für die Kombination des Halbleiterkanals und des vergleichenden beispielhaften Materialstapels mit einer Grenzflächengermaniumoxidschicht, wenn ein ferroelektrischer Feldeffekttransistor eingeschaltet ist. Demgegenüber veranschaulicht 17B, dass das Ladungseinfangband 53T der ferroelektrischen dielektrischen Gate-Schicht 53 von dem Fermi-Niveau für die Kombination des Halbleiterkanals 135 und der Gate-Stapelstruktur (53, 54, 58) ohne das dielektrische Gate-Cap 58 der vorliegenden Offenbarung versetzt ist, wenn der ferroelektrische Feldeffekttransistor der zweiten beispielhaften Struktur eingeschaltet ist. Elektroneneinfang in dem Ladungseinfangband 53T der ferroelektrischen dielektrischen Gate-Schicht 53 während Betriebs des ferroelektrischen Feldeffekttransistors der zweiten beispielhaften Struktur wird durch Versetzen des Energieniveaus des Ladungseinfangbandes 53T der ferroelektrischen dielektrischen Gate-Schicht 53 von dem Fermi-Niveau während des On-Zustandes des ferroelektrischen Feldeffekttransistors der vorliegenden Offenbarung vermieden.
  • Im Allgemeinen ist das Energieniveau des Ladungseinfangbandes 53T während des On-Zustands von dem Fermi-Niveau versetzt. Das Fermi-Niveau kann während des On-Zustandes näher an dem Energieniveau der Minoritätsladungsträger des Halbleiterkanals 135 liegen. Das Energieniveau der Minoritätsladungsträger kann, falls die Minoritätsladungsträger Löcher sind, das Valenzbandniveau und, falls die Minoritätsladungsträger Elektronen sind, das Leitungsbandniveau sein. In einer Ausführungsform ist das Energieniveau des Ladungseinfangbandes 53T während des On-Zustandes von dem Energieniveau der Minoritätsladungsträger des Halbleiterkanals 35 versetzt.
  • Unter Bezugnahme auf 19 veranschaulicht ein erstes Flussdiagramm Schritte zum Bilden der ersten beispielhaften Struktur der vorliegenden Offenbarung. Im Schritt 1910 wird ein Halbleitersubstrat 8 bereitgestellt, das ein Halbleitermaterial mit einer Dotierung eines ersten Leitfähigkeitstyps umfasst. Im Schritt 1920 wird ein Schichtstapel (51, 52, 53, 54, 58) über dem Halbleitersubstrat 8 gebildet. Der Schichtstapel (51, 52, 53, 54, 58) umfasst, von unten nach oben, eine Ladungseinfangbandfehlausrichtungsschicht 52, die ein dielektrisches Metalloxidmaterial enthält, eine ferroelektrische dielektrische Gate-Schicht 53, die ein ferroelektrisches Material enthält, und eine Gate-Elektrode. Unter Bezugnahme auf Schritt 1930 werden in dem Halbleitermaterial ein Source-Bereich 32 und ein Drain-Bereich 38 gebildet. Ein Halbleiterkanal 35 erstreckt sich zwischen dem Source-Bereich 32 und dem Drain-Bereich 38 unter dem Schichtstapel (51, 52, 53, 54, 58).
  • Unter Bezugnahme auf 20 veranschaulicht ein zweites Flussdiagramm Schritte zum Bilden der zweiten beispielhaften Struktur der vorliegenden Offenbarung. Im Schritt 2010 wird ein Halbleitersubstrat 8 bereitgestellt, das einen dotierten Germaniummaterialabschnitt mit einer Dotierung eines ersten Leitfähigkeitstyps umfasst. Im Schritt 2020 eine ferroelektrische dielektrische Schicht 53, die ein ferroelektrisches Material enthält, über dem dotierten Germaniummaterial. Im Schritt 2030 wird eine Gate-Elektrode 54 über der ferroelektrischen dielektrischen Gate-Schicht 53 gebildet. Im Schritt 2040 werden ein Source-Bereich 132 und ein Drain-Bereich 138 in dem Halbleitersubstrat 8 gebildet. Ein Halbleiterkanal 135, der den dotierten Germaniummaterialabschnitt umfasst, erstreckt sich zwischen dem Source-Bereich 132 und dem Drain-Bereich 138 unter der ferroelektrischen dielektrischen Gate-Schicht 53.
  • Unter Bezugnahme auf alle Zeichnungen und gemäß verschiedenen Ausführungsformen der vorliegenden Offenbarung wird ein ferroelektrischer Feldeffekttransistor bereitgestellt, der umfasst: ein Halbleitersubstrat 8, das einen Halbleiterkanal (35 oder 135) umfasst, der sich zwischen einem Source-Bereich (32 oder 132) und einem Drain-Bereich (38 oder 138) erstreckt und eine Dotierung eines ersten Leitfähigkeitstyps aufweist; eine ferroelektrische dielektrische Gate-Schicht 53, die sich über dem Halbleiterkanal (35 oder 135) befindet und ein ferroelektrisches Material mit einem Ladungseinfangband 53T enthält, das elektronische Zustände umfasst, die von Grenzflächenfallen des ferroelektrischen Materials erzeugt werden; und eine Gate-Elektrode 53, die sich auf der ferroelektrischen dielektrischen Gate-Schicht 53 befindet und dafür konfiguriert ist, einen On-Zustand und einen Off-Zustand für den ferroelektrischen Feldeffekttransistor durch Anlegen einer On-Spannung bzw. einer Off-Spannung von einer Gate-Vorspannschaltung 120 bereitzustellen, wobei ein Energieniveau des Ladungseinfangbandes während des On-Zustandes von einem Energieniveau eines Energiebandes der Minoritätsladungsträger des Halbleiterkanals (35 oder 135) versetzt ist.
  • In einer anderen Ausführungsform wird ein Verfahren zum Bilden einer Halbleiterstruktur offenbart, wobei das Verfahren die Vorgänge umfasst: Bereitstellen eines Halbleitersubstrats 8, das ein Halbleitermaterial 10 mit einer Dotierung eines ersten Leitfähigkeitstyps umfasst; Bilden eines Schichtstapels, der, von unten nach oben, eine Ladungseinfangbandfehlausrichtungsschicht 52 umfasst, die ein dielektrisches Metalloxidmaterial, eine ferroelektrische dielektrische Gate-Schicht 53, enthält, die ein ferroelektrisches Material enthält, und eine Gate-Elektrode 54 über dem Halbleitersubstrat 8; und Bilden eines Source-Bereichs 32 und eines Drain-Bereichs 38 in dem Halbleitermaterial 10, wobei sich ein Halbleiterkanal 35 zwischen dem Source-Bereich 32 und dem Drain-Bereich 38 unter dem Schichtstapel erstreckt.
  • In einer anderen Ausführungsform wird ein Verfahren zum Bilden einer Halbleiterstruktur offenbart, wobei das Verfahren die Vorgänge umfasst: Bereitstellen eines Halbleitersubstrats 8, das eine Halbleitermaterialschicht 110 umfasst (welche eine einzelkristalline dotierte Germaniumschicht mit einer Dotierung eines ersten Leitfähigkeitstyps sein kann); Bilden einer ferroelektrischen dielektrischen Gate-Schicht 53, die ein ferroelektrisches Material über der Halbleitermaterialschicht 110 enthält (welche eine einzelkristalline dotierte Germaniumschicht mit einer Dotierung eines ersten Leitfähigkeitstyps sein kann); Bilden einer Gate-Elektrode 54 über der ferroelektrischen dielektrischen Gate-Schicht; und Bilden eines Source-Bereichs 132 und eines Drain-Bereichs 138 in dem Halbleitersubstrat 8, wobei sich ein Halbleiterkanal 135, der den dotierten Germaniummaterialabschnitt umfasst, zwischen dem Source-Bereich 132 und dem Drain-Bereich 138 unter der ferroelektrischen dielektrischen Gate-Schicht 53 erstreckt.
  • Die verschiedenen Ausführungsformen der vorliegenden Offenbarung stellen einen Energieniveauversatz zwischen dem Ladungseinfangband 53T der ferroelektrischen dielektrischen Gate-Schicht 53 eines ferroelektrischen Feldeffekttransistors von dem Fermi-Niveau während des Betriebs des ferroelektrischen Feldeffekttransistors bereit. Weil Ladungsträger (wie etwa Elektronen) auf Energieniveaus durchlaufen müssen, die sich von den Energieniveaus des Ladungseinfangbandes 53T der ferroelektrischen dielektrischen Gate-Schicht 53 unterscheiden, kann Einfangen von Ladungen in der ferroelektrischen dielektrischen Gate-Schicht 53 vermieden werden. Restpolarisation der ferroelektrischen dielektrischen Gate-Schicht 53 nimmt während Verwendung des ferroelektrischen Feldeffekttransistors nicht ab, da Einfangen von Ladungen in dem Ladungseinfangband 53T der ferroelektrischen dielektrischen Gate-Schicht 53 vermieden wird. Ferner nimmt Sättigungspolarisation der ferroelektrischen dielektrischen Gate-Schicht 53 während Gebrauchs des ferroelektrischen Feldeffekttransistors nicht ab, weil Einfangen von Ladungen in dem Ladungseinfangband 53T der ferroelektrischen dielektrischen Gate-Schicht 53 vermieden wird. Somit können die ferroelektrischen Feldeffekttransistoren der vorliegenden Offenbarung eine größere Lebensdauer bereitstellen als Feldeffekttransistoren nach dem Stand der Technik, die Einfangen von Ladungen in dem Ladungseinfangband einer ferroelektrischen dielektrischen Gate-Schicht verursachen.
  • Vorstehend wurde ein Überblick über die Merkmale mehrerer Ausführungsführungsformen gegeben, so dass der Fachmann besser die Aspekte der vorliegenden Offenbarung verstehen kann. Der Fachmann wird zu würdigen wissen, dass sich die vorliegende Offenbarung ohne weiteres als Grundlage für den Entwurf oder die Modifikation anderer Prozesse und Strukturen zur Ausführung der gleichen Zwecke und/oder dem Erreichen der gleichen Vorteile der hierin vorgestellten Ausführungsformen verwenden lassen. Der Fachmann sollte auch erkennen, dass solche gleichwertigen Konstruktionen nicht vom Geist und Umfang der vorliegenden Offenbarung abweichen, und dass sich diverse Veränderungen, Substitutionen und Änderungen daran vornehmen lassen, ohne dass vom Geist und Umfang der vorliegenden Offenbarung abgewichen werden würde.

Claims (20)

  1. Ferroelektrischer Feldeffekttransistor, umfassend: ein Halbleitersubstrat, einen Halbleiterkanal umfassend, der sich zwischen einem Source-Bereich und einem Drain-Bereich erstreckt und eine Dotierung eines ersten Leitfähigkeitstyps aufweist; eine ferroelektrische dielektrische Gate-Schicht, die über dem Halbleiterkanal angeordnet ist und ein ferroelektrisches Material mit einem Ladungseinfangband enthält, das elektronische Zustände enthält, die durch Grenzflächenfallen des ferroelektrischen Materials erzeugt werden; und eine Gate-Elektrode, die auf der ferroelektrischen dielektrischen Gate-Schicht angeordnet und dafür konfiguriert ist, einen On-Zustand und einen Off-Zustand für den ferroelektrischen Feldeffekttransistor durch Anlegen einer On-Spannung bzw. einer Off-Spannung von einer Gate-Vorspannschaltung bereitzustellen, wobei ein Energieniveau des Ladungseinfangbandes während des On-Zustands von einem Energieniveau eines Energiebandes von Minoritätsladungsträgern des Halbleiterkanals versetzt ist.
  2. Ferroelektrischer Feldeffekttransistor nach Anspruch 1, ferner eine Ladungseinfangbandfehlausrichtungsschicht, die zwischen der ferroelektrischen dielektrischen Gate-Schicht und dem Halbleiterkanal angeordnet ist, umfassend und ein dielektrisches Material enthaltend, das Verschieben des Ladungseinfangbandes des ferroelektrischen Materials relativ zu einer Bandstruktur des Halbleiterkanals induziert.
  3. Ferroelektrischer Feldeffekttransistor nach Anspruch 2, wobei die Ladungseinfangbandfehlausrichtungsschicht ein dielektrisches Metalloxid mit einer effektiven Dicke in einem Bereich von 0,1 nm bis 0,5 nm umfasst.
  4. Ferroelektrischer Feldeffekttransistor nach Anspruch 3, wobei das dielektrische Metalloxid ein Oxidmaterial mindestens eines elementaren Metalls umfasst, das aus Be, Mg, Ca, Sr, Ba, Al, Ga, Sc, Y, Ti, V, Cr, Mn, Zr, Nb, Mo, Ta, W und Lanthanidelementen gewählt wird.
  5. Ferroelektrischer Feldeffekttransistor nach einem der vorstehenden Ansprüche 2 bis 4, wobei: der Halbleiterkanal Silizium umfasst; und der ferroelektrische Feldeffekttransistor eine Grenzflächensiliziumoxidschicht umfasst, die zwischen dem Halbleiterkanal und der Ladungseinfangbandfehlausrichtungsschicht angeordnet ist und Siliziumoxid umfasst und eine effektive Dicke in einem Bereich von 0,1 nm bis 0,8 nm aufweist.
  6. Ferroelektrischer Feldeffekttransistor nach Anspruch 5, wobei Seitenwände der Grenzflächensiliziumoxidschicht, Seitenwände der Ladungseinfangbandfehlausichtungsschicht, Seitenwände der ferroelektrischen dielektrischen Gate-Schicht und die Seitenwände der Gate-Elektrode vertikal miteinander zusammenfallen.
  7. Ferroelektrischer Feldeffekttransistor nach einem der vorstehenden Ansprüche, wobei der Halbleiterkanal Germanium umfasst und die ferroelektrische dielektrische Gate-Schicht in direktem Kontakt mit einer Fläche des Halbleiterkanals ohne eine Grenzflächenmaterialschicht dazwischen steht.
  8. Ferroelektrischer Feldeffekttransistor nach Anspruch 7, wobei der Halbleiterkanal dotiertes Germanium umfasst, das Germaniumatome in einer Atomkonzentration von größer als 99 % enthält und Dotierstoffe des ersten Leitfähigkeitstyps mit einer Atomkonzentration von weniger als 1 % enthält.
  9. Ferroelektrischer Feldeffekttransistor nach einem der vorstehenden Ansprüche, wobei die ferroelektrische dielektrische Gate-Schichte ein Material umfasst, das aus Pb(ZrxTi1-x)O3, worin x in einem Bereich von 0 bis 1 liegt, PbZrO3, PbTiO3, HfO2, ZrO2, HfxZr1-xO2, worin x zwischen 0 und 1 liegt, NH4H2PO4, KH2PO4, LiNbO3, LiTaO3, BaTiO3, (Pb,La)TiO3 und (Pb,La)(Zr,Ti)O3 dotierten Varianten davon gewählt wird, und eine Dicke in einem Bereich von 3 nm bis 20 nm aufweist.
  10. Ferroelektrischer Feldeffekttransistor nach einem der vorstehenden Ansprüche, wobei die Gate-Elektrode mindestens eines umfasst von einem Metall, einer intermetallischen Legierung, einer Metall-Halbleiter-Legierung, einem leitfähigen Metalloxid, einem leitfähigem Metallnitrid, einem leitfähigem Metallkarbid und einem dotierten Halbleitermaterial, das p-Typ Dotierstoffe oder n-Typ Dotierstoffe mit einer durchschnittlichen Atomkonzentration in einem Bereich von 5,0 × 1019/cm3 bis 2,0 × 1021/cm3 enthält.
  11. Ferroelektrischer Feldeffekttransistor nach einem der vorstehenden Ansprüche, wobei: die On-Spannung eine Größenordnung in einem Bereich von 0,5 V bis 5 V aufweist; und die Off-Spannung eine Größenordnung in einem Bereich von 0 V bis 0,3 V aufweist.
  12. Ferroelektrische Speichervorrichtung, umfassend: den ferroelektrische Feldeffekttransistor nach einem der vorstehenden Ansprüche 1 bis 11; und eine Abtastschaltung, die dafür konfiguriert ist, eine Richtung der elektrischen Polarisation in der ferroelektrischen dielektrischen Gate-Schicht durch Messen einer Größenordnung des elektrischen Stroms zwischen dem Source-Bereich und dem Drain-Bereich während eine Abtast-Gate-Spannung an die Gate-Elektrode angelegt ist zu messen.
  13. Verfahren zum Bilden einer Halbleiterstruktur, umfassend: Bereitstellen eines Halbleitersubstrats, das ein Halbleitermaterial mit einer Dotierung eines ersten Leitfähigkeitstyps umfasst; Bilden eines Schichtstapels, der, von unten nach oben, eine Ladungseinfangbandfehlausrichtungsschicht umfasst, die ein dielektrisches Metalloxidmaterial, eine ferroelektrische dielektrische Gate-Schicht, die ein ferroelektrisches Material enthält, und eine Gate-Elektrode über dem Halbleitersubstrat enthält; und Bilden eines Source-Bereichs und eines Drain-Bereichs in dem Halbleitermaterial, wobei sich ein Halbleiterkanal zwischen dem Source-Bereich und dem Drain-Bereich unter dem Schichtstapel erstreckt.
  14. Verfahren nach Anspruch 13, wobei: das Halbleitermaterial Silizium umfasst; und das Verfahren Bilden einer Grenzflächensiliziumoxidschicht mit einer effektiven Dicke in einem Bereich von 0,1 nm bis 0,8 nm direkt auf einer oberen Fläche des Halbleiterkanals umfasst, wobei die Ladungseinfangbandfehlausrichtungsschicht direkt auf der Grenzflächensiliziumoxidschicht gebildet wird.
  15. Verfahren nach Anspruch 13 oder 14, wobei: die Ladungseinfangbandfehlausrichtungsschicht eine effektive Dicke in einem Bereich von 0,1 nm bis 0,5 nm aufweist; und das dielektrische Metalloxidmaterial ein Oxidmaterial mindestens eines elementaren Metalls umfasst, das aus Be, Mg, Ca, Sr, Ba, Al, Ga, Sc, Y, Ti, V, Cr, Mn, Zr, Nb, Mo, Ta, W und Lanthanidelementen gewählt wird.
  16. Verfahren nach einem der vorstehenden Ansprüche 13 bis 15, wobei: das ferroelektrische Material über ein Ladungseinfangband verfügt, das elektronische Zustände enthält, die von Grenzflächenfallen des ferroelektrischen Materials erzeugt werden; die Ladungseinfangbandfehlausrichtungsschicht ein dielektrisches Material enthält, das Verschieben des Ladungseinfangbandes des ferroelektrischen Materials relativ zu einer Bandstruktur des Halbleiterkanals induziert; die Halbleiterstruktur einen ferroelektrischen Feldeffekttransistor umfasst, der einen Flächenabschnitt des Halbleitermaterials als einen Halbleiterkanal enthält; das Verfahren ferner Bereitstellen einer Gate-Vorspannungsschaltung umfasst, die dafür konfiguriert ist, einen On-Zustand und einen Off-Zustand für den ferroelektrischen Feldeffekttransistor durch Anlegen einer On-Spannung bzw. einer Off-Spannung bereitzustellen; ein Energieniveau des Ladungseinfangbandes während des On-Zustands von einem Energieniveau von Minoritätsladungsträgern des Halbleiterkanals verschoben ist.
  17. Verfahren zum Bilden einer Halbleiterstruktur, umfassend: Bereitstellen eines Halbleitersubstrats, das einen dotierten Germaniummaterialabschnitt mit einer Dotierung eines ersten Leitfähigkeitstyps umfasst; Bilden einer ferroelektrischen dielektrischen Gate-Schicht, die ein ferroelektrisches Material über dem dotierten Germaniummaterial enthält; Bilden einer Gate-Elektrode über der ferroelektrischen dielektrischen Gate-Schicht; und Bilden eines Source-Bereichs und eines Drain-Bereichs in dem Halbleitersubstrat, wobei sich ein Halbleiterkanal, der den dotierten Germaniummaterialabschnitt umfasst, zwischen dem Source-Bereich und dem Drain-Bereich unter der ferroelektrischen dielektrischen Gate-Schicht erstreckt.
  18. Verfahren nach Anspruch 17, wobei die ferroelektrische dielektrische Gate-Schicht direkt auf einer oberen Fläche des dotierten Germaniummaterialabschnitts ohne eine Grenzflächenmaterialschicht zwischen dem dotierten Germaniummaterialabschnitt und der ferroelektrischen dielektrischen Gate-Schicht gebildet wird.
  19. Verfahren nach Anspruch 18, wobei der Halbleiterkanal dotiertes Germanium umfasst, das Germaniumatome in einer Atomkonzentration von größer als 99 % enthält und Dotierstoffe des ersten Leitfähigkeitstyps mit einer Atomkonzentration von weniger als 1 % enthält.
  20. Verfahren nach einem der vorstehenden Ansprüche 17 bis 19, wobei die ferroelektrische dielektrische Gate-Schicht ein Material umfasst, das aus Pb(ZrxTi1-x)O3, worin x in einem Bereich von 0 bis 1 liegt, PbZrO3, PbTiO3, HfO2, ZrO2, HfxZr1-xO2, worin x zwischen 0 und 1 liegt, NH4H2PO4, KH2PO4, LiNbO3, LiTaO3, BaTiO3, (Pb,La)TiO3 und (Pb,La)(Zr,Ti)O3 und dotierten Varianten davon gewählt wird, und eine Dicke in einem Bereich von 3 nm bis 20 nm aufweist.
DE102020110238.0A 2020-03-31 2020-04-15 Ferroelektrischer feldeffekttransistor unter verwendung von ladungseinfangbandfehlausrichtung und verfahren zum bilden desselben Pending DE102020110238A1 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/835,521 US11227933B2 (en) 2020-03-31 2020-03-31 Ferroelectric field effect transistor using charge trapping band misalignment and methods of forming the same
US16/835,521 2020-03-31

Publications (1)

Publication Number Publication Date
DE102020110238A1 true DE102020110238A1 (de) 2021-09-30

Family

ID=76508480

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102020110238.0A Pending DE102020110238A1 (de) 2020-03-31 2020-04-15 Ferroelektrischer feldeffekttransistor unter verwendung von ladungseinfangbandfehlausrichtung und verfahren zum bilden desselben

Country Status (5)

Country Link
US (1) US11227933B2 (de)
KR (1) KR102397738B1 (de)
CN (1) CN113054023A (de)
DE (1) DE102020110238A1 (de)
TW (1) TWI798658B (de)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023000200A1 (zh) * 2021-07-21 2023-01-26 华为技术有限公司 一种场效应晶体管、其制作方法及集成电路
US20230088827A1 (en) * 2021-09-17 2023-03-23 Samsung Electronics Co., Ltd. Semiconductor device and electronic apparatus including the semiconductor device
KR20230071631A (ko) * 2021-11-16 2023-05-23 삼성전자주식회사 반도체 소자 및 이를 포함하는 반도체 장치

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE112017007888T5 (de) 2017-09-29 2020-05-07 Intel Corporation Ferroelektrischer double-gate-feldeffekt-transistor

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001344836A (ja) 2000-05-30 2001-12-14 Matsushita Electric Ind Co Ltd 強誘電体メモリおよび光情報処理装置
KR20070016419A (ko) * 2005-08-03 2007-02-08 삼성전자주식회사 반도체 집적 회로 장치와 그 제조 방법
US8030197B2 (en) * 2009-05-04 2011-10-04 Intel Corporation Recessed channel array transistor (RCAT) in replacement metal gate (RMG) logic flow
KR101231456B1 (ko) 2011-07-11 2013-02-07 한양대학교 산학협력단 플래시 메모리 소자
DE102012205977B4 (de) * 2012-04-12 2017-08-17 Globalfoundries Inc. Halbleiterbauelement mit ferroelektrischen Elementen und schnellen Transistoren mit Metallgates mit großem ε sowie Herstellungsverfahren
TWI493694B (zh) * 2012-11-09 2015-07-21 Nat Applied Res Laboratories 矽基鐵電型記憶體材料及其製造方法
US9406517B2 (en) 2013-03-12 2016-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. SiGe surface passivation by germanium cap
US9548348B2 (en) * 2013-06-27 2017-01-17 Cypress Semiconductor Corporation Methods of fabricating an F-RAM
US9412600B2 (en) * 2014-08-28 2016-08-09 Globalfoundries Inc. Method of forming a semiconductor structure including a ferroelectric material and semiconductor structure including a ferroelectric transistor
US9159829B1 (en) * 2014-10-07 2015-10-13 Micron Technology, Inc. Recessed transistors containing ferroelectric material
US10644016B2 (en) * 2014-10-30 2020-05-05 Cypress Semiconductor Corporation Charge-trapping memory device
US9978868B2 (en) * 2015-11-16 2018-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Negative capacitance field effect transistor with charged dielectric material
WO2017154385A1 (ja) * 2016-03-07 2017-09-14 ソニー株式会社 半導体記憶素子、半導体装置、電子機器、および半導体記憶素子の製造方法
JP6751866B2 (ja) * 2016-04-22 2020-09-09 国立研究開発法人産業技術総合研究所 半導体強誘電体記憶素子の製造方法及び半導体強誘電体記憶トランジスタ
TWI611515B (zh) * 2016-11-15 2018-01-11 National Taiwan Normal University 採用應變閘極工程與鐵電負電容介電質之動態隨機記憶體及其製造方法
CN107240606B (zh) * 2017-06-08 2020-10-23 湘潭大学 一种铁电场效应晶体管及其制备方法
CN109087949A (zh) * 2017-06-14 2018-12-25 萨摩亚商费洛储存科技股份有限公司 铁电场效应晶体管、铁电内存与数据读写方法及制造方法
KR20190008048A (ko) 2017-07-14 2019-01-23 에스케이하이닉스 주식회사 강유전성 메모리 소자
US20190057860A1 (en) * 2017-08-18 2019-02-21 Lam Research Corporation Methods for improving performance in hafnium oxide-based ferroelectric material using plasma and/or thermal treatment
US10580781B2 (en) * 2017-10-12 2020-03-03 Globalfoundries Singapore Pte. Ltd. Increased gate coupling effect in multigate transistor
US10276697B1 (en) * 2017-10-27 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Negative capacitance FET with improved reliability performance
EP3503199A1 (de) * 2017-12-22 2019-06-26 IMEC vzw Herstellungsverfahren eines ferroelektrischen feldeffekttransistor
KR20190115508A (ko) * 2018-03-15 2019-10-14 에스케이하이닉스 주식회사 강유전성 메모리 장치
US11004867B2 (en) * 2018-06-28 2021-05-11 Taiwan Semiconductor Manufacturing Co., Ltd. Embedded ferroelectric memory in high-k first technology
KR20200071852A (ko) * 2018-12-04 2020-06-22 삼성전자주식회사 강유전층을 포함하는 전자 소자

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE112017007888T5 (de) 2017-09-29 2020-05-07 Intel Corporation Ferroelektrischer double-gate-feldeffekt-transistor

Also Published As

Publication number Publication date
TWI798658B (zh) 2023-04-11
US11227933B2 (en) 2022-01-18
US20210305397A1 (en) 2021-09-30
KR20210122626A (ko) 2021-10-12
CN113054023A (zh) 2021-06-29
KR102397738B1 (ko) 2022-05-13
TW202205640A (zh) 2022-02-01

Similar Documents

Publication Publication Date Title
DE102017114427B4 (de) Verfahren zur Herstellung von Trennelementen für Halbleiterstrukturen
DE102015213529B4 (de) Vorrichtung mit einer Floating-Gate-Elektrode und einer Schicht aus ferroelektrischem Material und Verfahren zu ihrer Herstellung
DE102013101113B4 (de) Leistungs-MOS-Transistor und Verfahren zu dessen Herstellung
DE102015118176B4 (de) Chip mit Interdigitalkondensator in Split-Gate-Flash-Technologie sowie Verfahren zu dessen Herstellung
DE102020110238A1 (de) Ferroelektrischer feldeffekttransistor unter verwendung von ladungseinfangbandfehlausrichtung und verfahren zum bilden desselben
DE112018004626T5 (de) Nanoblatttransistoren mit verschiedenen gatedielektrika undaustrittsarbeitsmetallen
DE102020101301A1 (de) Gatestrukturen für halbleiter-bauelemente
DE112011101181B4 (de) Steuerung der Ferroelektrizität in dielektrischen Dünnschichten durch prozessinduzierte monoaxiale Spannungen
DE102019116900B4 (de) Elektronische vorrichtung, die einen kondensator umfasst
DE102020100795A1 (de) Austrittsarbeitsschichten für transistor-gate-elektroden
DE102020101271A1 (de) Bottom-up-Bildung von Kontaktsteckern
DE102021111163A1 (de) Ferroelektrische tunnelübergangsspeichervorrichtung, welche ein magnesiumoxid-tunnelungsdielektrikum verwendet, und verfahren zur bildung derselben
DE102017117797A1 (de) Halbleitervorrichtung und Methoden der Herstellung
DE102019104255B4 (de) Speicherstruktur mit FeRAM-Vorrichtung und Verfahren zu deren Herstellung sowie ein integrierter Chip mit einer ersten FeRAM-Zelle und einer zweiten FeRAM-Zelle
DE112013001158T5 (de) Gate-all-around-Halbleiter-Nanodraht-FETs auf massiven Halbleiter-Wafern
DE102019115270A1 (de) Eingebetteter ferroelektrischer speicher in high-k-first-technologie
DE102021112578A1 (de) Speicherbauelement und verfahren zum bilden davon
DE102020105633B4 (de) Halbleitervorrichtungen mit verbesserten Kondensatoren
DE102005036551A1 (de) Siliziumkarbid-Halbleitervorrichtung und Herstellungsverfahren davon
DE102021109608A1 (de) Grenzflächendualpassivierungsschicht für eine ferroelektrische vorrichtung und verfahren zum bilden davon
DE102018108598A1 (de) Halbleiterbauelement und Verfahren
DE102009011880A1 (de) Halbleitereinrichtung und Verfahren zum Herstellen einer Halbleitereinrichtung
DE102021114139B4 (de) Verfahren zum bilden von mehrschichtigen photoätzmasken mit organischen undanorganischen materialien
DE102020100126B3 (de) Integrierter Schaltkreis mit zumindest einem Feldeffekttransistor und einer Metall-Ferroelektrikum-Metall-Struktur
DE102020135119A1 (de) Ferroelektrische speichervorrichtung und verfahren zu deren herstellung

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication