DE102020135119A1 - Ferroelektrische speichervorrichtung und verfahren zu deren herstellung - Google Patents

Ferroelektrische speichervorrichtung und verfahren zu deren herstellung Download PDF

Info

Publication number
DE102020135119A1
DE102020135119A1 DE102020135119.4A DE102020135119A DE102020135119A1 DE 102020135119 A1 DE102020135119 A1 DE 102020135119A1 DE 102020135119 A DE102020135119 A DE 102020135119A DE 102020135119 A1 DE102020135119 A1 DE 102020135119A1
Authority
DE
Germany
Prior art keywords
layer
iii
ferroelectric
ferroelectric layer
layers
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE102020135119.4A
Other languages
English (en)
Inventor
Chun-Chieh Lu
Sai-Hooi Yeong
Yu-Ming Lin
Mauricio Manfrini
Georgios Vellianitis
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US17/130,609 external-priority patent/US11527552B2/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102020135119A1 publication Critical patent/DE102020135119A1/de
Granted legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B51/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors
    • H10B51/20Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors characterised by the three-dimensional arrangements, e.g. with cells on different height levels
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/22Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using ferroelectric elements
    • G11C11/223Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using ferroelectric elements using MOS with ferroelectric gate insulating film
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40111Multistep manufacturing processes for data storage electrodes the electrodes comprising a layer which is used for its ferroelectric properties
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/516Insulating materials associated therewith with at least one ferroelectric layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6684Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a ferroelectric gate insulator
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/78391Field effect transistors with field effect produced by an insulated gate the gate comprising a layer which is used for its ferroelectric properties
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B51/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B51/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors
    • H10B51/10Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors characterised by the top-view layout
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B51/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors
    • H10B51/30Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors characterised by the memory core region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B51/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors
    • H10B51/40Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors characterised by the peripheral circuit region

Abstract

Eine ferroelektrische Speichervorrichtung umfasst einen Mehrschichtenstapel, eine Kanalschicht und eine III-V-basierte ferroelektrische Schicht. Der Mehrschichtenstapel ist auf einem Substrat angeordnet und umfasst mehrere leitfähigen Schichten und mehrere Dielektrikumschichten, die abwechselnd gestapelt sind. Die Kanalschicht durchdringt die mehreren leitfähigen Schichten und die mehreren Dielektrikumschichten des Mehrschichtenstapels. Die 111-V-basierte ferroelektrische Schicht ist zwischen der Kanalschicht und dem Mehrschichtenstapel angeordnet und umfasst mindestens ein Element, das aus Elementen der Gruppe III gewählt ist, mindestens ein Element, das aus Elementen der Gruppe V gewählt ist, und mindestens ein Element, das aus Übergangsmetallelementen gewählt ist.

Description

  • OUERVERWEIS AUF VERWANDTE ANMELDUNGEN
  • Diese Anmeldung beansprucht die Priorität der vorläufigen US-Patentanmeldung Nr. 63/031,049 , eingereicht am 28. Mai 2020, deren Inhalt durch Bezugnahme vollumfänglich in die vorliegende Anmeldung aufgenommen ist.
  • HINTERGRUND
  • Halbleitervorrichtungen kommen in einer Vielzahl elektronischer Anwendungen zum Einsatz, wie etwa in persönlichen Computern, Mobiltelefonen, Digitalkameras und anderer elektronischer Ausrüstung. Halbleitervorrichtungen werden üblicherweise durch sequenzielle Abscheidung isolierender oder Dielektrikumschichten, leitfähige Schichten und Halbleiterschichten über einem Halbleitersubstrat, sowie Strukturierung der verschiedenen Materialschichten unter Verwendung von Lithografie und Ätztechniken zum Bilden von Schaltungskomponenten und -elementen darauf hergestellt.
  • Die Halbleiterindustrie verbessert durch ständige Verringerungen der Mindestmerkmalgröße fortlaufend die Integrationsdichte verschiedener elektronischer Komponenten (z. B. von Transistoren, Dioden, Widerständen, Kondensatoren usw.), sodass mehr Komponenten in einen bestimmten Bereich integriert werden können.
  • Figurenliste
  • Aspekte dieser Offenbarung lassen sich am besten anhand der folgenden detaillierten Beschreibung in Verbindung mit den beiliegenden Figuren verstehen. Es wird darauf hingewiesen, dass nach den Standardverfahren in der Industrie verschiedene Merkmale nicht maßstabsgetreu gezeichnet werden. Tatsächlich können die Abmessungen der verschiedenen Merkmale zugunsten einer klaren Erläuterung willkürlich vergrößert oder verkleinert sein.
    • 1A, 1B und 1C illustrieren eine vereinfachte perspektivische Ansicht, ein Schaltdiagramm und eine Draufsicht einer ferroelektrischen Speichervorrichtung nach einigen Ausführungsformen.
    • 2A und 2B illustrieren Kurven der Polarisation in Abhängigkeit vom elektrischen Feld (P-E) von ferroelektrischen Speichervorrichtungen nach einigen Ausführungsformen.
    • 3,4,5,6,7,8,9,10,11,12,13,14,15,16A, 16B, 17A, 17B, 18A, 18B, 19A, 19B, 19C, 19D, 19E, 20A, 20B, 20C, 20D, 20E, 22, 23, 24, 25A, 25B, 26A, 26B, 27A, 27B, 28A, 28B, 29A, 29B, 30A, 30B, 30C, 30D und 30E illustrieren verschiedene Ansichten zur Herstellung eines ferroelektrische Speichervorrichtung nach einigen Ausführungsformen.
    • 21 illustriert ein Verfahren zur Herstellung einer ferroelektrischen Schicht und einer Kanalschicht einer ferroelektrischen Speichervorrichtung nach einigen Ausführungsformen.
    • 31 illustriert ein Verfahren zur Herstellung einer ferroelektrischen Schicht und einer Kanalschicht einer ferroelektrischen Speichervorrichtung nach anderen Ausführungsformen.
    • 32A, 32B und 32C illustrieren lokale vergrößerte Ansichten einer ferroelektrischen Speichervorrichtung nach einigen Ausführungsformen.
    • 33 illustriert eine vereinfachte perspektivische Ansicht einer ferroelektrischen Speichervorrichtung nach einigen Ausführungsformen.
  • AUSFÜHRLICHE BESCHREIBUNG
  • Die folgende Offenbarung stellt viele verschiedene Ausführungsformen oder Beispiele zur Umsetzung verschiedener Merkmale der Erfindung bereit. Spezifische Beispiele von Bauteilen und Anordnungen sind nachfolgend beschrieben, um diese Offenbarung zu vereinfachen. Diese sind natürlich nur Beispiele, die nicht als einschränkend zu verstehen sind. Beispielsweise kann das Bilden eines ersten Elements oder eines zweiten Elements in der folgenden Beschreibung Ausführungsformen umfassen, bei denen das erste und das zweite Element in direktem Kontakt gebildet sind, und es kann außerdem Ausführungsformen umfassen, in denen weitere Elemente zwischen dem ersten und dem zweiten Element gebildet werden können, sodass das erste und das zweite Element nicht in direktem Kontakt stehen müssen. Weiterhin kann diese Offenbarung Referenzziffern und/oder -buchstaben der verschiedenen Beispiele wiederholen. Diese Wiederholung dient der Einfachheit und Klarheit und diktiert nicht für sich eine Beziehung zwischen den verschiedenen erklärten Ausführungsformen und/oder Konfigurationen.
  • Ferner können räumlich relative Begriffe wie „unter“, „darunter“, „unterer“, „über“, „oberer“ und dergleichen hierin für eine einfachere Beschreibung verwendet werden, um die Beziehung eines Elements oder Merkmals zu einem oder mehreren anderen Element(en) oder Merkmal(en) wie in den Figuren illustriert zu beschreiben. Die räumlich relativen Begriffe sollen zusätzlich zu der Ausrichtung, die in den Figuren dargestellt ist, verschiedene Ausrichtungen der Vorrichtung in der Verwendung oder im Betrieb umfassen. Die Vorrichtung kann anderweitig ausgerichtet sein (um 90 Grad gedreht oder in einer anderen Ausrichtung) und die räumlich relativen Bezeichnungen, die hierin verwendet werden, können ebenfalls entsprechend ausgelegt werden.
  • Verschiedene Ausführungsformen stellen eine Speichervorrichtung wie etwa ein 3D-Speicherarray bereit. In einigen Ausführungsformen ist das 3D-Speicherarray ein ferroelektrischer Feldeffekttransistorspeicher (FeFET), das mehrere vertikal gestapelte Speicherzellen umfasst. In einigen Ausführungsformen werden die jeweiligen Speicherzellen als FeFET betrachtet, der eine Wortleitungsregion, die als Gateelektrode wirkt, eine Bitleitungsregion, die als erste Source-/Drain-Elektrode wirkt, und einen Source-Leitungsregion, die als zweite Source-/Drain-Elektrode wirkt, ein ferroelektrisches Material als Gatedielektrikum und einen Oxidhalbleiter (OS) als Kanalregion umfasst. In einigen Ausführungsformen werden die jeweiligen Speicherzellen als Dünnfilmtransistor (TFT) betrachtet.
  • 1A, 1B und 1C illustrieren Beispiele eines Speicherarrays nach einigen Ausführungsformen. 1A illustriert ein Beispiel eines Abschnitts einer vereinfachten ferroelektrischen Speichervorrichtung 200 in einer teilweisen dreidimensionalen Ansicht; 1B zeigt ein Schaltbild der ferroelektrischen Speichervorrichtung 200; und 1C zeigt eine Ansicht der ferroelektrischen Speichervorrichtung 200 von oben nach unten nach einigen Ausführungsformen. Die ferroelektrische Speichervorrichtung 200 umfasst mehrere Speicherzellen 202, die in einem Gitter aus Zeilen und Spalten angeordnet sein können. Die Speicherzellen 202 können ferner vertikal gestapelt sein, um ein dreidimensionales Speicherarray bereitzustellen, und damit die Vorrichtungsdichte zu erhöhen. Die ferroelektrische Speichervorrichtung 200 kann in dem Back-End-of-Line (BEOL) eines Halbleiterdies. Beispielsweise kann das Speicherarray in den Interconnect-Schichten des Halbleiterdies, wie etwa über einer oder mehreren aktiven Vorrichtungen (z. B. Transistoren), die auf einem Halbleitersubstrat gebildet wurden.
  • In einigen Ausführungsformen ist die ferroelektrische Speichervorrichtung 200 ein Speicherarray, wie etwa ein NOR-Speicherarray oder dergleichen. In einigen Ausführungsformen ist ein Gate jeder Speicherzelle 202 elektrisch mit einer jeweiligen Wortleitung (z. B. Leiterbahn 72) gekoppelt, eine erste Source-/Drainregion jeder Speicherzelle 202 ist elektrisch mit einer jeweiligen Bitleitung (z. B. Leiterbahn 116B) gekoppelt, und eine zweite Source-/Drainregion jeder Speicherzelle 202 ist elektrisch mit einer jeweiligen Source-Leitung (z. B. Leiterbahn 116A) gekoppelt, die die zweite Source-/Drainregion elektrisch mit Erde verbindet. Die Speicherzellen 202 in einer selben horizontalen Zeile der ferroelektrischen Speichervorrichtung 200 können eine gemeinsame Wordleitung teilen, während die Speicherzellen 202 in einer selben vertikalen Spalte der ferroelektrischen Speichervorrichtung 200 eine gemeinsame Source-Leitung und eine gemeinsame Bitleitung teilen können.
  • Die ferroelektrische Speichervorrichtung 200 umfasst mehrere vertikal gestapelte Leiterbahnen 72 (z. B. Wordleitungen) mit Dielektrikumschichten 52, die zwischen benachbarten der Leiterbahnen 72 angeordnet sind. Die Leiterbahnen 72 erstrecken sich in einer Richtung parallel zu einer wichtigen Fläche eines darunterliegenden Substrats (in 1A und 1B nicht explizit illustriert). Die Leiterbahnen 72 können eine Treppenkonfiguration aufweisen, sodass tiefere der Leiterbahnen 72 länger sind als obere der Leiterbahnen 72 und sich seitlich über deren Endpunkte hinaus erstrecken. Beispielsweise sind in 1A mehrere gestapelte Schichten der Leiterbahnen 72 illustriert, wobei oberste der Leiterbahnen 72 die kürzesten und unterste der Leiterbahnen 72 die längsten sind. Jeweilige Längen der Leiterbahnen 72 können in einer Richtung zu dem darunterliegenden Substrat hin zunehmen. In dieser Weise kann ein Teil jeder der Leiterbahnen 72 von oberhalb der ferroelektrischen Speichervorrichtung 200 zugänglich sein, und es können leitfähige Kontakte hergestellt werden, um belichtete Abschnitte der Leiterbahnen 72 zu kontaktieren.
  • Die ferroelektrische Speichervorrichtung 200 umfasst ferner leitfähige Säulen 106 (z. B. elektrisch verbunden mit Bitleitungen) und leitfähige Säulen 108 (z. B. elektrisch verbunden mit Source-Leitungen), die abwechselnd angeordnet sind. Die leitfähigen Säulen 106 und 108 können sich jeweils in einer Richtung rechtwinklig zu den Leiterbahnen 72 erstrecken. Ein Dielektrikum 98 ist zwischen benachbarten der leitfähigen Säulen 106 und den leitfähigen Säulen 108 angeordnet und isoliert diese.
  • Paare der leitfähigen Säulen 106 und 108 definieren zusammen mit einer schneidenden Leiterbahn 72 Grenzen jeder Speicherzelle 202, und eine Isolierungssäule 102 ist zwischen benachbarten Paaren der leitfähigen Säulen 106 und 108 angeordnet und isoliert diese. In einigen Ausführungsformen sind die leitfähigen Säulen 108 elektrisch mit der Erde gekoppelt. Auch wenn 1A eine bestimmte Platzierung der leitfähigen Säulen 106 bezüglich der leitfähigen Säulen 108 illustriert, sollte beachtet werden, dass die Platzierung der leitfähigen Säulen 106 und 108 in anderen Ausführungsformen ausgetauscht sein kann.
  • In einigen Ausführungsformen kann die ferroelektrische Speichervorrichtung 200 auch ein Oxidhalbleitermaterial (OS) als Kanalschicht 92 umfassen. Die Kanalschicht 92 kann Kanalregionen für die Speicherzellen 202 bereitstellen. Wenn beispielsweise eine geeignete Spannung (z. B. höher als eine jeweilige Schwellenspannung (Vth) einer entsprechenden Speicherzelle 202) über eine entsprechende Leiterbahn 72 angelegt wird, kann eine Region der Kanalschicht 92, die die Leiterbahn 72 schneidet, einen Stromfluss von den leitfähigen Säulen 106 zu den leitfähigen Säulen 108 ermöglichen (z. B. in der durch den Pfeil 206 angegebenen Richtung).
  • In einigen Ausführungsformen ist eine III-V-basierte ferroelektrische Schicht 90 zwischen der Kanalschicht 92 und jeder der Leiterbahnen 72 und den Dielektrikumschichten 52 angeordnet. Die 111-V-basierte ferroelektrische Schicht 90 kann als Gatedielektrikum für jede Speicherzelle 202 dienen. In einigen Ausführungsformen erstreckt sich die III-V-basierte ferroelektrische Schicht 90 kontinuierlich über mehrere der Leiterbahnen 72 und/oder die Dielektrikumschichten 52. In einigen Ausführungsformen der Offenbarung umfasst die III-V-basierte ferroelektrische Schicht 90 eine III-V-Verbindung in Kombination mit einem Übergangsmetall (z. B. einem Seltenerdmetall) anstelle des herkömmlichen hafniumbasierten ferroelektrischen Materials. In einigen Ausführungsformen kann die III-V-basierte ferroelektrische Schicht 90 beispielsweise Aluminium-Scandium-Nitrid (AlScN), Aluminium-Yttrium-Nitrid (AlYN), Gallium-Scandium-Nitrid (GaScN), Indium-Scandium-Nitrid (InScN) oder dergleichen umfassen. Ein solches III-V-basiertes ferroelektrisches Material kann eine bessere Schaltleistung aufweisen als das herkömmliche hafniumbasierte ferroelektrische Material. Beispielsweise wird, wie in 2A gezeigt ist, eine beispielhafte P-E-Kurve (Polarisations-Elektrische-Feld-Kurve) des hafniumbasierten ferroelektrischen Materials allmählich und ohne scharfe Punkte geändert, was zu Problemen bei der Halbselektion und bei Schreibstörungen führt. Wie in 2B gezeigt ist, kann das III-V-basierte ferroelektrische Material der Offenbarung jedoch eine quadratische P-E-Schleife aufweisen und somit das Problem der Schreibstörung minimieren.
  • Die 111-V-basierte ferroelektrische Schicht 90 ist in eine von zwei verschiedenen Richtungen polarisiert, und die Polarisationsrichtung wird durch Anlegen einer geeigneten Spannungsdifferenz über die III-V-basierte ferroelektrische Schicht 90 und Erzeugen eines geeigneten elektrischen Feldes geändert. Die Polarisation kann relativ lokalisiert sein (z. B. im Allgemeinen innerhalb jeder Begrenzung der Speicherzellen 202 enthalten), und eine kontinuierliche Region der III-V-basierten ferroelektrischen Schicht 90 kann sich über mehrere Speicherzellen 202 erstrecken. Abhängig von einer Polarisationsrichtung einer bestimmten Region der III-V-basierten ferroelektrischen Schicht 90 variiert eine Schwellenspannung einer entsprechenden Speicherzelle 202, und ein digitaler Wert (z. B. 0 oder 1) kann gespeichert werden. Wenn beispielsweise eine Region der III-V-basierten ferroelektrischen Schicht 90 eine erste elektrische Polarisationsrichtung hat, kann die entsprechende Speicherzelle 202 eine relativ niedrige Schwellenspannung haben, und wenn die Region der III-V-basierten ferroelektrischen Schicht 90 eine zweite elektrische Polarisationsrichtung hat, kann die entsprechende Speicherzelle 202 eine relativ hohe Schwellenspannung haben. Die Differenz zwischen den beiden Schwellenspannungen kann als die Schwellenspannungsverschiebung bezeichnet werden. Eine größere Schwellenspannungsverschiebung macht es leichter (z. B. weniger fehleranfällig), den digitalen Wert zu lesen, der in der entsprechenden Speicherzelle 202 gespeichert ist.
  • Um einen Schreibvorgang auf einer Speicherzelle 202 in solchen Ausführungsformen durchzuführen, wird eine Schreibspannung über einen Abschnitt der III-V-basierten ferroelektrischen Schicht 90 angelegt, der der Speicherzelle 202 entspricht. In einigen Ausführungsformen wird die Schreibspannung z. B. durch Anlegen geeigneter Spannungen an eine entsprechende Leiterbahn 72 (beispielsweise die Wortleitung) und die entsprechenden leitfähigen Säulen 106/108 (z. B. die Bitleitung/Source-Leitung) angelegt. In solchen Ausführungsformen ist die Leiterbahn 72 so konfiguriert, dass sie als Gateelektrodenschicht wirkt. Durch Anlegen der Schreibspannung über den Abschnitt der III-V-basierten ferroelektrischen Schicht 90 kann eine Polarisationsrichtung der Region der III-V-basierten ferroelektrischen Schicht 90 geändert werden. Dadurch kann auch die entsprechende Schwellenspannung der entsprechenden Speicherzelle 202 von einer niedrigen Schwellenspannung auf eine hohe Schwellenspannung oder umgekehrt umgeschaltet werden, und ein digitaler Wert kann in der Speicherzelle 202 gespeichert werden. Da die Leiterbahnen 72 die leitfähigen Säulen 106 und 108 schneiden, können einzelne Speicherzellen 202 für die Schreiboperation gewählt werden.
  • Um in solchen Ausführungsformen einen Lesevorgang an der Speicherzelle 202 durchzuführen, wird eine Lesespannung (eine Spannung zwischen der niedrigen und der hohen Schwellenspannung) an die entsprechende Leiterbahn 72 (z. B. die Wortleitung) angelegt. Abhängig von der Polarisationsrichtung der entsprechenden Region der III-V-basierten ferroelektrischen Schicht 90 kann die Speicherzelle 202 eingeschaltet werden oder nicht. Daher kann die leitfähige Säule 106 durch die leitfähige Säule 108 (z. B. eine Source-Leitung, die mit der Erde gekoppelt ist) entladen werden, muss jedoch nicht, und der digitale Wert, der in der Speicherzelle 202 gespeichert ist, kann bestimmt werden. Da die Leiterbahnen 72 die leitfähigen Säulen 106 und 108 schneiden, können einzelne Speicherzellen 202 für die Leseoperation gewählt werden.
  • In 1A sind ferner Leitungen illustriert, die Referenzquerschnitten der ferroelektrischen Speichereinrichtung 200 entsprechen, die in späteren Figuren verwendet werden. Querschnitt B-B' verläuft entlang einer Längsachse der Leiterbahnen 72 und in einer Richtung, beispielsweise parallel zur Richtung des aktuellen Flusses der Speicherzellen 202. Der Querschnitt C-C' steht senkrecht zum Querschnitt B-B' und verläuft durch das Dielektrikum 98 und die Isolationssäulen 102. Der Querschnitt D-D' verläuft senkrecht zum Querschnitt B-B' und erstreckt sich durch das Dielektrikum 98 und die leitfähigen Säulen 106. Nachfolgende Figuren beziehen sich um der Klarheit Willen auf diese Referenzquerschnitte.
  • In 3 ist ein Substrat 50 bereitgestellt. Das Substrat 50 kann ein Halbleitersubstrat sein, wie etwa ein Bulkhalbleitersubstrat, ein Halbleiter-auf-Isolator-Substrat (SOI-Substrat) oder dergleichen, das dotiert (z. B. mit einem p- oder n-Dotiermittel) oder undotiert sein kann. Das Substrat 50 kann ein integriertes Schaltungsdie sein, wie etwa ein Logikdie, ein Speicherdie, ein ASIC-Die oder dergleichen. Das Substrat 50 kann ein komplementärer Metalloxidhalbleiterdie (CMOS-Die) sein und kann als CMOS-Under-Array (CUA) bezeichnet werden. Das Substrat 50 kann ein Wafer sein, wie etwa ein Siliziumwafer. Allgemein ist ein SOI-Substrat eine Schicht eines Halbleitermaterials, das auf einer Isolationsschicht gebildet ist. Die Isolierungsschicht kann beispielsweise eine Buried-OxidSchicht (BOX-Schicht), eine Siliziumoxidschicht oder dergleichen sein. Die Isolationsschicht wird an einem Substrat bereitgestellt, üblicherweise an Silizium oder einem Glassubstrat. Andere Substrat, wie etwa ein mehrschichtiges oder Gefällesubstrat, können ebenfalls verwendet werden. In einigen Ausführungsformen kann das Halbleitermaterial des Substrats 50 Silizium; Germanium; einen Verbundhalbleiter, umfassend Siliziumkarbid, Galliumarsen, Galliumphosphid, Indiumphosphid, Indiumarsenid und/oder Indiumantimonid; einen Legierungshalbleiter, umfassend Siliziumgermanium, Galliumarsenidphosphid, Aluminiumindiumarsenid, Aluminiumgalliumarsenid, Galliumindiumarsenid, Galliumindiumphosphid und/oder Galliumindiumarsenidphosphid; oder Kombinationen daraus umfassen.
  • 3 illustriert ferner Schaltungen, die über dem Substrat 50 gebildet sein können. Die Schaltungen umfassen Transistoren an einer oberen Fläche des Substrats 50. Die Transistoren können Gatedielektrikumschichten 302 über oberen Flächen des Substrats 50 und Gateelektroden 304 über den Gatedielektrikumschichten 302 umfassen. Source-/Drainregionen 306 sind in dem Substrat 50 an gegenüberliegenden Seiten der Gatedielektrikumschichten 302 und der Gateelektroden 304 angeordnet. Gateabstandhalter 308 werden von den Gateelektroden 304 durch geeignete seitliche Abstände entlang von Seitenwänden der Gatedielektrikumschichten 302 und getrennt von den Source-/Drainregionen 306 gebildet. Die Transistoren können Fin-Feldeffekttransistoren (FinFETs), FETs mit Nanostruktur (z. B. Nanosheet, Nanodraht, Gate-all-around oder dergleichen), FETs (Nano-FETs), planare FETs oder dergleichen oder Kombinationen davon umfassen und können durch Gate-zuerst- oder Gate-zuletzt-Prozesse gebildet werden.
  • Ein erstes Zwischenschichtdielektrikum (ILD) 310 umgibt und isoliert die Source/Drainregionen 306, die Gatedielektrikumschichten 302 und die Gateelektroden 304. Eine zweite ILD 312 befindet sich über der ersten ILD 310. Source/Drain-Kontakte 314 erstrecken sich durch die zweite ILD 312 und die erste ILD 310 und sind elektrisch mit den Source/Drainregionen 306 gekoppelt. Gatekontakte 316 erstrecken sich durch die zweite ILD 312 und sind elektrisch mit den Gateelektroden 304 gekoppelt. Eine Interconnect-Struktur 320 befindet sich über der zweiten ILD 312, den Source/Drain-Kontakten 314 und den Gatekontakten 316. Die Interconnect-Struktur 320 umfasst eine oder mehrere gestapelte Dielektrikumschichten 324 und leitfähige Merkmale 322, die z. B. in der einen oder den mehreren Dielektrikumschichten 324 gebildet sind. Die Interconnect-Struktur 320 kann elektrisch mit den Gatekontakten 316 und den Source-/Drain-Kontakten 314 verbunden sein, um Funktionsschaltungen zu bilden. In einigen Ausführungsformen können die Funktionsschaltungen, die durch die Interconnect-Struktur 320 gebildet sind, Logikschaltungen, Speicherschaltungen, Sinnverstärker, Controller, Eingabe-/Ausgabe-Schaltungen, Bildsensorschaltungen, dergleichen, oder Kombinationen davon umfassen. Auch wenn 3 Transistoren bespricht, die über dem Substrat 50 gebildet sind, können andere aktive Vorrichtungen (z. B. Dioden oder dergleichen) und/oder passive Vorrichtungen (z. B. Kondensatoren Widerstände oder dergleichen) als Abschnitt der Funktionsschaltungen gebildet sein.
  • In 4 ist ein Mehrschichtenstapel 58 über der Struktur von 3 gebildet. Das Substrat 50, die Transistoren, die ILDs und die Interconnect-Struktur 320 kann von nachfolgenden Zeichnungen zum Zweck der Einfachheit und Klarheit ausgelassen werden. Auch wenn der Mehrschichtenstapel 58 als die Dielektrikumschichten 324 der Interconnect-Struktur 320 kontaktierend illustriert ist, kann eine beliebige Anzahl von Zwischenschichten zwischen dem Substrat 50 und dem Mehrschichtenstapel 58 angeordnet sein. Beispielsweise können eine oder mehrere Interconnect-Schichten mit leitfähigen Merkmalen in isolierenden Schichten (z. B. Dielektrikumschichten mit niedrigem k-Wert) zwischen dem Substrat 50 und dem Mehrschichtenstapel 58 angeordnet sein. In einigen Ausführungsformen können die leitfähigen Merkmale strukturiert sein, um Energie, Erdung und/oder Signalleitungen an die aktiven Vorrichtungen auf dem Substrat 50 und/oder der ferroelektrischen Speichervorrichtung 200 bereitzustellen (siehe 1A und 1B). In einigen Ausführungsformen können eine oder mehrere Interconnect-Schichten mit leitfähigen Merkmalen in isolierenden Schichten (z. B. Dielektrikumschichten mit niedrigem k-Wert) über dem Mehrschichtenstapel 58 angeordnet sein.
  • In 4 umfasst der Mehrschichtenstapel 58 abwechselnde Schichten aus Opferschichten 53A bis 53D (zusammen als Opferschichten 53 bezeichnet) und Dielektrikumschichten 52A bis 52E (zusammen als Dielektrikumschichten 52 bezeichnet). Die Opferschichten 53 können in nachfolgenden Schritten strukturiert und ersetzt werden, um Leiterbahnen 72 (z. B. die Wortlinien) zu definieren. Die Opferschichten 53 können Dielektrika umfassen, wie etwa Siliziumoxid, Siliziumnitrid, Siliziumoxynitrid, Kombinationen davon oder dergleichen. Die Dielektrikumschichten 52 können isolierende Materialien umfassen, wie etwa Siliziumoxid, Siliziumnitrid, Siliziumoxynitrid, Kombinationen davon oder dergleichen. Die Opferschichten 53 und die Dielektrikumschichten 52 umfassen verschiedene Materialien mit unterschiedlichen Ätzselektivitäten. In einigen Ausführungsformen umfassen die Opferschichten 53 Siliziumnitrid und die Dielektrikumschichten 52 Siliziumoxid. Jede der Opferschichten 53 und der Dielektrikumschichten 52 kann beispielsweise unter Verwendung von chemischer Gasphasenabscheidung (CVD), Atomlagenabscheidung (ALD), physischer Gasphasenabscheidung (PVD), plasmaverstärkter CVD (PECVD) oder dergleichen gebildet sein.
  • Auch wenn in 4 eine bestimmte Anzahl der Opferschichten 53 und der Dielektrikumschichten 52 illustriert ist, können andere Ausführungsformen eine andere Anzahl der Opferschichten 53 und der Dielektrikumschichten 52 umfassen. Auch wenn der Mehrschichtenstapel 58 mit Dielektrikumschichten als oberste und unterste Schicht illustriert ist, ist die Offenbarung nicht darauf beschränkt. In einigen Ausführungsformen ist mindestens eine der obersten und untersten Schichten des Mehrschichtenstapels 58 eine Opferschicht.
  • 5 bis 13 sind Ansichten von Zwischenstufen in der Herstellung einer Treppenstruktur der ferroelektrischen Speichervorrichtung 200 nach einigen Ausführungsformen. 5 bis 13 sind entlang Referenzquerschnitt B-B' illustriert, der in 1A illustriert ist.
  • In 5 ist über dem Mehrschichtenstapel 58 ein Fotolack 56 gebildet. In einigen Ausführungsformen wird der Fotolack 56 durch eine Spin-on-Technik gebildet und durch eine annehmbare Fotolithografietechnik strukturiert. Das Strukturieren des Fotolacks 56 kann den Mehrschichtenstapel 58 in Regionen 60 belichten, während die übrigen Abschnitte des Mehrschichtenstapels 58 maskiert sind. Beispielsweise kann eine oberste Schicht des Mehrschichtenstapels 58 (z. B. die Dielektrikumschicht 52E) in den Regionen 60 belichtet werden.
  • In 6 sind die belichteten Abschnitte des Mehrschichtenstapels 58 in den Regionen 60 unter Verwendung des Fotolacks 56 als eine Maske geätzt. Das Ätzen kann jeder akzeptable Ätzprozess sein, wie etwa ein Trockenätzen (z. B. ein reaktives Ionenätzen (RIE), neutrales Strahlätzen (NBE), ein Nassätzen, dergleichen) oder eine Kombination daraus. Das Ätzen kann anisotrop sein. Das Ätzen kann Abschnitte der Dielektrikumschicht 52E und der Opferschicht 53D in den Regionen 60 entfernen und Öffnungen 61 definieren. Da die Dielektrikumschicht 52E und die Opferschicht 53D unterschiedliche Materialzusammensetzungen haben, können die Ätzmittel, die zum Entfernen der belichteten Abschnitte dieser Schichten verwendet werden, unterschiedlich sein. In einigen Ausführungsformen wirkt die Opferschicht 53D als Ätzstoppschicht beim Ätzen der Dielektrikumschicht 52E und die Dielektrikumschicht 52D wirkt als Ätzstoppschicht beim Ätzen der Opferschicht 53D. Daher können die Abschnitte der Dielektrikumschicht 52E und die Opferschicht 53D selektiv entfernt werden, ohne verbleibende Schichten des Mehrschichtenstapels 58 zu entfernen, und die Öffnungen 61 können auf eine gewünschte Tiefe erstreckt werden. Alternativ dazu kann ein Zeitmodusätzprozesse verwendet werden, um das Ätzen der Öffnungen 61 zu stoppen, nachdem die Öffnungen 61 eine gewünschte Tiefe erreichen. In der entstehenden Struktur wird die Dielektrikumschicht 52D in den Regionen 60 belichtet.
  • In 7 wird der Fotolack 56 zugeschnitten, um weitere Abschnitte des Mehrschichtenstapels 58 zu belichten. In einigen Ausführungsformen wird der Fotolack 56 durch eine akzeptable Entfernungstechnik, wie etwa ein seitliches Ätzen, abgeschliffen. Durch das Zuschneiden wird eine Breite des Fotolacks 56 verringert, und Abschnitte des Mehrschichtenstapels 58 in den Regionen 60 und Regionen 62 können belichtet sein. Beispielsweise können obere Flächen der Dielektrikumschicht 52D in den Regionen 60 belichtet sein, und obere Flächen der Dielektrikumschicht 52E kann in den Regionen 62 belichtet sein.
  • In 8 werden Abschnitte der Dielektrikumschicht 52E, der Opferschicht 53D, der Dielektrikumschicht 52D und der Opferschicht 53C in den Regionen 60 und den Regionen 62 durch akzeptable Ätzprozesse unter Verwendung des Fotolacks 56 als Maske entfernt. Das Ätzen kann jeder akzeptable Ätzprozess sein, wie z. B. ein Trockenätzprozess (z. B. RIE, NBE, o.ä.), ein Nassätzprozess dergleichen, oder eine Kombination daraus. Das Ätzen kann anisotrop sein. Das Ätzen kann die Öffnungen 61 weiter in den Mehrschichtenstapel 58 erstrecken. Da die Opferschichten 53D und 53C und die Dielektrikumschichten 52E und 52D unterschiedliche Materialzusammensetzungen haben, können die Ätzmittel, die zum Entfernen der belichteten Abschnitte dieser Schichten verwendet werden, unterschiedlich sein. In einigen Ausführungsformen werden Abschnitte der Dielektrikumschichten 52E und 52D in den Regionen 62 und 60 entfernt, indem der Fotolack 56 als Maske verwendet wird und die darunter liegenden Opferschichten 53D und 53C als Ätzstoppschichten eingesetzt werden. Danach werden die belichteten Abschnitte der Opferschichten 53D und 53C in den Regionen 62 und 60 entfernt, indem der Fotolack 56 als Maske verwendet wird und die darunter liegenden Dielektrikumschichten 52D und 52C als Ätzstoppschichten verwendet werden. In der entstehenden Struktur ist die Dielektrikumschicht 52C in den Regionen 60 und die Dielektrikumschicht 52D in den Regionen 62 belichtet.
  • In 9 wird der Fotolack 56 zugeschnitten, um weitere Abschnitte des Mehrschichtenstapels 58 zu belichten. In einigen Ausführungsformen wird der Fotolack 56 durch eine akzeptable Entfernungstechnik, wie etwa ein seitliches Ätzen, abgeschliffen. Durch das Zuschneiden wird eine Breite des Fotolacks 56 verringert, und Abschnitte des Mehrschichtenstapels 58 in den Regionen 60, der Regionen 62 und Regionen 64 können belichtet sein. Beispielsweise können die oberen Flächen der Dielektrikumschicht 52C in den Regionen 60 belichtet sein, die oberen Flächen der Dielektrikumschicht 52D können in den Regionen 62 belichtet sein und die oberen Flächen der Dielektrikumschicht 52E können in den Regionen 64 belichtet sein.
  • In 10 werden Abschnitte der Dielektrikumschichten 52E, 52D und 52C und der Opferschichten 53D, 53C und 53B in den Regionen 60, den Regionen 62 und den Regionen 64 durch akzeptable Ätzprozesse unter Verwendung des Fotolacks 56 als Maske entfernt. Das Ätzen kann jeder akzeptable Ätzprozess sein, wie z. B. ein Trockenätzprozess (z. B. RIE, NBE, o.ä.), ein Nassätzprozess dergleichen, oder eine Kombination daraus. Das Ätzen kann anisotrop sein. Das Ätzen kann die Öffnungen 61 weiter in den Mehrschichtenstapel 58 erstrecken. Da die Dielektrikumschichten 52C bis 52E und die Opferschichten 53B bis 53D unterschiedliche Materialzusammensetzungen haben, können die Ätzmittel, die zum Entfernen der belichteten Abschnitte dieser Schichten verwendet werden, unterschiedlich sein. In einigen Ausführungsformen werden Abschnitte der Dielektrikumschichten 52E, 52D und 52C in den Regionen 64, 62 und 60 entfernt, indem der Fotolack 56 als Maske verwendet wird und die darunter liegenden Opferschichten 53D, 53C und 53B als Ätzstoppschichten eingesetzt werden. Danach werden die belichteten Abschnitte der Opferschichten 53D, 53C und 53B in den Regionen 64, 62 und 60 entfernt, indem der Fotolack 56 als Maske verwendet wird und die darunter liegenden Dielektrikumschichten 52D, 52C und 52B als Ätzstoppschichten verwendet werden. In der entstehenden Struktur ist die Dielektrikumschicht 52B in den Regionen 60 belichtet; die Dielektrikumschicht 52C ist in den Regionen 62 belichtet; und die Dielektrikumschicht 52D ist in den Regionen 64 belichtet.
  • In 11 wird der Fotolack 56 zugeschnitten, um weitere Abschnitte des Mehrschichtenstapels 58 zu belichten. In einigen Ausführungsformen wird der Fotolack 56 durch eine akzeptable Entfernungstechnik, wie etwa ein seitliches Ätzen, abgeschliffen. Durch das Zuschneiden wird eine Breite des Fotolacks 56 reduziert, und Abschnitte des Mehrschichtenstapels 58 in den Regionen 60, den Regionen 62, den Regionen 64 und den Regionen 66 können belichtet werden. Beispielsweise können die oberen Flächen der Dielektrikumschicht 52B in den Regionen 60 belichtet sein; die oberen Flächen der Dielektrikumschicht 52C können in den Regionen 62 belichtet sein; und die oberen Flächen der Dielektrikumschicht 52D können in den Regionen 64 belichtet sein; und die oberen Flächen der Dielektrikumschicht 52E können in den Regionen 66 belichtet sein.
  • In 12 werden Abschnitte der Dielektrikumschichten 52E, 52D, 52C und 52B in den Regionen 60, den Regionen 62, den Regionen 64 und den Regionen 66 durch akzeptable Ätzprozesse unter Verwendung des Fotolacks 56 als Maske entfernt. Das Ätzen kann jeder akzeptable Ätzprozess sein, wie z. B. ein Trockenätzprozess (z. B. RIE, NBE, o.ä.), ein Nassätzprozess dergleichen, oder eine Kombination daraus. Das Ätzen kann anisotrop sein. Das Ätzen kann die Öffnungen 61 weiter in den Mehrschichtenstapel 58 erstrecken. In einigen Ausführungsformen werden Abschnitte der Dielektrikumschichten 52E, 52D, 52C und 52B in den Regionen 66, 64, 62 und 60 entfernt, indem der Fotolack 56 als Maske verwendet wird und die darunter liegenden Opferschichten 53D, 53C, 53B und 53A als Ätzstoppschichten verwendet werden. In der entstehenden Struktur ist die Opferschicht 53A in den Regionen 60 belichtet; die Opferschicht 53B ist in den Regionen 62 belichtet; die Opferschicht 53C ist in den Regionen 64 belichtet; und die Opferschicht 53D ist in den Regionen 66 belichtet. Danach kann der Fotolack 56 durch einen akzeptablen Aschen- oder Wet-Stripping-Prozess entfernt werden.
  • In 13 wird ein Zwischenmetalldielektrikum (IMD) 70 über dem Mehrschichtenstapel 58 abgeschieden. Das IMD 70 kann aus einem Dielektrikum gebildet sein und durch ein beliebiges geeignetes Verfahren abgeschieden werden, wie etwa CVD, PECVD, fließfähiges CVD (FCVD) oder dergleichen. Die Dielektrika können Phosphorsilikatglas (PSG), Bor-Silikatglas (BSG), Bor-dotiertes Phosphorsilikatglas (BPSG), undotiertes Silikatglas (USG) oder dergleichen umfassen. In einigen Ausführungsformen kann das IMD 70 ein Oxid (z. B. Siliziumoxid oder dergleichen), ein Nitrid (z. B. Siliziumnitrid oder dergleichen), eine Kombination daraus oder dergleichen umfassen. Andere Dielektrika, die durch einen akzeptablen Prozess gebildet werden, können verwendet werden. Das IMD 70 erstreckt sich entlang von Seitenwänden der Opferschichten 53B bis 53D und Seitenwänden der Dielektrikumschichten 52B bis 52E. Außerdem kann das IMD 70 die oberen Flächen der Opferschichten 53A bis 53D und der Dielektrikumschicht 52E kontaktieren.
  • Danach wird ein Entfernungsprozess auf das IMD 70 angewendet, um überschüssiges Dielektrikum über dem Mehrschichtenstapel 58 zu entfernen. In einigen Ausführungsformen kann der Entfernungsprozess ein Planarisierungsprozess sein, wie etwa ein chemisch-mechanisches Polieren (CMP), ein Rückätzprozess, Kombinationen davon oder dergleichen. Der Planarisierungsprozess belichtet den Mehrschichtenstapel 58, sodass die oberen Flächen des Mehrschichtenstapels 58 und IMD 70 nach dem Abschluss des Planarisierungsprozesses eben sind.
  • Wie in 13 gezeigt ist, entsteht so eine Zwischen- und Massentreppenstruktur. Die dazwischen liegende Treppenstruktur umfasst abwechselnde Schichten aus Opferschichten 53 und Dielektrikumschichten 52. Die Opferschichten 53 werden anschließend durch Leiterbahnen 72 ersetzt, die in den 17A und 17B näher beschrieben werden. Die unteren Leiterbahnen 72 sind länger und erstrecken sich seitlich an den oberen Leiterbahnen 72 vorbei, und eine Breite jeder der Leiterbahnen 72 nimmt in Richtung des Substrats 50 zu (siehe 1A).
  • 14 bis 17B sind Ansichten von Zwischenstufen bei der Herstellung einer Speicherregion der ferroelektrischen Speichervorrichtung 200 nach einigen Ausführungsformen. In den 14 bis 17B ist der Bulk-Mehrschichtenstapel 58 so strukturiert, dass er Gräben 86 bildet, und die Opferschichten 53 werden durch leitfähige Materialien ersetzt, um die Leiterbahnen 72 zu definieren. Die Leiterbahnen 72 können Wortleitungen in der ferroelektrischen Speichervorrichtung 200 entsprechen, und die Leiterbahnen 72 können ferner Gateelektroden für die entstehenden Speicherzellen der ferroelektrischen Speichervorrichtung 200 bereitstellen. 14, 15, 16B und 17B sind entlang des in 1-A illustrierten Referenzquerschnitts C-C' illustriert. 16A und 17A sind in einer dreidimensionalen Teilansicht illustriert.
  • 14 werden Fotolackstrukturen 82 und darunter liegende Hartmaskenstrukturen 80 über dem Mehrschichtenstapel 58 gebildet. In einigen Ausführungsformen werden eine Hartmaskenschicht und eine Fotolackschicht nacheinander über dem Mehrschichtenstapel 58 gebildet. Die Hartmaskenschicht kann beispielsweise Siliziumnitrid, Siliziumoxynitrid oder dergleichen umfassen, die durch CVD, PVD, ALD, PECVD oder dergleichen abgeschieden sein können. Die Fotolackschicht wird beispielsweise durch ein Spin-On-Verfahren gebildet.
  • Danach wird die Fotolackschicht strukturiert, um Fotolackstrukturen 82 und Gräben 86 zwischen den Fotolackstrukturen 82 zu bilden. Die Fotolacke werden z. B. mit einer akzeptablen Fotolithografietechnik strukturiert. Die Strukturen der Fotolackstrukturen 82 werden dann auf die Hartmaskenschicht übertragen, um Hartmaskenstrukturen 80 zu bilden, indem ein akzeptables Ätzprozess verwendet wird, wie etwa ein Trockenätzprozess (z. B. RIE, NBE oder dergleichen), ein Nassätzprozess oder dergleichen oder eine Kombination daraus. Das Ätzen kann anisotrop sein. So sind Gräben 86 gebildet, die sich durch die Hartmaskenschicht erstrecken. Danach können die Fotolackstrukturen 82 optional entfernt werden, z. B. durch einen Veraschungsprozess.
  • In den 15 bis 16B werden die Strukturen der Hartmaskenstrukturen 80 mit einem oder mehreren akzeptablen Ätzprozesse auf den Mehrschichtenstapel 58 übertragen, z. B. durch ein Trockenätzprozess (z. B. RIE, NBE oder dergleichen), ein Nassätzprozess, dergleichen oder eine Kombination daraus. Die Ätzprozesse können anisotrop sein. So erstrecken sich die Gräben 86 durch den Bulk-Mehrschichtenstapel 58, und es werden entsprechend streifenförmige Opferschichten 53 und streifenförmige Dielektrikumschichten 52 definiert. In einigen Ausführungsformen erstrecken sich die Gräben 86 durch die massive Treppenstruktur, und es werden entsprechend streifenförmige Treppenstrukturen definiert. Die Hartmaskenstrukturen 80 können dann durch ein akzeptables Verfahren entfernt werden, wie etwa ein Nassätzverfahren, ein Trockenätzverfahren, ein Planarisierungsverfahren, Kombinationen davon oder dergleichen.
  • In 16A bis 17B sind Abschnitte der Opferschichten 53A bis 53D (zusammen als Opferschichten 53 bezeichnet) durch Leiterbahnen 72A bis 72D (zusammen als Leiterbahnen 72 bezeichnet) ersetzt. In einigen Ausführungsformen werden Abschnitte der Opferschichten 53 durch einen akzeptablen Prozess entfernt, wie etwa einen Nassätzprozess, einen Trockenätzprozess oder beides. In einigen Ausführungsformen weist eine Peripherieregion, die eine Array-Region mit einem Speicher-Array umgibt, einige Abschnitte der Opferschichten 53 auf, die durch den genannten Ersatzprozess nicht entfernt werden. Daher stellen einige Abschnitte der Opferschichten 53 in der Peripherieregion auch eine weitere Unterstützung bereit, um zu verhindern, dass die Dielektrikumschichten 52 in der Array-Region zusammenbrechen.
  • Danach werden Leiterbahnen 72 in den Raum zwischen zwei benachbarten Dielektrikumschichten 52 gefüllt. Wie in der lokalen vergrößerten Ansicht dargestellt, umfasst jede Leiterbahn 72 zwei Sperrschichten 71 und 75 und eine Metallschicht 73 zwischen den Sperrschichten 71 und 75. Speziell ist zwischen der Metallschicht 73 und der benachbarten Dielektrikumschicht 52 eine Sperrschicht angeordnet. Die Sperrschichten können die Diffusion der Metallschicht zu den benachbarten Dielektrikumschichten 52 verhindern. Die Sperrschichten können auch die Funktion bereitstellen, die Adhäsion zwischen der Metallschicht und den benachbarten Dielektrikumschichten zu erhöhen und können in einigen Beispielen als Klebeschichten bezeichnet werden. In einigen Ausführungsformen werden je nach Bedarf sowohl Sperrschichten als auch Klebeschichten mit unterschiedlichen Materialien bereitgestellt. Die Sperrschichten 71 und 75 sind aus einem ersten leitfähigen Material, z. B. einem Metallnitrid, wie Titannitrid, Tantalnitrid, Molybdännitrid, Zirkoniumnitrid, Hafniumnitrid oder dergleichen, gebildet. Die Metallschicht 73 kann aus einem zweiten leitfähigen Material gebildet sein, z. B. aus einem Metall, wie Wolfram, Ruthenium, Molybdän, Kobalt, Aluminium, Nickel, Kupfer, Silber, Gold, Legierungen davon oder dergleichen. Die Sperrschichten 71, 75 und die Metallschicht 73 können jeweils durch einen akzeptablen Abscheidungsprozess wie CVD, PVD, ALD, PECVD oder dergleichen gebildet sein. Die Sperrschichten 71, 75 und die Metallschicht 73 werden weiter auf den Seitenwänden des Mehrschichtenstapels 58 abgeschieden und füllen die Gräben 86 aus. Danach werden die Sperrschichten 71, 75 und die Metallschicht 73 in den Gräben 86 durch einen Rückätzprozess entfernt. Ein akzeptabler Rückätzprozess kann ausgeführt werden, um überschüssige Materialien von den Seitenwänden der Dielektrikumschichten 52 und den Bodenflächen der Gräben 86 zu entfernen. Der akzeptable Rückätzprozess umfasst eine Trockenätzung (z. B. RIE, NBE, dergleichen), eine Nassätzung, dergleichen oder eine Kombination daraus. Der akzeptable Rückätzprozess kann anisotrop sein.
  • In einigen Ausführungsformen werden beim Ersatzprozess die Opferschichten 53 der streifenförmigen Treppenstrukturen anschließend durch Leiterbahnen 72 ersetzt (siehe 1A).
  • In alternativen Ausführungsformen werden Abschnitte der Opferschichten 53 durch die Leiterbahnen 72 ersetzt, nachdem die leitfähigen Säulen 106 und 108 gebildet wurden. Zusätzlich zu den leitfähigen Säulen 106 und 108, den Dielektrika 98, der Kanalschicht 92 und den Isolationssäulen 102 bieten einige Abschnitte der Opferschichten 53 in der Peripherieregion eine weitere Unterstützung, um zu verhindern, dass die Dielektrikumschichten 52 in der Array-Region zusammenbrechen.
  • 18A bis 19E illustrieren das Bilden einer III-V-basierten ferroelektrischen Schicht 90 an den Seitenwänden der leitfähigen Schichten 72 und der Dielektrikumschichten 52 des Mehrschichtenstapels 58. 18A und 19A sind in einer dreidimensionalen Teilansicht illustriert. In 18B und 19B sind Querschnittsansichten entlang der Linie C-C' von 1A dargestellt. Die 19C, 19D und 19E illustrieren lokale vergrößerte Ansichten in einer Region R1 von 19B.
  • In den 18A und 18B kann eine III-V-basierte ferroelektrische Schicht 90 konform in den Gräben 86 entlang der Seitenwände der Leiterbahnen 72 und der Dielektrikumschichten 52, entlang der oberen Flächen der Dielektrikumschicht 52E und entlang der unteren Flächen der Gräben 86 abgeschieden werden. In einigen Ausführungsformen kann die III-V-basierte ferroelektrische Schicht 90 ferner auf dem IMD 70 und entlang der Seitenwand jeder Stufe der Treppenstruktur im Treppenregion abgeschieden sein.
  • In einigen Ausführungsformen umfasst die III-V-basierte ferroelektrische Schicht 90 mindestens ein Element, das aus Elementen der Gruppe III gewählt ist, mindestens ein Element, das aus Elementen der Gruppe V gewählt ist, und mindestens ein Element, das aus Übergangsmetallelementen gewählt ist. In einigen Ausführungsformen kann die III-V-Verbindung ferroelektrische Eigenschaften aufweisen, indem ihr ein geeignetes Übergangsmetall hinzugefügt wird.
  • In einigen Ausführungsformen umfassen die Elemente der Gruppe III B, Al, Ga, In und Tl. Beispielsweise umfassen die Elemente der Gruppe III Al und Ga. In einigen Ausführungsformen umfassen die Elemente der Gruppe V N, P, As, Sb und Bi. Beispielsweise umfassen die Elemente der Gruppe III N. In einigen Ausführungsformen umfassen die Übergangsmetallelemente Elemente der Gruppe 3-12, insbesondere Sc, Y, La, Ti, Zr, Hf, V, Nb, Ta, Cr, Mo, W, Mn, Tc, Re, Fe, Ru, Os, Co, Rh, Ir, Ni, Pd, Pt, Cu, Ag, Au, Zn, Cd und Hg. In einigen Ausführungsformen umfassen die Übergangsmetallelemente Elemente der Gruppe 3. Beispielsweise umfassen die Übergangsmetallelemente Sc und Y. In einigen Ausführungsformen umfasst das III-V-basierte ferroelektrische Material AlScN, AlYN, GaScN, InScN oder eine Kombination daraus.
  • In einigen Ausführungsformen liegt der Übergangsmetallgehalt des III-V-basierten ferroelektrischen Materials im Bereich von etwa 10 at% (Atomprozent) bis 40at% (Atomprozent), um ein besseres Schalten zwischen zwei verschiedenen Polarisationsrichtungen durch Anlegen einer geeigneten Spannungsdifferenz über die III-V-basierte ferroelektrische Schicht 90 zu ermöglichen. Beispielsweise kann der Übergangsmetallgehalt des III-V-basierten ferroelektrischen Materials 10 at%, 15 at%, 20 at%, 25 at%, 30 at%, 35 at% oder 40 at% betragen, umfassend jeden Bereichs zwischen zwei der vorstehenden Werte und jeden Bereich, der größer ist als einer der vorstehenden Werte. Der Übergangsmetallgehalt des III-V-basierten ferroelektrischen Materials kann je nach Bedarf mehr als etwa 40 at% betragen.
  • In einigen Ausführungsformen umfasst das Verfahren zum Bilden der III-V-basierten ferroelektrischen Schicht 90 das Einbringen eines Elements der Gruppe III, eines Vorläufers eines Elements der Gruppe V und eines Vorläufers eines Übergangsmetalls in eine Prozesskammer, um eine III-V-basierte ferroelektrische Schicht an den Seitenwänden der Gräben 86 zu bilden. Die Prozesskammer ist beispielsweise eine ALD-Kammer. In anderen Ausführungsformen kann auch eine andere Prozesskammer, z. B. eine CVD-Kammer, verwendet werden. In einigen Ausführungsformen werden III-V-Filme und ÜbergangsmetallnitridSchichten miteinander gestapelt, um eine Mehrschichtenstruktur zu bilden. In einigen Ausführungsformen umfassen die III-V-Filme AlN oder GaN auf Wurzitbasis und die Übergangsmetallnitridschichten ScN oder YN.
  • In einigen Ausführungsformen umfasst der Vorläufer von AlN, wenn die III-V-basierte ferroelektrische Schicht 90 AlScN umfasst, Al(CH3)3 und NH3, und der Vorläufer von ScN umfasst SC(NO3)3.xH2O und NH3. In einigen Ausführungsformen umfasst der Vorläufer von AlN, wenn die III-V-basierte ferroelektrische Schicht 90 AlYN umfasst, Al(CH3)3 und NH3, und der Vorläufer von YN umfasst Tris[N,N-bis(trimethylsily)amid]yttrium und NH3. In einigen Ausführungsformen umfasst der Vorläufer von GaN, wenn die III-V-basierte ferroelektrische Schicht 90 GaScN umfasst, Trimethylgallium und NH3, und der Vorläufer von ScN umfasst Sc(NO3)3.xH2O und NH3. In einigen Ausführungsformen umfasst der Vorläufer von InN, wenn die III-V-basierte ferroelektrische Schicht 90 InScN umfasst, Trimethylindium und NH3, und der Vorläufer von ScN umfasst Sc(NO3)3 xH2O und NH3. In anderen Ausführungsformen können auch andere Vorläufer verwendet werden.
  • In einigen Ausführungsformen kann der ALD-Prozess bei einer Temperatur in einem Bereich von etwa 150 °C bis etwa 400 °C bei einem Druck von etwa 1 bis 760 Torr ausgeführt werden. Falls die Prozesskammer eine ALD-Kammer ist, werden die erforderlichen Vorläufer sequenziell in die ALD-Kammer eingebracht. Falls die Kammer eine CVD-Kammer ist, werden die benötigten Vorläufer gleichzeitig in die CVD-Kammer eingebracht.
  • Danach wird, wie in den 19A und 19B gezeigt ist, ein Temperprozess 91 auf die III-V-basierte ferroelektrische Schicht 90 ausgeführt. Der Temperaturbereich des Temperprozesses 91 reicht von etwa 250 °C bis etwa 400 °C in einer sauerstoffhaltigen oder stickstoffhaltigen Umgebung (z. B. O2, N, oder NH3), um eine gewünschte kristalline Gitterstruktur für die III-V-basierte ferroelektrische Schicht 90 zu erreichen. In einigen Ausführungsformen wird die III-V-basierte ferroelektrische Schicht 90 durch den Temperprozess 91 von einem amorphen Zustand in einen teilweise oder vollkristallinen Zustand umgewandelt. In alternativen Ausführungsformen wird die ferroelektrische Schicht 90 durch den Temperprozess 91 von einem teilkristallinen Zustand in einen vollkristallinen Zustand umgewandelt. In einigen Ausführungsformen kann eine kristalline Struktur in der III-V-basierten ferroelektrischen Schicht 90 durch das Tempern bei relativ niedriger Temperatur erreicht werden. Entsprechend kann die BEOL-Integration des Speicherarrays 200 verbessert werden.
  • In einigen Ausführungsformen hat die III-V-basierte ferroelektrische Schicht 90 eine Dicke von etwa 10-100 nm, wie etwa 20-50 nm. Andere Dickenbereiche können anwendbar sein. In einigen Ausführungsformen wird die III-V-basierte ferroelektrische Schicht 90 nach dem Temperprozess 91 in einem vollkristallinen Zustand gebildet. In anderen Ausführungsformen wird die III-V-basierte ferroelektrische Schicht 90 nach dem Temperprozess 91 in einem teilkristallinen Zustand gebildet; das heißt, die III-V-basierte ferroelektrische Schicht 90 wird in einem gemischt kristallin-amorphen Zustand gebildet und weist einen gewissen Grad an struktureller Ordnung auf. In einigen Ausführungsformen ist die III-V-basierte ferroelektrische Schicht 90 eine Einzelschicht. In alternativen Ausführungsformen ist die III-V-basierte ferroelektrische Schicht 90 eine Mehrschichtenstruktur.
  • 19C bis 19E illustrieren lokal vergrößerte Ansichten der Region R1 aus 19B, um die Beziehung der III-V-basierten ferroelektrischen Schicht 90 zu den benachbarten Elementen zu beschreiben.
  • 19C können die säulenartigen kristallinen Körner (z. B. polykristalline Körner) in der III-V-basierten ferroelektrischen Schicht 90 eine ähnliche Größe haben. Die ähnliche Größe gibt hierin an, dass die Länge der langen Achse oder die Länge der kurzen Achse der säulenartigen Körner aufgrund der Prozesstoleranz eine Abweichung von +-10 % oder weniger aufweisen kann. In einigen Ausführungsformen weisen die säulenartigen Körner 89 in der III-V-basierten ferroelektrischen Schicht 90 eine lange Achsenlänge L1 und eine kurze Achsenlänge L2 quer (z. B. senkrecht zu) der langen Achsenlänge L1 auf.
  • Dabei gibt die Länge der langen Achse den längsten Abstand entlang der Richtung der langen Achse an, und die Länge der kurzen Achse gibt den längsten Abstand entlang der Richtung der kurzen Achse an. Die Länge der langen Achse kann größer als (oder in einigen Beispielen gleich wie) die Länge der kurzen Achse sein.
  • In einigen Ausführungsformen liegt die Länge L1 der langen Achse im Bereich von etwa 10 bis 100 nm und die Länge L2 der kurzen Achse im Bereich von etwa 1 bis 20 nm. In einigen Ausführungsformen befindet sich die III-V-basierte ferroelektrische Schicht 90 in einem kristallinen Zustand und die Längsrichtung der säulenartigen Körner 89 in der III-V-basierten ferroelektrischen Schicht 90 ist senkrecht zu den Dielektrikumschichten 52, den leitfähigen Schichten 72 und der obersten Dielektrikumschicht 324 der Interconnect-Struktur 320.
  • Wenn ein Element als „senkrecht zu“ einem anderen Element stehend beschrieben wird, beträgt der eingeschlossene Winkel zwischen diesen beiden Elementen aufgrund der Prozesstoleranz etwa 90 Grad oder zwischen 85 und 95 Grad.
  • In 19D können die säulenartigen Körner in der III-V-basierten ferroelektrischen Schicht 90 unterschiedliche Größen haben, weil die Kontaktmaterialien beim Aufbauen der Körner unterschiedlich sind. In einigen Ausführungsformen weisen die säulenartigen Körner 89A in der III-V-basierten ferroelektrischen Schicht 90, die mit den leitfähigen Schichten 72 in Kontakt steht, eine lange Achsenlänge L11 und eine kurze Achsenlänge L12 quer (z. B. senkrecht zu) der langen Achsenlänge L11 auf. In einigen Ausführungsformen liegt die Länge L11 der langen Achse im Bereich von etwa 10 bis 100 nm und die Länge L12 der kurzen Achse im Bereich von etwa 5 bis 20 nm. In einigen Ausführungsformen weisen die säulenartigen Körner 89B in der III-V-basierten ferroelektrischen Schicht 90, die mit den Dielektrikumschichten 52 in Kontakt steht, eine lange Achsenlänge L21 und eine kurze Achsenlänge L22 quer (z. B. senkrecht zu) der langen Achsenlänge L21 auf. In einigen Ausführungsformen liegt die Länge L21 der langen Achse im Bereich von etwa 10 bis 100 nm und die Länge L22 der kurzen Achse im Bereich von etwa 1 bis 5 nm. In einigen Ausführungsformen befindet sich die III-V-basierte ferroelektrische Schicht 90 in einem kristallinen Zustand und die Längsrichtung der säulenartigen Körner in der III-V-basierten ferroelektrischen Schicht 90 ist senkrecht zu den Dielektrikumschichten 52, den leitfähigen Schichten 72 und der obersten Dielektrikumschicht 324 der Interconnect-Struktur 320. Speziell steht die Längsrichtung der säulenartigen Körner 89A senkrecht zu den Kontaktflächen der leitfähigen Schichten 72, und die Längsrichtung der säulenartigen Körner 89B steht senkrecht zu den Kontaktflächen der Dielektrikumschichten 52.
  • In 19E können die säulenartigen Körner in der III-V-basierten ferroelektrischen Schicht 90 unterschiedliche Größen haben, weil die Kontaktmaterialien beim Aufbauen der Körner unterschiedlich sind. In einigen Ausführungsformen weisen die säulenartigen Körner 89A in der III-V-basierten ferroelektrischen Schicht 90, die mit den leitfähigen Schichten 72 in Kontakt steht, eine lange Achsenlänge L11 und eine kurze Achsenlänge L12 quer (z. B. senkrecht zu) der langen Achsenlänge L11 auf. In einigen Ausführungsformen liegt die Länge L11 der langen Achse im Bereich von etwa 10 bis 100 nm und die Länge L12 der kurzen Achse im Bereich von etwa 5 bis 20 nm. In einigen Ausführungsformen weisen die säulenartigen Körner 89B in der III-V-basierten ferroelektrischen Schicht 90, die mit den Dielektrikumschichten 52 in Kontakt steht, eine lange Achsenlänge L21 und eine kurze Achsenlänge L22 quer (z. B. senkrecht zu) der langen Achsenlänge L21 auf. In einigen Ausführungsformen liegt die Länge L21 der langen Achse im Bereich von etwa 10 bis 100 nm und die Länge L22 der kurzen Achse im Bereich von etwa 1 bis 5 nm. In einigen Ausführungsformen befindet sich die III-V-basierte ferroelektrische Schicht 90 in einem kristallin-amorphen Mischzustand. In einigen Ausführungsformen befindet sich die III-V-basierte ferroelektrische Schicht 90 in einem kristallinen Zustand, wenn sie aus den Flächen der leitfähigen Schichten 72 gebildet wird, und die Längsachsenrichtung der säulenartigen Körner 89A ist senkrecht zu den Kontaktflächen der leitfähigen Schichten 72. Außerdem befindet sich die III-V-basierte ferroelektrische Schicht 90 in einem kristallin-amorphen Mischzustand, wenn sie aus den Flächen der Dielektrikumschichten 52 gebildet wird, und ein Teil der III-V-basierten ferroelektrischen Schicht 90 weist säulenartige Körner 89B' mit einer Längsachsenrichtung auf, die nicht senkrecht zu den kontaktierenden Flächen der Dielektrikumschichten 52 ist (z. B. gegen diese geneigt), und ein Teil der III-V-basierten ferroelektrischen Schicht 90 befindet sich in einem amorphen Zustand ohne Körner.
  • Die 20A bis 20E illustrieren das Bilden einer Kanalschicht 92 auf der III-V-basierten ferroelektrischen Schicht 90. 20A ist in einer dreidimensionalen Teilansicht illustriert. In 20B ist eine Querschnittsansicht entlang der Linie C-C' von 1A dargestellt. Die 20C, 20D und 20E illustrieren lokale vergrößerte Ansichten in einer Region R1 von 20B.
  • In den 20A und 20B wird eine Kanalschicht 92 konform in den Gräben 86 über der III-V-basierten ferroelektrischen Schicht 90 abgeschieden. Die Kanalschicht 92 umfasst Materialien, die geeignet sind, Kanalregionen für die Speicherzellen 202 bereitzustellen (siehe 1A). Die Kanalschicht 92 umfasst beispielsweise Oxidhalbleiter (OS) wie Zinkoxid (ZnO), Indium-Wolfram-Oxid (InWO), Indium-Gallium-Zink-Oxid (InGaZnO, IGZO), Indium-Zink-Oxid (InZnO), Indium-Zinn-Oxid (ITO), Kombinationen davon oder dergleichen. In einigen Ausführungsformen umfasst die Kanalschicht 92 polykristallines Silizium (poly-Si), amorphes Silizium (a-Si) oder dergleichen. Die Kanalschicht 92 kann durch CVD, PVD, ALD, PECVD oder dergleichen abgeschieden werden. Die Kanalschicht 92 kann sich entlang der Seitenwände und unteren Flächen der Gräben 86 über die III-V-basierte ferroelektrische Schicht 90 erstrecken. In einigen Ausführungsformen kann die Kanalschicht 92 ferner auf dem IMD 70 und entlang der Seitenwand jeder Stufe der Treppenstruktur in der Treppenregion abgeschieden sein. Nachdem die Kanalschicht 92 abgeschieden wurde, kann ein Glühschritt 93 (z. B. in einem Temperaturbereich von etwa 300 °C bis etwa 450 °C) in einer sauerstoffhaltigen Umgebung ausgeführt werden, um die Ladungsträger der Kanalschicht 92 zu aktivieren.
  • 20C bis 20E illustrieren lokal vergrößerte Ansichten der Region R1 aus 19B, um die Beziehung der III-V-basierten ferroelektrischen Schicht 90 zu den benachbarten Elementen zu beschreiben.
  • In 20C ist die Längsrichtung der säulenartigen Körner 89 in der III-V-basierten ferroelektrischen Schicht 90 senkrecht zur Kontaktfläche der Kanalschicht 92.
  • In 20D stehen die Längsachsenrichtungen der säulenartigen Körner 89A/89B mit unterschiedlichen Größen in der III-V-basierten ferroelektrischen Schicht 90 senkrecht zur Kontaktfläche der Kanalschicht 92.
  • In 20E weist ein Teil der III-V-basierten ferroelektrischen Schicht 90 säulenartige Körner 89A mit einer Längsachsenrichtung senkrecht zur Kontaktfläche der Kanalschicht 92 auf, und ein Teil der III-V-basierten ferroelektrischen Schicht 90 weist säulenartige Körner 89B' mit einer Längsachsenrichtung auf, die nicht senkrecht (z. B. gekippt) zur Kontaktfläche der Kanalschicht 92 ist.
  • 21 illustriert ein Verfahren zur Herstellung einer ferroelektrischen Schicht und einer Kanalschicht einer ferroelektrischen Speichervorrichtung nach einigen Ausführungsformen. Obwohl das Verfahren als eine Reihe von Handlungen oder Ereignissen illustriert und/oder beschrieben ist, ist das Verfahren nicht auf die abgebildete Reihenfolge oder Handlungen beschränkt. So können die Handlungen in einigen Ausführungsformen in anderen Reihenfolgen als illustriert ausgeführt werden und/oder sie können gleichzeitig ausgeführt werden. Ferner können die illustrierten Handlungen oder Ereignisse in einigen Ausführungsformen in mehrere Handlungen oder Ereignisse unterteilt werden, die zu unterschiedlichen Zeiten oder gleichzeitig mit anderen Handlungen oder Unterhandlungen ausgeführt werden können. In einigen Ausführungsformen können einige illustrierte Handlungen oder Ereignisse ausgelassen werden und andere nicht illustrierte Handlungen oder Ereignisse können umfasst sein.
  • In Handlung 300 wird ein Mehrschichtenstapel auf einem Substrat gebildet, und der Mehrschichtenstapel umfasst mehrere Dielektrikumschichten und mehrere leitfähigen Schichten, die abwechselnd gestapelt sind und einen durchgehenden Graben aufweisen. In 14 bis 17B sind verschiedene Ansichten illustriert, die einigen Ausführungsformen von Handlung 300 entsprechen.
  • In Handlung 302 werden ein Vorläufer eines Elements der Gruppe III, ein Vorläufer eines Elements der Gruppe V und ein Vorläufer eines Übergangsmetalls in eine Prozesskammer eingeführt, um eine III-V-basierte ferroelektrische Schicht an der Seitenwand des Grabens zu bilden. In 18A bis 18B sind verschiedene Ansichten illustriert, die einigen Ausführungsformen von Handlung 302 entsprechen.
  • In Handlung 304 wird ein erster Temperprozess auf die III-V-basierte ferroelektrische Schicht ausgeführt. In einigen Ausführungsformen reicht der Temperaturbereich des ersten Temperprozesses von etwa 250 °C bis etwa 400 °C in einer 02-, N2- oder NH3-Umgebung, um eine gewünschte kristalline Gitterstruktur für die III-V-basierte ferroelektrische Schicht zu erreichen. In 19A bis 19E sind verschiedene Ansichten illustriert, die einigen Ausführungsformen von Handlung 304 entsprechen.
  • In Handlung 306 wird eine Kanalschicht auf der III-V-basierten ferroelektrischen Schicht gebildet. In 20A bis 20E sind verschiedene Ansichten illustriert, die einigen Ausführungsformen von Handlung 306 entsprechen.
  • In Handlung 308 wird ein zweiter Temperprozess auf die Kanalschicht ausgeführt. In einigen Ausführungsformen reicht der Temperaturbereich des zweiten Temperprozesses von etwa 350 °C bis etwa 400 °C in einer sauerstoffhaltigen Umgebung, um die Ladungsträger der Kanalschicht 92 zu aktivieren. In 20A bis 20E sind verschiedene Ansichten illustriert, die einigen Ausführungsformen von Handlung 308 entsprechen.
  • In 22 wird ein Dielektrikum 98A in den Gräben 86 über der Kanalschicht 92 aufgebracht. In einigen Ausführungsformen umfasst das Dielektrikum 98A Siliziumoxid, Siliziumnitrid, Siliziumoxynitrid oder dergleichen, das durch CVD, PVD, ALD, PECVD oder dergleichen abgeschieden werden kann. Das Dielektrikum 98A kann sich entlang der Seitenwände und unteren Flächen der Gräben 86 über die Kanalschicht 92 erstrecken. In einigen Ausführungsformen ist das Dielektrikum 98A optional und kann bei Bedarf weggelassen werden.
  • In 23 werden untere Abschnitte des Dielektrikums 98A und der Kanalschicht 92 in den Gräben 86 entfernt. Der Entfernungsprozess umfasst einen akzeptablen Ätzprozess, wie etwa ein Trockenätzprozess (z. B. RIE, NBE, dergleichen), ein Nassätzprozess, dergleichen oder eine Kombination daraus. Das Ätzen kann anisotrop sein. In einigen Ausführungsformen werden die oberen Abschnitte des Dielektrikums 98A und der Kanalschicht 92 aus dem Mehrschichtenstapel 58 entfernt. In einigen Ausführungsformen umfasst der Entfernungsprozess eine Kombination aus Fotolithografie und Ätzen.
  • Dementsprechend können das verbleibende Dielektrikum 98A und die Kanalschicht 92 Abschnitte der III-V-basierten ferroelektrischen Schicht 90 auf den Bodenflächen der Gräben 86 belichten. So können Abschnitte der Kanalschicht 92 an gegenüberliegenden Seitenwänden der Gräben 86 voneinander getrennt sein, was die Isolierung zwischen den Speicherzellen 202 des Speicherarrays 200 (siehe 1A) verbessert.
  • In 24 wird ein Dielektrikum 98B aufgebracht, um die Gräben 86 vollständig zu füllen. Das Dielektrikum 98B kann aus einem oder mehreren Materialien und mit den gleichen oder ähnlichen Verfahren wie das Dielektrikum 98A gebildet sein. In einigen Ausführungsformen umfassen das Dielektrikum 98B und das Dielektrikum 98A unterschiedliche Materialien.
  • In den 25A und 25B wird ein Entfernungsprozess auf das Dielektrikum 98A/98B (gemeinsam als Dielektrikum 98 bezeichnet), die Kanalschicht 92 und die III-V-basierte ferroelektrische Schicht 90 angewendet, um überschüssige Materialien über dem Mehrschichtenstapel 58 zu entfernen. In einigen Ausführungsformen kann ein Planarisierungsprozess wie etwa ein CMP, ein Rückätzprozess, Kombinationen daraus oder dergleichen genutzt werden. Der Planarisierungsprozess belichtet den Mehrschichtenstapel 58 so, dass die oberen Flächen des Mehrschichtenstapels 58 (z. B. die Dielektrikumschicht 52E), die III-V-basierte ferroelektrische Schicht 90, die Kanalschicht 92, das Dielektrikum 98 und das IMD 70 nach Abschluss des Planarisierungsprozesses eben sind.
  • 26A bis 29B illustrieren Zwischenschritte der Herstellung von leitfähigen Säulen 106 und 108 (z. B. Source/Drain-Säulen) im Speicherarray 200. Die leitfähigen Säulen 106 und 108 können sich entlang einer Richtung rechtwinklig zu den Leiterbahnen 72 erstrecken, sodass einzelne Zellen des Speicherarrays 200 für Lese- und Schreiboperationen gewählt werden können. 26A, 27A, 28A und 29A sind in einer dreidimensionalen Teilansicht illustriert. In 26B und 27B sind Querschnittsansichten entlang der Linie C-C' von 1A illustriert. In 8B und 29B sind Querschnittsansichten entlang der Linie D-D' von 1A illustriert.
  • In 26A und 26B sind in einigen Ausführungsformen Gräben 100 durch die Kanalschicht 92 und das Dielektrikum 98 gebildet. In alternativen Ausführungsformen sind die Gräben 100 durch die Kanalschicht 92, das Dielektrikum 98 und die ferroelektrische 90' (nicht gezeigt) strukturiert. Die Gräben 100 können beispielsweise durch eine Kombination aus Fotolithografie und Ätzen gebildet sein. Die Gräben 100 können zwischen gegenüberliegenden Seitenwänden der III-V-basierten ferroelektrischen Schicht 90 angeordnet sein, und die Gräben 100 können benachbarte Stapel von Speicherzellen im Speicherarray 200 physisch trennen (siehe 1A).
  • In den 27A und 27B sind in den Gräben 100 Isolationssäulen 102 gebildet. In einigen Ausführungsformen wird eine Isolationsschicht über dem Mehrschichtenstapel 58 abgeschieden, die die Gräben 100 ausfüllt. Die Isolationsschicht kann beispielsweise Siliziumoxid, Siliziumnitrid, Siliziumoxynitrid, dergleichen umfassen, die durch CVD, PVD, ALD, PECVD, oder dergleichen abgeschieden werden können. Die Isolationsschicht kann sich entlang der Seitenwände und Bodenflächen der Gräben 100 über die Kanalschicht 92 erstrecken. Nach der Abscheidung kann ein Planarisierungsprozess (z. B. ein CMP, Rückätzung oder dergleichen) ausgeführt werden, um überschüssige Abschnitte der Isolationsschicht zu entfernen. In der entstehenden Struktur können die oberen Flächen des Mehrschichtenstapels 58 (z. B. die Dielektrikumschicht 52E), die III-V-basierte ferroelektrische Schicht 90, die Kanalschicht 92 und die Isolationssäulen 102 im Wesentlichen eben sein (z. B. innerhalb von Prozessschwankungen). In einigen Ausführungsformen können die Materialien des Dielektrikums 98 und der Isolationssäulen 102 so gewählt werden, dass sie selektiv zueinander geätzt werden können. In einigen Ausführungsformen umfasst das Dielektrikum 98 beispielsweise Oxid und die Isolationssäulen 102 umfassen Nitrid. In einigen Ausführungsformen umfasst das Dielektrikum 98 Nitrid und die Isolationssäulen 102 umfassen Oxid. Andere Materialien sind möglich.
  • In den 28A und 28B sind die Gräben 104 für die nachträglich gebildeten leitfähige Säulen 106 und 108 definiert. Die Gräben 104 werden durch Strukturierung des Dielektrikums 98, z. B. durch eine Kombination aus Fotolithografie und Ätzen, gebildet. In einigen Ausführungsformen wird, wie in 28A gezeigt, ein Fotolack 118 über dem Mehrschichtenstapel 58, dem Dielektrikum 98, den Isolationssäulen 102, der Kanalschicht 92 und der III-V-basierten ferroelektrischen Schicht 90 gebildet. In einigen Ausführungsformen wird der Fotolack 118 durch eine akzeptable Fotolithografietechnik strukturiert, um Öffnungen 120 zu definieren. Jede der Öffnungen 120 kann die entsprechenden Isolationssäule 102 und zwei separate Regionen des Dielektrikums 98 neben der Isolationssäule 102 belichten. So kann jede der Öffnungen 120 eine Struktur aus einer leitfähigen Säule 106 und einer benachbarten leitfähigen Säule 108 definieren, die durch die Isolationssäulen 102 getrennt sind.
  • Danach können Abschnitte des Dielektrikums 98, die durch die Öffnungen 120 belichtet sind, durch einen akzeptablen Ätzprozess entfernt werden, wie etwa durch ein Trockenätzen (z. B. RIE, NBE oder dergleichen), ein Nassätzen oder dergleichen oder eine Kombination daraus. Das Ätzen kann anisotrop sein. Der Ätzprozess kann ein Ätzmittel verwenden, das das Dielektrikum 98 ätzt, ohne die Isolationssäulen 102 wesentlich zu ätzen. Als Ergebnis können die Isolationssäulen 102 nicht wesentlich entfernt werden, obwohl die Öffnungen 120 die Isolationssäulen 102 belichten. Die Strukturen der Gräben 104 können den leitfähigen Säulen 106 und 108 entsprechen (siehe 29A und 29B). Nachdem die Gräben 104 strukturiert sind, kann der Fotolack 118 beispielsweise durch Aschen entfernt werden.
  • In den 29A und 29B sind die Gräben 104 mit einem leitfähigen Material gefüllt, um die leitfähigen Säulen 106 und 108 zu bilden. Das leitfähige Material kann Kupfer, Titan, Titannitrid, Tantal, Tantalnitrid, Wolfram, Ruthenium, Aluminium, Kombinationen davon oder dergleichen umfassen, das beispielsweise durch CVD, ALD, PVD, PECVD oder dergleichen gebildet werden kann. Nachdem das leitfähige Material abgeschieden wurde, kann eine Planarisierung (z. B. CMP, Rückätzen oder dergleichen) ausgeführt werden, um überschüssige Abschnitte des leitfähigen Materials zu entfernen, wodurch die leitfähigen Säulen 106 und 108 gebildet werden. In der entstehenden Struktur können die oberen Flächen des Mehrschichtenstapels 58 (z. B. die Dielektrikumschicht 52E), die III-V-basierte ferroelektrische Schicht 90, die Kanalschicht 92, die leitfähigen Säulen 106 und die leitfähigen Säulen 108 im Wesentlichen eben sein (z. B. innerhalb von Prozessschwankungen). In einigen Ausführungsformen entsprechen die leitfähigen Säulen 106 den Bitleitungen im Speicherarray und sind mit diesen elektrisch verbunden, und die leitfähigen Säulen 108 entsprechen den Source-Leitungen im Speicherarray 200 und sind mit diesen elektrisch verbunden.
  • So können im Speicherarray 200 gestapelte Speicherzellen 202 gebildet werden, wie in 29A gezeigt. Jede Speicherzelle 202 umfasst eine Gateelektrode (z. B. einen Teil einer entsprechenden Leiterbahn 72), ein Gatedielektrikum (z. B. einen Abschnitt einer entsprechenden ferroelektrischen Schicht 90), eine Kanalregion (z. B. einen Teil einer entsprechenden Kanalschicht 92) und Source-/Drain-Säulen (z. B. Abschnitte der entsprechenden leitfähigen Säulen 106 und 108). Die Isolationssäulen 102 isolieren benachbarte Speicherzellen 202 in einer gleichen Spalte und auf einer gleichen vertikalen Ebene. Die Speicherzellen 202 können in einem Array aus vertikal gestapelten Zeilen und Spalten angeordnet sein.
  • In 30A, 30B, 30C, 30D und 30E ist ein IMD 74 auf oberen Flächen des Mehrschichtenstapels 58 (z. B. der Dielektrikumschicht 52E), der III-V-basierten ferroelektrischen Schicht 90, der Kanalschicht 92, den leitfähigen Säulen 106 und den leitfähigen Säulen 108 und dem IMD 70 gebildet. Die leitfähigen Kontakte 110, 112 und 114 sind an den Leiterbahnen 72, den leitfähigen Säulen 106 bzw. den leitfähigen Säulen 108 platziert. 30A illustriert eine perspektivische Ansicht des Speicherarrays 200; 30B illustriert eine Querschnittsansicht der Vorrichtung entlang der Linie D-D' von 1A; 30C illustriert eine Ansicht des Speicherarrays 200 von oben nach unten; und 30D illustriert eine Querschnittsansicht entlang der Linie E-E' von 30A; und 30E illustriert eine Querschnittsansicht der Vorrichtung entlang der Linie B-B' von 1A.
  • Das IMD 74 kann aus einem Dielektrikum gebildet sein und durch ein beliebiges geeignetes Verfahren abgeschieden werden, wie etwa CVD, PECVD, fließfähiges CVD (FCVD) oder dergleichen. Die Dielektrika können Phosphorsilikatglas (PSG), Bor-Silikatglas (BSG), Bordotiertes Phosphorsilikatglas (BPSG), undotiertes Silikatglas (USG) oder dergleichen umfassen. In einigen Ausführungsformen kann das IMD 74 ein Oxid (z. B. Siliziumoxid oder dergleichen), ein Nitrid (z. B. Siliziumnitrid oder dergleichen), eine Kombination daraus oder dergleichen umfassen. Andere Dielektrika, die durch einen akzeptablen Prozess gebildet werden, können verwendet werden. Danach wird ein Entfernungsprozess auf das IMD 74 angewendet, um überschüssiges Dielektrikum über dem Mehrschichtenstapel 58 zu entfernen. In einigen Ausführungsformen kann der Entfernungsprozess ein Planarisierungsprozess sein, wie etwa ein chemisch-mechanisches Polieren (CMP), ein Rückätzprozess, Kombinationen davon oder dergleichen.
  • In einigen Ausführungsformen kann die Treppenform der Leiterbahnen 72 eine Fläche an jeder der Leiterbahnen 72 für die leitfähigen Kontakte 110 bereitstellen, die daran enden. In einigen Ausführungsformen kann das Ausbilden der leitfähigen Kontakte 110 das Strukturieren von Öffnungen im IMD 74 und IMD 70 umfassen, um Abschnitte der Leiterbahnen 72 zu belichten, z. B. mit einer Kombination aus Fotolithografie und Ätzen. Eine Auskleidung (nicht dargestellt), wie etwa eine Diffusionsbarriereschicht, eine Klebeschicht oder dergleichen und ein leitfähiges Material sind in den Öffnungen gebildet. Die Auskleidung kann Titan, Titannitrid, Tantal, Tantalnitrid oder dergleichen umfassen. Das leitfähige Material kann Kupfer, eine Kupferlegierung, Silber, Gold, Wolfram, Kobalt, Aluminium, Nickel oder dergleichen umfassen. Ein Planarisierungsprozess, wie etwa ein CMP, kann ausgeführt werden, um überschüssiges Material von der Fläche des IMD 74 zu entfernen. Die verbleibende Auskleidung und das Halbleitermaterial bilden die leitfähigen Kontakte 110 in den Öffnungen.
  • Wie ebenfalls durch die perspektivische Ansicht von 30A illustriert, können auch leitfähige Kontakte 112 und 114 an den leitfähigen Säulen 106 bzw. den leitfähigen Säulen 108 hergestellt werden. Die leitfähigen Kontakte 112, 114 und 110 können elektrisch mit den Leiterbahnen 116A, 116B, bzw. 116C verbunden sein das Speicherarray mit einer darunterliegenden/darüberliegenden Schaltungsanordnung (z. B. Steuerschaltungsanordnung) verbinden und/oder Signal-, Leistungs- und Erdungsleitungen in dem Halbleiterdie. Wie in 30D dargestellt, können sich die leitfähigen Kontakte 110 beispielsweise durch das IMD 74 und das IMD 70 erstrecken, um die Leiterbahnen 116C mit den Leiterbahnen 72 und den darunter liegenden aktiven Vorrichtungen auf dem Substrat elektrisch zu verbinden. Andere leitfähige Kontakte oder Durchkontaktierungen können durch das IMD 74 hindurch gebildet werden, um die Leiterbahnen 116A und 116B mit den darunter liegenden aktiven Vorrichtungen auf dem Substrat elektrisch zu verbinden. Andere leitfähige Kontakte oder Durchkontaktierungen können sich durch das Speicherarray 200 erstrecken, z. B. durch die Isolationssäulen 102 und die III-V-basierte ferroelektrische Schicht 90, und solche leitfähigen Kontakte oder Durchkontaktierungen können elektrisch mit darunterliegenden leitfähigen Merkmalen gekoppelt sein, z. B. mit den leitfähigen Merkmalen 322 der Interconnect-Struktur 320. In alternativen Ausführungsformen können Routing- und/oder Stromleitungen an und von dem Speicherarray durch eine Interconnect-Struktur, die über dem Speicherarray 200 gebildet ist, neben oder anstelle der Interconnect-Struktur 320 bereitgestellt sein. Dementsprechend kann das Speicherarray 200 abgeschlossen sein.
  • Die obigen Ausführungsformen, in denen die III-V-basierte ferroelektrische Schicht 90 eine Einschichtstruktur ist, dienen der Veranschaulichung und sind als Einschränkung dieser Offenbarung zu verstehen. Die 111-V-basierte ferroelektrische Schicht 90 kann je nach Bedarf eine Mehrschichtenstruktur aufweisen.
  • 31 illustriert ein Verfahren zur Herstellung einer ferroelektrischen Schicht und einer Kanalschicht einer ferroelektrischen Speichervorrichtung nach anderen Ausführungsformen. 32A, 32B und 33C illustrieren lokale vergrößerte Ansichten einer ferroelektrischen Speichervorrichtung nach einigen Ausführungsformen. Die 33A bis 33C sind ähnlich wie die 20C bis 20E, und der Unterschied zwischen ihnen besteht darin, dass die III-V-basierte ferroelektrische Schicht 90 in jeder der 33A bis 33C eine Mehrschichtenstruktur aufweist, während die III-V-basierte ferroelektrische Schicht 90 in jeder der 20C bis 20E eine einschichtige Struktur ist. Der Unterschied zwischen ihnen wird nachfolgend illustriert, und die Ähnlichkeit wird hier nicht wiederholt.
  • In Handlung 400 ein Mehrschichtenstapel auf einem Substrat, wobei der Mehrschichtenstapel mehrere Dielektrikumschichten und mehrere leitfähigen Schichten umfasst, die abwechselnd gestapelt sind und einen sie durchdringenden Graben aufweisen. In 14 bis 17B sind verschiedene Ansichten illustriert, die einigen Ausführungsformen von Handlung 400 entsprechen.
  • In Handlung 402 werden ein Vorläufer eines Elements der Gruppe III, ein Vorläufer eines Elements der Gruppe V und ein Vorläufer eines Übergangsmetalls in eine Prozesskammer eingeführt, um eine erste III-V-basierte ferroelektrische Schicht an der Seitenwand des Grabens zu bilden. In 18A bis 18B sind verschiedene Ansichten illustriert, die einigen Ausführungsformen von Handlung 402 entsprechen.
  • In Handlung 404 wird ein erster Temperprozess auf die erste III-V-basierte ferroelektrische Schicht ausgeführt. In einigen Ausführungsformen reicht der Temperaturbereich des ersten Temperprozesses von etwa 250 °C bis etwa 400 °C in einer 02-, N2- oder NH3-Umgebung, um eine gewünschte kristalline Gitterstruktur für die erste III-V-basierte ferroelektrische Schicht zu erreichen. In 19A bis 19E sind verschiedene Ansichten illustriert, die einigen Ausführungsformen von Handlung 404 entsprechen.
  • 32A bis 32C illustrieren verschiedene Ansichten, die einigen Ausführungsformen von Handlung 402 und Handlung 404 entsprechen, bei denen die III-V-basierte ferroelektrische Schicht 90A in ähnlicher Weise wie in 18A bis 19E beschrieben gebildet wird.
  • In Handlung 406 wird eine hafniumbasierte ferroelektrische Schicht auf der ersten III-V-basierten ferroelektrischen Schicht gebildet. In 32A bis 32C sind verschiedene Ansichten illustriert, die einigen Ausführungsformen von Handlung 406 entsprechen. In einigen Ausführungsformen kann die hafniumbasierte ferroelektrische Schicht 908 durch CVD, PVD, ALD, PECVD oder dergleichen abgeschieden werden. Die hafniumbasierte ferroelektrische Schicht 90B kann sich entlang einer Seitenwand und einer unteren Fläche der ersten III-V-basierten ferroelektrische Schicht 90A erstrecken. In einigen Ausführungsformen umfasst die hafniumbasierte ferroelektrische Schicht 908 Hafniumoxid, Hafniumaluminiumoxid, siliziumdotiertes Hafniumoxid oder dergleichen. In einigen Ausführungsformen kann die hafniumbasierte ferroelektrische Schicht 908 Hafnium-Erbiumoxid (Hf1-xErxO), Hafnium-Lanthanoxid (Hf1-xLaxO), Hafnium-Yttriumoxid (Hf1-xYxO) Hafnium-Gadoliniumoxid (Hf1 xGdxO), Hafnium-Aluminiumoxid (Hf1-xAlxO), Hafnium-Zirkoniumoxid (Hf1-xZrxO, HZO), Hafnium-Titanoxid (Hf1-xTixO), Hafnium-Tantaloxid (Hf1-xTaxO), oder dergleichen umfassen. Auch andere Materialien können als Ersatz für die hafniumbasierte ferroelektrische Schicht 90 verwendet werden. Andere Materialien umfassen Barium-Titanoxid (BaTiO3), Blei-Titanoxid (PbTiO3), Blei-Zirkoniumoxid (PbZrO3), Lithium-Nioboxid (LiNbO3), Natriumnioboxid (NaNbO3), Kaliumnioboxid (KNbO3), Kaliumtantaloxid (KTaO3), Wismut-Scandiumoxid (BiScO3), Wismut-Eisenoxid (BiFeO3) oder dergleichen.
  • In Handlung 408 wird ein zweiter Temperprozess für die hafniumbasierte ferroelektrische Schicht ausgeführt. In einigen Ausführungsformen reicht der Temperaturbereich des zweiten Temperprozesses von etwa 250 °C bis etwa 400 °C), um eine gewünschte kristalline Gitterstruktur für die hafniumbasierte ferroelektrische Schicht 90B zu erreichen.
  • In Handlung 410 werden ein Vorläufer eines Elements der Gruppe III, ein Vorläufer eines Elements der Gruppe V und ein Vorläufer eines Übergangsmetalls in eine Prozesskammer eingeführt, um eine zweite III-V-basierte ferroelektrische Schicht auf der hafniumbasierten ferroelektrischen Schicht zu bilden. Die zweite III-V-basierte ferroelektrische Schicht kann mit einem ähnlichen Verfahren wie bei der ersten III-V-basierten ferroelektrischen Schicht gebildet werden.
  • In Handlung 412 wird ein dritter Temperprozess an der zweiten III-V-basierten ferroelektrischen Schicht ausgeführt. In einigen Ausführungsformen reicht der Temperaturbereich des dritten Temperprozesses von etwa 250 °C bis etwa 400 °C in einer 02-, N2- oder NH3-Umgebung, um eine gewünschte kristalline Gitterstruktur für die III-V-basierte ferroelektrische Schicht zu erreichen.
  • 32A bis 32C illustrieren verschiedene Ansichten, die einigen Ausführungsformen von Handlung 410 und Handlung 412 entsprechen, bei denen die III-V-basierte ferroelektrische Schicht 90C in ähnlicher Weise wie in 18 bis 19E beschrieben gebildet wird.
  • In einigen Ausführungsformen, wie in 32A bis 32C gezeigt, kann sich die Korngröße der säulenartigen Körner 89' in der III-V-basierten ferroelektrischen Schicht 90C von der Korngröße der säulenartigen Körner 89/89A/89B/89B' unterscheiden, weil die kontaktierenden Materialien beim Wachsen der Körner unterschiedlich sind. In einigen Ausführungsformen haben die säulenartigen Körner 89' in der III-V-basierten ferroelektrischen Schicht 90C, die mit der hafniumbasierten ferroelektrischen Schicht 90B in Kontakt steht, eine lange Achsenlänge L1' und eine kurze Achsenlänge L2' quer (z. B. senkrecht) zur langen Achsenlänge L1'. In einigen Ausführungsformen liegt die Länge L1 der langen Achse im Bereich von etwa 10 bis 100 nm und die Länge L2 der kurzen Achse im Bereich von etwa 5 bis 20 nm. In einigen Ausführungsformen liegt die Länge der Längsachse L1' zwischen der Länge der Längsachse L11 und der Länge der Längsachse L21/L21'.
  • In Handlung 414 wird eine Kanalschicht auf der zweiten III-V-basierten ferroelektrischen Schicht gebildet. In 20A bis 20E sind verschiedene Ansichten illustriert, die einigen Ausführungsformen von Handlung 414 entsprechen.
  • In Handlung 416 wird ein vierter Temperprozess auf die Kanalschicht ausgeführt. In einigen Ausführungsformen reicht der Temperaturbereich des vierten Temperprozesses von etwa 350 °C bis etwa 400 °C in einer sauerstoffhaltigen Umgebung, um die Ladungsträger der Kanalschicht 92 zu aktivieren. In 20A bis 20E sind verschiedene Ansichten illustriert, die einigen Ausführungsformen von Handlung 416 entsprechen.
  • Obwohl die Ausführungsformen der 1 bis 32C eine bestimmte Struktur für die leitfähigen Säulen 106 und 108 illustrieren, sind auch andere Konfigurationen möglich. In diesen Ausführungsformen haben die leitfähigen Säulen 106 und 108 beispielsweise eine abgestufte Struktur. In anderen Ausführungsformen sind jedoch die leitfähigen Säulen 106 und 108 in einer gleichen Reihe des Arrays alle zueinander ausgerichtet, wie im ferroelektrischen Speicher 200A von 33 gezeigt.
  • Die Strukturen der ferroelektrischen Speichervorrichtung der Offenbarung werden nachfolgend mit Verweis auf 1A bis 33 beschrieben.
  • In einigen Ausführungsformen umfasst eine ferroelektrische Speichervorrichtung 200/200A einen Mehrschichtenstapel 58, eine Kanalschicht 92 und eine III-V-basierte ferroelektrische Schicht 90. Der Mehrschichtenstapel 58 ist auf einem Substrat 50 angeordnet und umfasst mehrere leitfähigen Schichten (z. B. Leiterbahnen 72) und mehrere Dielektrikumschichten 52, die abwechselnd gestapelt sind. Die Kanalschicht 92 durchdringt die mehreren leitfähigen Schichten (z. B. die Leiterbahnen 72) und die mehreren Dielektrikumschichten 52 des Mehrschichtenstapels 52. Die 111-V-basierte ferroelektrische Schicht 90 ist zwischen der Kanalschicht 92 und jeder der mehreren leitfähigen Schichten (z. B. den Leiterbahnen 72) und den mehreren Dielektrikumschichten 52 des Mehrschichtenstapels 58 angeordnet. Die 111-V-basierte ferroelektrische Schicht 90 umfasst mindestens ein Element, das aus Elementen der Gruppe III gewählt ist, mindestens ein Element, das aus Elementen der Gruppe V gewählt ist, und mindestens ein Element, das aus Übergangsmetallelementen gewählt ist.
  • In einigen Ausführungsformen stellt das mindestens eine Element, das aus Übergangsmetallelementen gewählt ist, etwa 10-40 at% der III-V-basierten ferroelektrischen Schicht dar. In einigen Ausführungsformen umfasst die III-V-basierte ferroelektrische Schicht 90 AlScN, AlYN, GaScN, InScN oder eine Kombination daraus. In einigen Ausführungsformen ist die III-V-basierte ferroelektrische Schicht 90 eine hafniumfreie ferroelektrische Schicht.
  • In einigen Ausführungsformen ist die III-V-basierte ferroelektrische Schicht 90 eine einschichtige Struktur, wie in den 20C bis 20E gezeigt ist. In anderen Ausführungsformen ist die III-V-basierte ferroelektrische Schicht 90 eine Mehrschichtenstruktur, die ein III-V-basiertes Material (z. B. III-V-basierte ferroelektrische Schicht 90A), das die leitfähigen Schichten (z. B. Leiterbahnen 72) kontaktiert, und eine weitere nicht-III-V-Schicht (z. B. hafniumbasierte ferroelektrische Schicht 90B) umfasst, die von den mehreren leitfähigen Schichten getrennt ist. Beispielsweise umfasst die III-V-basierte ferroelektrische Schicht 90 zwei III-V-basierte Materialien (z. B. die III-V-basierten ferroelektrischen Schichten 90A und 90C) und eine Nicht-III-V-Schicht (z. B. die hafniumbasierte ferroelektrische Schicht 90B) zwischen den beiden III-V-basierten Materialien, wie in den 32A bis 32C gezeigt ist. In einigen Ausführungsformen umfasst die nicht-III-V-Schicht oder die hafniumbasierte ferroelektrische Schicht 90B HfZrO, HfAlO, HfLaO, HfCeO, HfO, HfGdO, HfSiO oder eine Kombination daraus. In einigen Ausführungsformen kann die III-V-basierte ferroelektrische Schicht 90 eine Übergitterstruktur aufweisen, die mehrere III-V-basierte ferroelektrische Schichten und mehrere hafniumbasierte ferroelektrische Schichten umfasst, die abwechselnd gestapelt sind, und die äußersten Schichten der Übergitterstruktur sind III-V-basierte ferroelektrische Schichten. In einigen Ausführungsformen ist die ferroelektrische Struktur, die sowohl die III-V-basierte ferroelektrische Schicht als auch die hafniumbasierte ferroelektrische Schicht umfasst, vorteilhaft, um die zuverlässige Schreib-Lese-Leistung zu erhalten und das Leckproblem zu minimieren.
  • In einigen Ausführungsformen umfasst eine ferroelektrische Speichervorrichtung 200/200A einen Mehrschichtenstapel 58, mehrere dielektrische Säulen (z. B. Dielektrika 98), eine Kanalschicht 92 und eine ferroelektrische Schicht 90. Der Mehrschichtenstapel 58 ist auf einem Substrat 50 angeordnet und umfasst mehrere Gateelektrodenschichten (z. B. Leiterbahnen 72) und mehrere Dielektrikumschichten 72, die abwechselnd gestapelt sind. Die mehreren dielektrischen Säulen (z. B. Dielektrika 98) sind auf dem Substrat 50 angeordnet und durchdringen den Mehrschichtenstapel 58. Die Kanalschicht 92 ist zwischen dem Mehrschichtenstapel 58 und jeder der dielektrischen Säulen (z. B. Dielektrika 98) angeordnet. Die ferroelektrische Schicht 90 ist zwischen der Kanalschicht 92 und dem Mehrschichtenstapel 58 angeordnet. In einigen Ausführungsformen umfasst die ferroelektrische Schicht 90 mindestens ein Element, das aus Elementen der Gruppe III gewählt ist, mindestens ein Element, das aus Elementen der Gruppe V gewählt ist, und mindestens ein Element, das aus Übergangsmetallelementen gewählt ist. In einigen Ausführungsformen umfasst die ferroelektrische Schicht 90 AlScN, AlYN, GaScN, InScN oder eine Kombination daraus.
  • In einigen Ausführungsformen weisen Abschnitte der ferroelektrischen Schicht 90, die mit den mehreren Gateelektrodenschichten (z. B. Leiterbahnen 72) in Kontakt stehen, erste säulenartige Körner (z. B. säulenartige Körner 89/89A) mit einer Längsachsenrichtung senkrecht zu einer Kontaktfläche jeder der mehreren Gateelektrodenschichten (z. B. Leiterbahnen 72) auf, wie in den 20C bis 20E und 32A bis 32C gezeigt ist.
  • In einigen Ausführungsformen weisen Abschnitte der ferroelektrischen Schicht 90, die mit den mehreren Dielektrikumschichten 52 in Kontakt stehen, zweite säulenartige Körner (z. B. säulenartige Körner 89/89B) mit einer zweiten Längsachsenrichtung senkrecht zu einer Kontaktfläche jeder der mehreren Dielektrikumschichten 52 auf, wie in den 20C bis 20D und 32A bis 32B gezeigt ist.
  • In einigen Ausführungsformen haben Abschnitte der ferroelektrischen Schicht 90, die mit den mehreren Dielektrikumschichten 52 in Kontakt stehen, zweite säulenartige Körner (z. B. säulenartige Körner 89B') mit einer zweiten Längsachsenrichtung, die nicht senkrecht zu einer Kontaktfläche jeder der mehreren Dielektrikumschichten 52 ist, wie in den 20E und 32C gezeigt.
  • In einigen Ausführungsformen weisen die Abschnitte der ferroelektrischen Schicht 92, die die mehreren Gateelektrodenschichten kontaktieren, eine erste Kornabmessung (z. B. die Kurzachsenlänge L11) auf und Abschnitte der ferroelektrischen Schicht, die die mehreren Dielektrikumschichten kontaktieren, weisen eine zweite Kornabmessung (z. B. die Kurzachsenlänge L21/L21') auf, die sich von der ersten Kornabmessung unterscheidet, wie in den 20D bis 20E und 32B bis 32C gezeigt ist. In einigen Ausführungsformen ist die zweite Kornabmessung kleiner als die erste Kornabmessung. Beispielsweise beträgt die erste Korndimension 5 bis 20 nm und die zweite Korndimension liegt unter 1 bis 5 nm. Die Offenbarung ist jedoch nicht darauf beschränkt. Die zweite Kornabmessung kann größer als die erste Kornabmessung sein, wenn die Kammerparameter entsprechend eingestellt sind.
  • In einigen Ausführungsformen weisen Abschnitte der ferroelektrischen Schicht 90, die mit der Kanalschicht 92 in Kontakt stehen, dritte säulenartige Körner (z. B. säulenartige Körner 89/89'/89A/89B) mit einer dritten Längsachsenrichtung senkrecht zu einer Kontaktfläche der Kanalschicht 92 auf, wie in den 20D bis 20E und 32B bis 32C gezeigt ist.
  • In einigen Ausführungsformen umfasst die ferroelektrische Speichervorrichtung 200/200A außerdem mehrere leitfähige Säulen 106 und 108, die auf dem Substrat 50 angeordnet sind und den Mehrschichtenstapel 58 durchdringen. In einigen Ausführungsformen weist jede der mehreren dielektrischen Säulen (z. B. Dielektrika 98) zwei leitfähige Säulen 106 und 108 auf, die an zwei Enden davon angeordnet sind.
  • In einigen Ausführungsformen sind die dielektrischen Säulen (z. B. die Dielektrika 98) versetzt angeordnet. Speziell sind die dielektrischen Säulen benachbarter Spalten versetzt angeordnet, wie in 29A gezeigt ist. Die Offenbarung ist jedoch nicht darauf beschränkt. In einigen Ausführungsformen sind die dielektrischen Säulen (z. B. Dielektrika 98) benachbarter Säulen in einem regelmäßigen Array angeordnet und zueinander ausgerichtet, wie in 33 gezeigt ist.
  • In einigen Ausführungsformen der Offenbarung ist die III-V-basierte ferroelektrische Schicht zwischen der Kanalschicht (z. B. der Oxidhalbleiterschicht) und jeder der leitfähigen Schichten (z. B. der Metallschicht) angeordnet. Ein solches III-V-basiertes ferroelektrisches Material kann eine quadratische P-E-Schleife aufweisen und somit das Problem der Schreibstörung minimieren. Außerdem weisen die säulenartigen polykristallinen Körner in der III-V-basierten ferroelektrischen Schicht eine lange Achsenrichtung senkrecht zu den leitfähigen Schichten auf, um die Ausdauer und die Retention zu erhöhen und damit die Vorrichtungsleistung zu verbessern.
  • In den obigen Ausführungsformen wird die ferroelektrische Speichervorrichtung in einem „Treppe-zuerst-Prozess“ gebildet, wobei die Treppenstruktur gebildet wird, bevor die Speicherzellen gebildet werden. Die Offenbarung ist jedoch nicht darauf beschränkt. In anderen Ausführungsformen kann die ferroelektrische Speichervorrichtung durch einen „Treppe-zuletzt-Prozess“ gebildet werden, bei dem die Treppenstruktur nach der Bildung der Speicherzellen gebildet wird.
  • In den obigen Ausführungsformen werden die Gateelektroden (z. B. Wortleitungen) durch Abscheiden von Dielektrikum-Opferschichten und anschließendes Ersetzen der Dielektrikum-Opferschichten durch leitfähige Schichten gebildet. Die Offenbarung ist jedoch nicht darauf beschränkt. In anderen Ausführungsformen können die Gateelektroden (z. B. Wortleitungen) in der ersten Stufe ohne den Ersatzschritt nach Bedarf gebildet werden.
  • Viele Variationen der obigen Beispiele werden durch die vorliegende Offenbarung in Betracht gezogen. Es versteht sich, dass verschiedene Ausführungsformen unterschiedliche Vorteile aufweisen können und dass kein bestimmter Vorteil unbedingt für alle Ausführungsformen erforderlich ist.
  • Nach einigen Ausführungsformen dieser Offenbarung umfasst eine ferroelektrische Speichervorrichtung einen Mehrschichtenstapel, eine Kanalschicht und eine III-V-basierte ferroelektrische Schicht. Der Mehrschichtenstapel ist auf einem Substrat angeordnet und umfasst mehrere leitfähigen Schichten und mehrere Dielektrikumschichten, die abwechselnd gestapelt sind. Die Kanalschicht durchdringt die mehreren leitfähigen Schichten und die mehreren Dielektrikumschichten des Mehrschichtenstapels. Die 111-V-basierte ferroelektrische Schicht ist zwischen der Kanalschicht und dem Mehrschichtenstapel angeordnet und umfasst mindestens ein Element, das aus Elementen der Gruppe III gewählt ist, mindestens ein Element, das aus Elementen der Gruppe V gewählt ist, und mindestens ein Element, das aus Übergangsmetallelementen gewählt ist.
  • Nach alternativen Ausführungsformen dieser Offenbarung umfasst eine ferroelektrische Speichervorrichtung einen Mehrschichtenstapel, mehrere dielektrischer Säulen, eine Kanalschicht und eine ferroelektrische Schicht. Der Mehrschichtenstapel ist auf einem Substrat angeordnet und umfasst mehrere Gateelektrodenschichten und mehrere Dielektrikumschichten, die abwechselnd gestapelt sind. Die mehreren dielektrischen Säulen sind auf dem Substrat angeordnet und durchdringen den Mehrschichtenstapel. Die Kanalschicht ist zwischen dem Mehrschichtenstapel und jeder der dielektrischen Säulen angeordnet. Die ferroelektrische Schicht ist zwischen der Kanalschicht und dem Mehrschichtenstapel angeordnet, und Abschnitte der ferroelektrischen Schicht, die mit den mehreren Gateelektrodenschichten in Kontakt stehen, weisen erste säulenartige Körner mit einer Längsachsenrichtung senkrecht zu einer Kontaktfläche jeder der mehreren Gateelektrodenschichten auf.
  • Nach weiteren alternativen Ausführungsformen dieser Offenbarung umfasst ein Verfahren zum Herstellen einer ferroelektrischen Speichervorrichtung die folgenden Vorgänge. Ein Mehrschichtenstapel wird auf einem Substrat gebildet, und der Mehrschichtenstapel umfasst mehrere Dielektrikumschichten und mehrere leitfähigen Schichten, die abwechselnd gestapelt sind und einen durchgehenden Graben aufweisen. Ein Vorläufer eines Elements der Gruppe III, ein Vorläufer eines Elements der Gruppe V und ein Vorläufer eines Übergangsmetalls werden in eine Prozesskammer eingeführt, um eine III-V-basierte ferroelektrische Schicht an der Seitenwand des Grabens zu bilden. Eine Kanalschicht wird auf der III-V-basierten ferroelektrischen Schicht gebildet.
  • Obiges beschreibt Merkmale mehrerer Ausführungsformen, mit denen Fachleute auf dem Gebiet die Aspekte dieser Offenbarung besser verstehen. Fachleute auf dem Gebiet sollten verstehen, dass sie diese Offenbarung leicht als Grundlage für das Design oder die Änderung anderer Prozesse und Strukturen verwenden können, um dieselben Zwecke auszuführen und/oder dieselben Vorteile der hierin eingeführten Ausführungsformen zu erreichen. Fachleute auf dem Gebiet sollten außerdem verstehen, dass solche entsprechenden Konstruktionen nicht vom Geist und Umfang dieser Offenbarung abweichen und dass sie verschiedene Änderungen, Ersetzungen und Abänderungen hieran vornehmen können, ohne vom Geist und Umfang dieser Offenbarung abzuweichen.
  • ZITATE ENTHALTEN IN DER BESCHREIBUNG
  • Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.
  • Zitierte Patentliteratur
    • US 63/031049 [0001]

Claims (20)

  1. Ferroelektrische Speichervorrichtung, aufweisend: einen Mehrschichtenstapel, der auf einem Substrat angeordnet ist und mehrere leitfähigen Schichten und mehrere Dielektrikumschichten aufweist, die abwechselnd gestapelt sind; eine Kanalschicht, die mehrere leitfähige Schichten und die mehreren Dielektrikumschichten des Mehrschichtenstapels durchdringt; und eine III-V-basierte ferroelektrische Schicht, die zwischen der Kanalschicht und dem Mehrschichtenstapel angeordnet ist und mindestens ein Element, das aus Elementen der Gruppe III gewählt ist, mindestens ein Element, das aus Elementen der Gruppe V gewählt ist, und mindestens ein Element, das aus Übergangsmetallelementen gewählt ist, aufweist.
  2. Ferroelektrische Speichervorrichtung aus Anspruch 1, wobei das mindestens eine Element, das aus Übergangsmetallelementen gewählt ist, 10 bis 40 at% (Atomprozent) der III-V-basierten ferroelektrischen Schicht darstellt.
  3. Ferroelektrische Speichervorrichtung aus Anspruch 1 oder 2, wobei die III-V-basierte ferroelektrische Schicht AlScN, AlYN, GaScN oder InScN aufweist.
  4. Ferroelektrische Speichervorrichtung aus einem der vorhergehenden Ansprüche, wobei die III-V-basierte ferroelektrische Schicht ferner ein hafniumbasiertes Dielektrikum aufweist.
  5. Ferroelektrische Speichervorrichtung aus einem der vorhergehenden Ansprüche, wobei sich die III-V-basierte ferroelektrische Schicht kontinuierlich über mehrere leitfähige Schichten der mehreren leitfähigen Schichten erstreckt.
  6. Ferroelektrische Speichervorrichtung aus Anspruch 4, wobei die III-V-basierte ferroelektrische Schicht mehrere kristalline Körner aufweist, die in einer Säule übereinander angeordnet sind.
  7. Ferroelektrische Speichervorrichtung nach einem der vorhergehenden Ansprüche 1 bis 6, wobei die III-V-basierte ferroelektrische Schicht eine Einschichtstruktur ist.
  8. Ferroelektrische Speichervorrichtung aus einem der vorhergehenden Ansprüche 1 bis 6, wobei die III-V-basierte ferroelektrische Schicht eine Mehrschichtstruktur ist, die zwei III-V-basierte Materialien und eine Nicht-III-V-Schicht zwischen den beiden III-V-basierten Materialien aufweist.
  9. Ferroelektrische Speichervorrichtung, aufweisend: einen Mehrschichtenstapel, der auf einem Substrat angeordnet ist und mehrere Gateelektrodenschichten und mehrere Dielektrikumschichten aufweist, die abwechselnd gestapelt sind; mehrere dielektrische Säulen, die auf dem Substrat angeordnet sind und den Mehrschichtenstapel durchdringen; eine Kanalschicht, die zwischen dem Mehrschichtenstapel und jeder der dielektrischen Säulen angeordnet ist; und eine ferroelektrische Schicht, die zwischen der Kanalschicht und dem Mehrschichtenstapel angeordnet ist, wobei Abschnitte der ferroelektrischen Schicht, die mit den mehreren Gateelektrodenschichten in Kontakt stehen, erste säulenartige Körner mit einer Längsachsenrichtung senkrecht zu einer Kontaktfläche jeder der mehreren Gateelektrodenschichten aufweisen.
  10. Ferroelektrische Speichervorrichtung aus Anspruch 9, wobei die ferroelektrische Schicht mindestens ein Element, das aus Elementen der Gruppe III gewählt ist, mindestens ein Element, das aus Elementen der Gruppe V gewählt ist, und mindestens ein Element, das aus Übergangsmetallelementen gewählt ist aufweist.
  11. Ferroelektrische Speichervorrichtung aus Anspruch 9, wobei die ferroelektrische Schicht AlScN, AlYN, GaScN, InScN oder eine Kombination daraus aufweist.
  12. Ferroelektrische Speichervorrichtung aus einem der vorhergehenden Ansprüche 9 bis 11, wobei Abschnitte der ferroelektrischen Schicht, die mit den mehreren Dielektrikumschichten in Kontakt stehen, zweite säulenartige Körner mit einer zweiten Längsachsenrichtung senkrecht zu einer Kontaktfläche jeder mehreren Dielektrikumschichten aufweisen.
  13. Ferroelektrische Speichervorrichtung aus einem der vorhergehenden Ansprüche 9 bis 11, wobei Abschnitte der ferroelektrischen Schicht, die mit den mehreren Dielektrikumschichten in Kontakt stehen, zweite säulenartige Körner mit einer zweiten Längsachsenrichtung aufweisen, die nicht senkrecht zu einer Kontaktfläche jeder der mehreren Dielektrikumschichten steht.
  14. Ferroelektrische Speichervorrichtung aus einem der vorhergehenden Ansprüche 9 bis 13, wobei die Abschnitte der ferroelektrischen Schicht, die mit den mehreren Gateelektrodenschichten in Kontakt stehen, eine erste Kornabmessung aufweisen, und Abschnitte der ferroelektrischen Schicht, die mit den mehreren Dielektrikumschichten in Kontakt stehen, eine zweite Kornabmessung aufweisen, die sich von der ersten Kornabmessung unterscheidet.
  15. Ferroelektrische Speichervorrichtung aus Anspruch 14, wobei die zweite Kornabmessung kleiner als die erste Kornabmessung ist.
  16. Ferroelektrische Speichervorrichtung aus Anspruch 14, wobei die erste Kornabmessung 5 bis 20 nm beträgt und die zweite Kornabmessung weniger als 1 bis 5 nm beträgt.
  17. Ferroelektrische Speichervorrichtung aus einem der vorhergehenden Ansprüche 9 bis 16, wobei Abschnitte der ferroelektrischen Schicht, die mit der Kanalschicht in Kontakt stehen, dritte säulenartige Körner mit einer dritten Längsachsenrichtung senkrecht zu einer Kontaktfläche der Kanalschicht aufweisen.
  18. Verfahren zum Herstellen einer ferroelektrischen Speichervorrichtung, umfassend: Bilden eines Mehrschichtenstapels auf einem Substrat, wobei der Mehrschichtenstapel mehrere Dielektrikumschichten und mehrere leitfähige Schichten umfasst, die abwechselnd gestapelt sind, und einen sie durchdringenden Graben umfasst; Einführen eines Vorläufers eines Elements der Gruppe III, eines Vorläufers eines Elements der Gruppe V und eines Vorläufers eines Übergangsmetalls in eine Prozesskammer, um eine III-V-basierte ferroelektrische Schicht an der Seitenwand des Grabens zu bilden; und Bilden einer Kanalschicht auf der III-V-basierten ferroelektrischen Schicht.
  19. Verfahren aus Anspruch 18, nach dem Bilden der III-V-basierten ferroelektrischen Schicht und vor dem Bilden der Kanalschicht ferner das Durchführen eines Temperprozesses bei einer Temperatur von etwa 250 °C bis etwa 400 °C in einer sauerstoffhaltigen oder stickstoffhaltigen Umgebung umfassend.
  20. Verfahren aus Anspruch 18 oder 19, nach dem Bilden der III-V-basierten ferroelektrischen Schicht und vor dem Bilden der Kanalschicht ferner das Bilden einer hafniumbasierten ferroelektrischen Schicht auf der III-V-basierten ferroelektrischen Schicht umfassend.
DE102020135119.4A 2020-05-28 2020-12-30 Ferroelektrische speichervorrichtung und verfahren zu deren herstellung Granted DE102020135119A1 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063031049P 2020-05-28 2020-05-28
US63/031,049 2020-05-28
US17/130,609 US11527552B2 (en) 2020-05-28 2020-12-22 Ferroelectric memory device and method of forming the same
US17/130,609 2020-12-22

Publications (1)

Publication Number Publication Date
DE102020135119A1 true DE102020135119A1 (de) 2021-12-02

Family

ID=77572569

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102020135119.4A Granted DE102020135119A1 (de) 2020-05-28 2020-12-30 Ferroelektrische speichervorrichtung und verfahren zu deren herstellung

Country Status (5)

Country Link
US (1) US20230106816A1 (de)
KR (1) KR102650297B1 (de)
CN (1) CN113380825A (de)
DE (1) DE102020135119A1 (de)
TW (1) TWI758123B (de)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20230116719A1 (en) * 2021-09-24 2023-04-13 Intel Corporation Memory devices with nitride-based ferroelectric materials

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9620514B2 (en) * 2014-09-05 2017-04-11 Sandisk Technologies Llc 3D semicircular vertical NAND string with self aligned floating gate or charge trap cell memory cells and methods of fabricating and operating the same
KR101872122B1 (ko) * 2016-11-25 2018-06-27 연세대학교 산학협력단 3 차원 강유전체 메모리 소자 및 이의 제조 방법
WO2018136730A1 (en) * 2017-01-20 2018-07-26 Weimin Li Using metal gate first method to build three dimensional non-volatile memory devices
US9941299B1 (en) * 2017-05-24 2018-04-10 Sandisk Technologies Llc Three-dimensional ferroelectric memory device and method of making thereof
KR20180133167A (ko) * 2017-06-05 2018-12-13 에스케이하이닉스 주식회사 강유전성 메모리 소자
KR20190008049A (ko) * 2017-07-14 2019-01-23 에스케이하이닉스 주식회사 강유전성 메모리 소자의 제조 방법
KR102538701B1 (ko) * 2018-02-22 2023-06-01 에스케이하이닉스 주식회사 강유전성 메모리 장치 및 그 구동 방법
US10403631B1 (en) * 2018-08-13 2019-09-03 Wuxi Petabyte Technologies Co., Ltd. Three-dimensional ferroelectric memory devices
US11380709B2 (en) * 2018-09-04 2022-07-05 Sandisk Technologies Llc Three dimensional ferroelectric memory
US20200098926A1 (en) * 2018-09-26 2020-03-26 Intel Corporation Transistors with ferroelectric gates
TW202030859A (zh) * 2018-10-26 2020-08-16 美商蘭姆研究公司 三端子記憶體元件的自對準垂直集成
CN109920794B (zh) * 2019-03-25 2020-11-03 中国科学院微电子研究所 一种三维铁电存储器及其制造方法

Also Published As

Publication number Publication date
TWI758123B (zh) 2022-03-11
TW202145452A (zh) 2021-12-01
KR20210148858A (ko) 2021-12-08
CN113380825A (zh) 2021-09-10
KR102650297B1 (ko) 2024-03-21
US20230106816A1 (en) 2023-04-06

Similar Documents

Publication Publication Date Title
DE102020134613A1 (de) Speichervorrichtung und verfahren zur herstellung derselben
DE102020118388A1 (de) Ferroelektrische direktzugriffsspeichervorrichtungen und verfahren
DE102020130975A1 (de) Ferroelektrische speichervorrichtung und verfahren zum bilden derselben
DE102021100089B4 (de) Dreidimensionale speichervorrichtung und verfahren
DE102021102547A1 (de) Luftspalte in speicherarraystrukturen
DE102016114573A1 (de) Dreidimensionale Halbleitervorrichtungen
DE102020207521A1 (de) Asymmetrische gate-schnittisolation für sram
DE102020133671A1 (de) Dreidimensionale speichervorrichtung und verfahren
DE102019126565B4 (de) Mehrfachgatevorrichtung und zugehörige verfahren
DE102019124526A1 (de) Halbleitervorrichtung und verfahren
DE102021100353A1 (de) Dreidimensionale speichervorrichtung und deren herstellungsverfahren
DE102020128755A1 (de) Speicherarray-treppenstruktur
DE102021112578A1 (de) Speicherbauelement und verfahren zum bilden davon
DE102020134397A1 (de) Speicherarraykontaktstrukturen
DE102021100674A1 (de) Speicherarray mit epitaktischer sourceleitung und bitleitung
DE102020133751A1 (de) Speicherarray-isolationsstrukturen
DE102020133522A1 (de) Speicherarray-source-/drain-elektrodenstrukturen
DE102021110533A1 (de) Oxidhalbleiter-Transistorstruktur in 3D-Vorrichtung und Verfahren zu deren Bildung
DE102020123978A1 (de) Speicherarray-wortleitungsrouting
DE102020132537A1 (de) Dreidimensionale Speichervorrichtung und Herstellungsverfahren dafür
DE102021110537A1 (de) Stapelbarer 3D-Speicher und Verfahren zum Herstellen
DE102020126631A1 (de) Speicheranordnung enthaltend dummy-bereiche
DE102022100089A1 (de) Speicheranordnungsteststruktur und verfahren zum bilden derselben
DE102021101243A1 (de) Speicherblock-kanalregionen
DE102021111318A1 (de) 3d-speicherarray-kontaktstrukturen

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R079 Amendment of ipc main class

Free format text: PREVIOUS MAIN CLASS: H01L0027115850

Ipc: H10B0051000000

R016 Response to examination communication
R018 Grant decision by examination section/examining division