TWI798658B - 鐵電場效電晶體、鐵電記憶體裝置、半導體結構形成方法 - Google Patents

鐵電場效電晶體、鐵電記憶體裝置、半導體結構形成方法 Download PDF

Info

Publication number
TWI798658B
TWI798658B TW110109527A TW110109527A TWI798658B TW I798658 B TWI798658 B TW I798658B TW 110109527 A TW110109527 A TW 110109527A TW 110109527 A TW110109527 A TW 110109527A TW I798658 B TWI798658 B TW I798658B
Authority
TW
Taiwan
Prior art keywords
ferroelectric
layer
semiconductor
gate
charge trapping
Prior art date
Application number
TW110109527A
Other languages
English (en)
Other versions
TW202205640A (zh
Inventor
喬治奧斯 韋理安尼堤斯
戴爾 馬克斯 喬漢斯 亨利卡斯 凡
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202205640A publication Critical patent/TW202205640A/zh
Application granted granted Critical
Publication of TWI798658B publication Critical patent/TWI798658B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/78391Field effect transistors with field effect produced by an insulated gate the gate comprising a layer which is used for its ferroelectric properties
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40111Multistep manufacturing processes for data storage electrodes the electrodes comprising a layer which is used for its ferroelectric properties
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42364Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the insulating layer, e.g. thickness or uniformity
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/516Insulating materials associated therewith with at least one ferroelectric layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6684Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a ferroelectric gate insulator
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B51/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40117Multistep manufacturing processes for data storage electrodes the electrodes comprising a charge-trapping insulator
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B51/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors
    • H10B51/30Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors characterised by the memory core region

Abstract

鐵電場效電晶體包括半導體基板,其包含在源極區和汲極區之間延伸的半導體通道。鐵電閘極介電層設置在半導體通道上方,並且包括具有電荷捕捉帶的鐵電材料,電荷捕捉帶包括由鐵電材料的界面陷阱產生的電子態。閘極電極在鐵電閘極介電層上,並且被配置以透過從閘極偏壓電路施加開啟電壓和關閉電壓來為鐵電場效電晶體個別提供開啟狀態和關閉狀態。開啟狀態期間的電荷捕捉帶的能階偏離半導體通道的複數少數電荷載子的能階。在鐵電場效電晶體的操作期間避免了鐵電材料中的電荷捕捉,從而增加了鐵電場效電晶體的耐久性。

Description

鐵電場效電晶體、鐵電記憶體裝置、半導體結構形成方法
本揭露係關於一種鐵電場效電晶體,特別是比現有技術場效電晶體更大的耐久性的鐵電場效電晶體。
鐵電材料是當外部電場為零時可具有自發的非零電性極化(即非零總電偶極矩(non-zero total electrical dipole moment))的材料。自發極化可以藉由在相反方向上施加的強外部電場來逆轉。電性極化不僅取決於測量時的外部電場,而且還取決於外部電場的歷史,並因此具有磁滯迴線(hysteresis loop)。電性極化的最大值稱為飽和極化(saturation polarization)。在引起飽和極化的外部電場不再施加(即關閉)之後,殘留的電性極化稱為剩餘極化(remnant polarization)。為了達到零極化而需要在剩餘極化的相反方向上施加的電場的大小稱為矯頑電場(coercive electrical field)。為了形記憶體裝置,通常期望有高剩餘極化和高矯頑場(coercive field)。高剩餘極化可能會增加電訊號的大小。高矯頑場使記憶體裝置更穩定以抵禦由噪音級(noise-level)電場和干擾引起的擾動。
本揭露提供一種鐵電場效電晶體。鐵電場效電晶體包括半導體基板、鐵電閘極介電層、閘極電極。半導體基板包括在源極區和源極區之間延伸並且具有第一導電類型的摻雜的半導體通道。鐵電閘極介電層在半導體通道上方,並且包括具有電荷捕捉帶的鐵電材料,電荷捕捉帶包括由鐵電材料的複數介面陷阱產生的複數電子態。閘極電極在鐵電閘極介電層上,並且被配置以透過從閘極偏壓電路施加開啟電壓和關閉電壓來為鐵電場效電晶體個別提供開啟狀態和關閉狀態。在開啟狀態期間的電荷捕捉帶的能階偏離半導體通道的複數少數電荷載子的能帶的能階。
本揭露提供一種鐵電記憶體裝置。鐵電記憶體裝置包括前述之鐵電場效電晶體和感測電路。感測電路被配置以藉由在將感測閘極電壓施加到閘極電極的同時,測量源極區和汲極區之間的電流的大小,以測量鐵電閘極介電層中的電性極化方向。
本揭露提供一種半導體結構之形成方法。半導體結構之形成方法包括提供半導體基板,半導體基板包括具有第一導電類型的摻雜的半導體材料;在半導體基板上方形成層堆疊,層堆疊從底部到頂部包括了電荷捕捉帶未對準層、鐵電閘極介電層、以及閘極電極,其中電荷捕捉帶未對準層包括介電金屬氧化物材料,並且鐵電閘極介電層包括鐵電材料;以及在半導體材料中形成源極區和汲極區,其中半導體通道在層堆疊下方且在源極區和汲極區之間延伸。
本揭露提供一種半導體結構之形成方法。半導體結構之形成方法包括提供半導體基板,半導體基板包括具有第一導電類型的摻雜的摻雜鍺材料 部分;在摻雜鍺材料部分上方形成包括鐵電材料的鐵電閘極介電層;在鐵電閘極介電層上方形成閘極電極;以及在半導體基板中形成源極區和汲極區,其中包括摻雜鍺材料部分的半導體通道在鐵電閘極介電層下方且在源極區和汲極區之間延伸。
B-B’:平面
8:半導體基板
10:半導體材料層
12:淺溝槽隔離結構
51:界面氧化矽層
52:電荷捕捉帶未對準層
53:鐵電閘極介電層
54L:閘極電極材料層
58L:閘極蓋介電層
54:閘極電極
58:閘極蓋介電質
56:閘極間隔物
32E:源極延伸區
38E:汲極延伸區
35:半導體通道
32:源極區
38:汲極區
32D:深源極區
38D:深汲極區
70:接點級介電層
72:源極接點通孔孔洞
75:閘極接點通孔孔洞
78:汲極接點通孔孔洞
42:源極側金屬-半導體合金區
45:閘極側金屬-半導體合金區
48:汲極側金屬-半導體合金區
82F:源極側金屬填充材料部分
82L:源極側金屬襯墊
82:源極接點通孔結構
85F:閘極側金屬填充材料部分
85L:閘極側金屬襯墊
85:閘極接點通孔結構
88F:汲極側金屬填充材料部分
88L:汲極側金屬襯墊
88:汲極接點通孔結構
100:場效電晶體
120:閘極偏壓電路
140:感測電路
35V:價帶
35C:導帶
51V:價帶
51C:導帶
52V:價帶
52C:導帶
53V:價帶
53C:導帶
53T:電荷捕捉帶
54F:費米能階
110:半導體材料層
132E:源極延伸區
138E:汲極延伸區
135:半導體通道
132D:深源極區
138D:深汲極區
132:源極區
138:汲極區
34:凸起的源極區
36:凸起的汲極區
142:源極側金屬-半導體合金區
145:閘極側金屬-半導體合金區
148:汲極側金屬-半導體合金區
200:場效電晶體
135V:價帶
135C:導帶
151V:價帶
151C:導帶
1910~1930:操作
2010~2040:操作
本揭露實施例可透過閱讀以下之詳細說明以及範例並配合相應之圖式以更詳細地了解。需要注意的是,依照業界之標準操作,各種特徵部件並未依照比例繪製。事實上,為了清楚論述,各種特徵部件之尺寸可以任意地增加或減少。
第1A圖是根據本揭露第一實施例之在半導體基板的上部中形成淺溝槽隔離結構之後的第一示例性結構的俯視圖。
第1B圖是沿著第1A圖的平面B-B’的第一示例性結構的垂直剖面圖。
第2A圖是根據本揭露第一實施例之在沉積閘極堆疊材料層之後的第一示例性結構的俯視圖。
第2B圖是沿著第2A圖的平面B-B’的第一示例性結構的垂直剖面圖。
第3A圖是根據本揭露第一實施例之在形成閘極堆疊結構之後的第一示例性結構的俯視圖。
第3B圖是沿著第3A圖的平面B-B’的第一示例性結構的垂直剖面圖。
第4A圖是根據本揭露第一實施例之在形成源極延伸區、汲極延伸區和閘極間隔物之後的第一示例性結構的俯視圖。
第4B圖是沿著第4A圖的平面B-B’的第一示例性結構的垂直剖面圖。
第5A圖是根據本揭露第一實施例之在形成深源極區和深極極區之後的第一示例性結構的俯視圖。
第5B圖是沿著第5A圖的平面B-B’的第一示例性結構的垂直剖面圖。
第6A圖是根據本揭露第一實施例之在形成接點級介電層(contact-level dielectric layer)和接點通孔(contact via)孔洞之後的第一示例性結構的俯視圖。
第6B圖是沿著第6A圖的平面B-B’的第一示例性結構的垂直剖面圖。
第7A圖是根據本揭露第一實施例之在形成接點通孔結構之後的第一示例性結構的俯視圖。
第7B圖是沿著第7A圖的平面B-B’的第一示例性結構的垂直剖面圖。
第7C圖是合併了第7A圖和第7B圖的第一示例性結構的鐵電記憶體裝置的電路圖。
第8A圖是根據本揭露第一實施例之當在閘極電極施加的電壓為零時,在第一示例性結構中的場效電晶體中包括半導體通道和閘極堆疊結構的材料堆疊的能帶圖。
第8B圖是根據本揭露第一實施例之當在閘極電極上施加的電壓是使場效電晶體開啟(turn on)的正電壓時,在第一示例性結構中的場效電晶體中包括半導體通道和閘極堆疊結構的材料堆疊的能帶圖。
第9A圖是當在閘極電極施加的電壓為零時,藉由移除電荷捕捉帶未對準層而從第8A圖的材料堆疊得到的比較示例性材料堆疊的能帶圖。
第9B圖當在閘極電極上施加的電壓是使場效電晶體開啟的正電壓時,第9A圖的比較示例性材料堆疊的能帶圖。
第10A圖是根據本揭露第二實施例之在沉積閘極堆疊材料層之後的第二示 例性結構的俯視圖。
第10B圖是沿著第10A圖的平面B-B’的第二示例性結構的垂直剖面圖。
第11A圖是根據本揭露第二實施例之在形成閘極堆疊結構之後的第二示例性結構的俯視圖。
第11B圖是沿著第11A圖的平面B-B’的第二示例性結構的垂直剖面圖。
第12A圖是根據本揭露第二實施例之在形成源極延伸區、汲極延伸區和閘極間隔物之後的第二示例性結構的俯視圖。
第12B圖是沿著第12A圖的平面B-B’的第二示例性結構的垂直剖面圖。
第13A圖是根據本揭露第二實施例之在形成深源極區和深極極區之後的第二示例性結構的俯視圖。
第13B圖是沿著第13A圖的平面B-B’的第二示例性結構的垂直剖面圖。
第14A圖是根據本揭露第二實施例之在形成凸起的源極區和凸起的汲極區之後的第二示例性結構的俯視圖。
第14B圖是沿著第14A圖的平面B-B’的第二示例性結構的垂直剖面圖。
第15A圖是根據本揭露第二實施例之在形成接點級介電層和接點通孔孔洞之後的第二示例性結構的俯視圖。
第15B圖是沿著第15A圖的平面B-B’的第二示例性結構的垂直剖面圖。
第16A圖是根據本揭露第二實施例之在形成接點通孔結構之後的第二示例性結構的俯視圖。
第16B圖是沿著第16A圖的平面B-B’的第二示例性結構的垂直剖面圖。
第16C圖合併了第16A圖和第16B圖的第二示例性結構的鐵電記憶體裝置的電路圖。
第17A圖是根據本揭露第二實施例之當在閘極電極施加的電壓為零時,在第二示例性結構中的場效電晶體中包括半導體通道和閘極堆疊結構的材料堆疊的能帶圖。
第17B圖是根據本揭露第二實施例之當在閘極電極上施加的電壓是使場效電晶體開啟的正電壓時,在第二示例性結構中的場效電晶體中包括半導體通道和閘極堆疊結構的材料堆疊的能帶圖。
第18A圖是當在閘極電極施加的電壓為零時,從第17A圖的材料堆疊中加入界面氧化矽層得到的比較示例性材料堆疊的能帶圖。
第18B圖當在閘極電極上施加的電壓是使場效電晶體開啟的正電壓時,第18A圖的比較示例性材料堆疊的能帶圖。
第19圖是顯示根據本揭露實施例之用於形成本揭露的第一示例性結構的操作的第一流程圖。
第20圖是顯示根據本揭露實施例之用於形成本揭露的第二示例性結構的操作的第二流程圖。
本揭露提供許多不同的實施例或範例以實施本案的不同特徵。以下的揭露內容敘述各個構件及其排列方式的特定實施例,以簡化說明。當然,這些特定的範例並非用以限定。舉例來說,若是本揭露敘述了一第一特徵形成於一第二特徵之上或上方,即表示其可能包含上述第一特徵與上述第二特徵是直接接觸的實施例,亦可能包含了有附加特徵形成於上述第一特徵與上述第二特徵之間,而使上述第一特徵與第二特徵可能未直接接觸的實施例。另外,以 下本揭露不同實施例可能重複使用相同的參考符號及/或標記。這些重複係為了簡化與清晰的目的,並非用以限定所討論的不同實施例及/或結構之間有特定的關係。
此外,其與空間相關用詞。例如“在...下方”、“下方”、“較低的”、“上方”、“較高的”及類似的用詞,係為了便於描述圖示中一個元件或特徵與另一個(些)元件或特徵之間的關係。除了在圖式中繪示的方位外,這些空間相關用詞意欲包含使用中或操作中的裝置之不同方位。除此之外,設備可能被轉向不同方位(旋轉90度或其他方位),則在此使用的空間相關詞也可依此相同解釋。
本揭露總體上涉及半導體裝置,並且具體地涉及在半導體通道的導帶與鐵電閘極介電層的電荷捕捉帶(charge trapping band)之間具有未對準層的鐵電場效電晶體(ferroelectric field effect transistor;FeFET)及其形成方法。
參照第1A圖和第1B圖,顯示了根據本揭露的第一實施例的第一示例性結構,其包括具有半導體材料層10的半導體基板8。半導體基板8可以是塊體半導體基板(bulk semiconductor substrate),其半導體材料層10可以從前表面延伸到後表面,或者可以是絕緣體上半導體(semiconductor-on-insulator;SOI)基板,其包括在半導體材料層10下方的掩埋絕緣層和在掩埋絕緣層下方的操作基板(handle substrate)。舉例來說,半導體基板8可以是可商購的單晶塊體半導體基板或可商購的絕緣體上半導體基板。
半導體材料層10可以包括單晶半導體材料或多晶半導體材料。在一個實施例中,整個半導體材料層10可以包括單晶半導體材料,例如單晶矽。半導體材料層10的半導體材料可以具有第一導電類型的摻雜,其可以是P型或N型。半導體材料層10中的第一導電類型的摻雜物的原子濃度可以在1.0×1014/cm3 至3.0×1017/cm3的範圍內,儘管也可以使用較小或較大的原子濃度。在一個實施例中,半導體材料層10可以大抵由矽和第一導電類型的摻雜物組成。
淺溝槽隔離結構12可以形成在半導體材料層10的上部中。舉例來說,可以藉由在半導體材料層10的頂表面上方施加和圖案化光阻層,並且藉由使用非等向姓蝕刻製程將光阻層中的圖案轉移到半導體材料層10的上部中,來穿過半導體材料層10的頂表面形成具有在50nm至500nm的範圍內的深度的淺溝槽。後續可以藉由灰化移除光阻層。可以在淺溝槽中沉積介電材料,並且可以使用平坦化製程(例如化學機械研磨(chemical mechanical polishing;CMP)製程)從包括半導體材料層10的頂表面的水平面上方去除介電質的多餘部分。填充淺溝槽的介電材料的剩餘部分包括淺溝槽隔離結構12。淺溝槽隔離結構12可以橫向地圍繞裝置區,其中一者顯示在第1A圖和第1B圖中。在一個實施例中,每一個裝置區可以由淺溝槽隔離結構12橫向地圍繞。儘管使用單一裝置區描述了本揭露,但是可以理解可在第一示例性結構中形成由個別淺溝槽隔離結構12橫向圍繞的多個裝置區。
參照第2A圖和第2B圖,可以清潔半導體材料層10的頂表面以移除雜質。舉例來說,可以執行RCA清潔以從半導體材料層10的頂表面移除有機污染物、顆粒、表面氧化物以極離子污染物。可以執行使用稀氫氟酸或氫氟酸蒸氣的濕式蝕刻或蒸氣蝕刻,以提供無氧化物的表面作為半導體材料層10的頂表面。正常暴露於空氣中持續時間超過10分鐘通常會形成自然氧化物層(native oxide layer),其是半導體材料層10的半導體材料的表面氧化物。舉例來說,如果半導體材料層10包括單晶矽,則表面氧化矽層可以形成在半導體材料層10的頂表面上。表面氧化矽層在此處稱為界面氧化矽層51,並且具有在0.1nm至0.8nm 的範圍內的有效厚度。如此處所用,材料層的有效厚度是材料層的所有體積的總和除以材料層的面積。如果界面氧化矽層51的有效厚度可以小於氧化矽的一個單層(monolayer)的厚度(約0.3nm)。在這種情況下,界面氧化矽層51可以形成為多個離散部分或形成為具有貫穿其中的多個孔洞的連續層。替代地,界面氧化矽層51的有效厚度可以大於氧化矽的一個單層的厚度。在這種情況下,界面氧化矽層51可以形成為連續的材料層,而沒有貫穿其中的孔洞。
界面氧化矽層51的厚度通常隨著暴露於空氣中的持續時間而增加。在環境空氣中的氧含量比平常高的情況下,或者環境的溫度高於室溫(即20攝氏溫度)的情況下,界面氧化矽層51的厚度可能增加。長時間暴露於空氣後,界面氧化矽層51的厚度趨於飽和。
介電金屬氧化物層可以藉由直接沉積介電金屬氧化物材料或藉由沉積金屬且後續氧化該金屬而直接形成在界面氧化矽層51上。介電金屬氧化物材料的沉積可以藉由原子層沉積製程、化學氣相沉積製程或物理氣相沉積製程(即濺鍍製程)來執行。金屬的沉積可以通過物理氣相沉積(physical vapor deposition;PVD)製程、化學氣相沉積(chemical vapor deposition;CVD)製程或原子層沉積(an atomic layer deposition;ALD)製程來執行。金屬的氧化可以藉由電漿氧化製程或熱氧化製程在升高的溫度下執行,該升高的溫度可以在300攝氏溫度到600攝氏溫度的範圍內。
根據本揭露實施例,介電金屬氧化物層包括介電金屬氧化物材料,介電金屬氧化物材料可以使後續沉積在其上的鐵電材料的能帶結構位移。如此一來,介電金屬氧化物材料包括與後續要沉積的鐵電材料不同的材料。鐵電材料通常包括電荷捕捉帶,其是在鐵電材料的價帶和導帶之間的能帶。電荷 捕捉帶可能是由鐵電材料中固有存在的界面電荷陷阱(interfacial charge trap)引起的。可以選擇介電金屬氧化物層的介電材料,以使後續形成的鐵電材料的能帶結構位移,並且使鐵電材料的電荷捕捉帶與半導體材料層10的半導體材料的少數電荷載子的電子帶未對準。如此一來,介電金屬氧化物層在此處稱為電荷捕捉帶未對準層52。
電荷捕捉帶未對準層52可以直接形成在界面氧化矽層51上。在一個實施例中,介電金屬氧化物可以包括及/或可以大抵由選自以下的至少一種元素金屬的氧化物材料組成:鈹(Be)、鎂(Mg)、鈣(Ca)、鍶(Sr)、鋇(Ba)、鋁(Al)、鎵(Ga)、鈧(Sc)、釔(Y)、鈦(Ti)、釩(V)、鉻(Cr)、錳(Mn)、鋯(Zr)、鈮(Nb)、鉬(Mo)、鉭(Ta)、鎢(W)和鑭系元素。其他合適材料可以在本揭露的預期範圍內內。在一個實施例中,介電金屬氧化物可以包括及/或可以大抵由選自以下的單一元素金屬的氧化物材料組成:鈹(Be)、鎂(Mg)、鈣(Ca)、鍶(Sr)、鋇(Ba)、鋁(Al)、鎵(Ga)、鈧(Sc)、釔(Y)、鈦(Ti)、釩(V)、鉻(Cr)、錳(Mn)、鋯(Zr)、鈮(Nb)、鉬(Mo)、鉭(Ta)、鎢(W)和鑭系元素。在一個實施例中,介電金屬氧化物可以包括及/或可以大抵由選自以下的至少兩種元素金屬的氧化物材料組成:鈹(Be)、鎂(Mg)、鈣(Ca)、鍶(Sr)、鋇(Ba)、鋁(Al)、鎵(Ga)、鈧(Sc)、釔(Y)、鈦(Ti)、釩(V)、鉻(Cr)、錳(Mn)、鋯(Zr)、鈮(Nb)、鉬(Mo)、鉭(Ta)、鎢(W)和鑭系元素。電荷捕捉帶未對準層52可以具有在0.1nm至0.5nm範圍內的有效厚度。電荷捕捉帶未對準層52的介電材料在施加外部電場時引起後續要形成的鐵電材料的電荷捕捉帶相對於半導體材料的能帶結構位移。
後續可以將鐵電介電材料直接沉積在電荷捕捉帶未對準層52上以形成鐵電材料層,其用作場效電晶體的閘極介電質的部件層。如此一來,沉 積的鐵電材料層在此處稱為鐵電閘極介電層53。鐵電閘極介電層53的鐵電介電材料可以選自其中x在0到1的範圍內的Pb(ZrxTi1-x)O3(即鋯鈦酸鉛(PZT))、鋯酸鉛(PbZrO3)(PZ)、鈦酸鉛(PbTiO3)(PT)、HfO2(即氧化鉿)、ZrO2(即氧化鋯)、其中x在0和1之間的HfxZr1-xO2(即氧化鉿鋯)、磷酸二氫銨(NH4H2PO4)(ADP)、磷酸二氫鉀(KH2PO4)(KDP)、鈮酸鋰(LiNbO3)(LN)、鉭酸鋰(LiTaO3)(LT)、鈦酸鋇(BaTiO3)(BT)、鈦酸鉛鑭((Pb,La)TiO3)(PLT)和鋯鈦酸鉛鑭((Pb,La)(Zr,Ti)O3),及其摻雜的變體,但不限於此。其他合適材料可以在本揭露的預期範圍內。可以藉由物理氣相沉積(PVD)製程從濺鍍靶沉積鐵電閘極介電層53。鐵電閘極介電層53的厚度可以在3nm至20nm的範圍內,例如4.5nm至10nm,儘管也可以使用較小或較大的厚度。鐵電閘極介電層53可以足夠厚以避免在場效電晶體的操作期間的介電擊穿(dielectric breakdown),並且可以足夠薄以對形成在半導體材料層10的表面的半導體通道施加足夠強的電場。
鐵電閘極介電層53可以具有電荷捕捉帶,電荷捕捉帶包括由鐵電介電材料的界面陷阱(interfacial trap)產生的電子態。電荷捕捉帶未對準層52的介電材料使鐵電閘極介電層53的鐵電介電材料的能帶結構位移。因此,相對於省略電荷捕捉帶未對準層52的比較示例性結構,鐵電介電材料的電荷捕捉帶可以被電荷捕捉帶未對準層52的介電材料位移。鐵電閘極介電層53的鐵電介電材料的電荷捕捉帶可以在場效電晶體操作期間,沿著增加半導體材料層10中的費米能階與鐵電閘極介電層53的鐵電介電材料的電荷捕捉帶之間的能量分離(energy separation)的方向,從半導體材料層10的半導體材料的少數電荷載子的電子帶(electronic band)位移。
閘極電極材料層54L可以後續沉積在鐵電閘極介電層53上方。閘 極電極材料層54L包括至少一種導電材料。舉例來說,閘極電極材料層54L可以包括金屬、金屬間合金(intermetallic alloy)、金屬-半導體合金、導電金屬氧化物、導電金屬氮化物、導電金屬碳化物和包括平均原子濃度在5.0x1019/cm3到2.0x1021/cm3範圍內的P型摻雜物或N型摻雜物的摻雜的半導體材料中之至少一者。閘極電極材料層54L可以由單一導電材料或多種導電材料的堆疊構成。可以選擇閘極電極材料層54L內的最底部的材料,以為後續藉由圖案化閘極電極材料層54L而形成的閘極電極提供合適的功函數。可以藉由化學氣相沉積、物理氣相沉積、真空蒸發(vacuum evaporation)及/或原子層沉積來形成閘極電極材料層54L。閘極電極材料層54L的厚度可以在50nm至300nm的範圍內,儘管也可以使用較小或較大的厚度。
閘極蓋介電層(gate cap dielectric layer)58L可以形成在閘極電極材料層54L上方。閘極蓋介電層58L包括擴散阻擋材料,例如氮化矽。其他合適材料可以在本揭露的預期範圍內。閘極蓋介電層58L的厚度可以在20nm至60nm的範圍內儘管也可以使用較小或較大的厚度。
參照第3A圖和第3B圖,光阻層(未顯示)可以被施加在閘極電極材料層54L上方,並且可以被微影圖案化以形成在個別的裝置區上方延伸的線圖案。可以執行非等向性蝕刻製程(例如反應離子蝕刻製程)以將光阻層中的圖案轉移穿過閘極蓋介電層58L、閘極電極材料層54L、鐵電閘極介電層53、電荷捕捉帶未對準層52和界面氧化矽層51的層堆疊。半導體材料層10和淺溝槽隔離結構12可以用作蝕刻停止結構。
閘極蓋介電層58L、閘極電極材料層54L、鐵電閘極介電層53、電荷捕捉帶未對準層52和界面氧化矽層51的層堆疊的剩餘部分包括閘極堆疊結構 (51、52、53、54、58)。每一個閘極堆疊結構(51、52、53、54、58)從底部到頂部可以包括界面氧化矽層51、電荷捕捉帶未對準層52、鐵電閘極介電層53、閘極電極54和閘極蓋介電質58。每一個閘極堆疊結構(51、52、53、54、58)中的界面氧化矽層51可以是如在第2A圖和第2B圖的製程操作中形成的界面氧化矽層51的圖案化部分。每一個閘極堆疊結構(51、52、53、54、58)中的電荷捕捉帶未對準層52可以是如在第2A圖和第2B圖的製程操作中形成的電荷捕捉帶未對準層52的圖案化部分。每一個閘極堆疊結構(51、52、53、54、58)中的鐵電閘極介電層53可以是如在第2A圖和第2B圖的製程操作中形成的鐵電閘極介電層53的圖案化部分。每一個閘極堆疊結構(51、52、53、54、58)中的閘極電極54可以是如在第2A圖和第2B圖的製程操作中形成的閘極電極材料層54L的圖案化部分。每一個閘極堆疊結構(51、52、53、54、58)中的閘極蓋介電質58可以是如在第2A圖和第2B圖的製程操作中形成的閘極蓋介電層58L的圖案化部分。
每一個閘極堆疊結構(51、52、53、54、58)的側壁可以與圖案化的光阻層的側壁垂直重合(vertically coincident)。換句話說,每一個閘極堆疊結構(51、52、53、54、58)的側壁可以與個別覆蓋的光阻層的圖案化部分的側壁在相同的垂直平面內。在一個實施例中,在每一個閘極堆疊結構(51、52、53、54、58)內的界面氧化矽層51的側壁、電荷捕捉帶未對準層52的側壁、鐵電閘極介電層53的側壁和閘極電極54的側壁可以彼此垂直重合。後續可以藉由灰化移除光阻層。
參照第4A圖和第4B圖,可以執行延伸離子佈植製程以將第二導電類型的摻雜物注入到半導體材料層10的未被罩幕的表面部分中。第二導電類型與第一導電類型相反。舉例來說,如果第一導電類型是P型,則第二導電類型是 N型,反之亦然。半導體材料層10的注入的表面部分可以被轉換成具有第二導電類型的摻雜的摻雜半導體區。藉由將半導體材料層10的表面部分轉換成具有第二導電類型的摻雜的摻雜半導體材料部分,源極延伸區32E和汲極延伸區38E可以形成在用於形成場效電晶體的每一個裝置區內。源極延伸區32E和汲極延伸區38E中第二導電類型的摻雜物的平均原子濃度可以在1.0×1019/cm3至1.0×1021/cm3的範圍內,儘管也可以使用較小或較大的摻雜物濃度。源極延伸區32E和汲極延伸區38E的深度可以在從10nm至100nm的範圍內,儘管也可以使用較小或較大的深度。在閘極堆疊結構(51、52、53、54、58)的下方,並且在相鄰的一對源極延伸區32E和汲極延伸區38E之間的每一個半導體材料層10的表面部分可以包括半導體通道35。每一個半導體通道35在源極延伸區32E和汲極延伸區38E之間延伸,並且可以具有第一導電類型的摻雜。可選地,可以執行電洞注入以將第一導電類型的摻雜物注入到閘極堆疊結構(51、52、53、54、58)下方的區域中。
可以將至少一種介電材料(例如氮化矽及/或氧化矽)順應性地沉積在閘極堆疊結構(51、52、53、54、58)、源極延伸區32E、汲極延伸區38E和淺溝槽隔離結構12上方。至少一種介電材料可以被非等向性地蝕刻(例如:藉由反應離子蝕刻製程)以移除水平部分。橫向地圍繞個別閘極堆疊結構(51、52、53、54、58)的每一個剩餘垂直部分包括閘極間隔物56,其包括至少一種介電材料。儘管僅顯示了用於閘極堆疊結構(51、52、53、54、58)的單一閘極間隔物56,此處明確預期了其中藉由順序沉積和非等向性地蝕刻來形成在閘極堆疊結構(51、52、53、54、58)上的多個閘極間隔物的實施例。在一個實施例中,閘極間隔物56可以橫向圍繞閘極堆疊結構(51、52、53、54、58),並且可以拓撲地同胚(topologically homeomorphic)成圓環(torus),即可以連續變形為圓環而不會形成新 的開口(opening)或破壞現有的開口。每一個閘極間隔物56在基部(base portion)的寬度(即內側壁和外側壁之間的橫向距離)可以在20nm至100nm的範圍內,儘管也可以使用較小或較大的寬度。
參照第5A圖和第5B圖,可以將第二導電類型的摻雜物注入到源極延伸區32E、汲極延伸區38E和下面的半導體材料層10的區域的未被罩幕的部分中。源極延伸區32E、汲極延伸區38E和半導體材料層10的注入部分形成深源極區32D和深汲極區38D。在深源極區32D和深汲極區38D中的第二導電類型的摻雜物的平均原子濃度可以在5.0×1019/cm3到2.0×1021/cm3的範圍內,儘管也可以使用較小或較大的摻雜物濃度。深源極區32D和深汲極區38D中的第二導電類型的摻雜物的平均原子濃度高於在源極延伸區32E和汲極延伸區38E的剩餘部分中的第二導電類型的摻雜物的平均原子濃度。深源極區32D和深汲極區38D的深度可以在40nm至300nm的範圍內,儘管也可以使用較小或較大的深度。深源極區32D和深汲極區38D的深度可以大於源極延伸區32E和汲極延伸區38E的剩餘部分的深度。可以在每一個裝置區內形成深源極區32D和深汲極區38D。源極延伸區32E和深源極區32D的每一個組合構成源極區32。汲極延伸區38E和深汲極區38D的每一個組合構成汲極區38。通常來說,可以在由淺溝槽隔離結構12橫向圍繞的每一個裝置區內的半導體材料層10的半導體材料中形成源極區32和汲極區38。半導體通道35在閘極堆疊結構(51、52、53、54、58)的層堆疊下方在源極區32和汲極區38之間延伸。
參照第6A圖和第6B圖,可以在閘極堆疊結構(51、52、53、54、58)、源極區32、汲極區38和淺溝槽隔離結構12上沉積介電材料。介電材料可以包括可平坦化的介電材料(例如未摻雜的矽酸鹽玻璃或摻雜的矽酸鹽玻璃),或者 包括自平坦化的介電材料(例如流動式氧化物(flowable oxide;FOX))。可以藉由化學氣相沉積製程(例如電漿增強化學氣相沉積製程)或藉由旋塗來沉積介電材料。介電材料的頂表面可以在沉積製程期間或之後被平坦化。形成具有平坦(即水平)頂表面的介電材料層,後續穿過介電材料層形成接點通孔結構。如此一來,介電材料層在此處稱為接點級介電層70。接點級介電層70的頂表面可以是平坦的,並且可以在閘極蓋介電質58的頂表面上方。接點級介電層70的頂表面與閘極蓋介電質58的頂表面之間的垂直距離可以在30nm至400nm的範圍內,儘管也可以使用較小或較大的厚度。
光阻層可以施加在接點級介電層70上方(未顯示),並且可以被微影圖案化以形成穿過其中的離散開口。可以在源極區32、汲極區38和閘極電極54上方形成光阻層中的開口。可以執行非等向性蝕刻製程以形成穿過接點級介電層70的接點通孔孔洞(72、75、78)。接點通孔孔洞(72、75、78)包括從接點級介電層70的頂表面延伸到個別的源極區32的頂表面的源極接點通孔孔洞72、從接點級介電層70的頂表面延伸到個別的汲極區38的頂表面的汲極接點通孔孔洞78、以及從接點級介電層70的頂表面延伸到個別的閘極電極54的頂表面的閘極接點通孔孔洞75。
參照第7A圖和第7B圖,可以藉由順應性或非順應性沉積方法將可以形成金屬-半導體合金的金屬沉積到接點通孔孔洞(72、75、78)中。如果半導體材料層10包括摻雜的矽及/或大抵由摻雜的矽組成,則金屬可以是可形成金屬矽化物的材料。舉例來說,金屬可以包括鎳、鈦、鎢、鉬、鉑或形成金屬矽化物的另一種金屬。可以在升高的溫度下執行退火製程以引起金屬矽化物材料的形成。升高的溫度可以在500攝氏溫度到750攝氏溫度的範圍內。可以藉由濕式 蝕刻製程移除金屬的未反應部分,濕式蝕刻製程蝕刻金屬而對金屬矽化物材料有選擇性。金屬矽化物材料的剩餘部分包括與個別的源極區32接觸的源極側金屬-半導體合金區42、與個別的汲極區38接觸的汲極側金屬-半導體合金區48、以及與個別的閘極電極54(在退火製程之前的閘極電極54的最頂層材料包括矽的情況下)接觸的閘極側金屬-半導體合金區45。
包括擴散阻擋材料的金屬襯墊可以沉積在接點通孔孔洞(72、75、78)的剩餘體積的周圍部分。金屬襯墊包括導電的金屬氮化物材料(例如氮化鈦(TiN)、氮化鉭(TaN)或氮化鎢(WN))及/或金屬碳化物材料(例如碳化鈦(TiC)、碳化鉭(TaC)或碳化鎢(WC))。金屬襯墊的厚度可以在3nm至15nm的範圍內,儘管也可以使用較小或較大的厚度。
金屬填充材料(例如銅、鎢、鉬、鈷、釕及/或另一種元素金屬或金屬間合金)可以沉積在接點通孔孔洞(72、75、78)的剩餘體積中。可以藉由平坦化製程移除在包括接點級介電層70的頂表面的水平面上方的金屬填充材料和金屬襯墊的部分。填充個別的接點通孔孔洞(72、75、78)的金屬填充材料和金屬襯墊的剩餘部分的每一個組合構成接點通孔結構(82、88、85)。接點通孔結構(82、88、85)包括接觸個別的源極側金屬-半導體合金區42的源極接點通孔結構82、接觸個別的汲極側金屬-半導體合金區48的汲極接點通孔結構88、以及接觸個別的閘極側金屬-半導體合金區45或個別的閘極電極54(在未形成閘極側金屬-半導體合金區45的情況下)的閘極接點通孔結構85。每一個源極接點通孔結構82包括源極側金屬襯墊82L和源極側金屬填充材料部分82F。每一個汲極接點通孔結構88包括汲極側金屬襯墊88L和汲極側金屬填充材料部分88F。每一個閘極接點通孔結構85包括閘極側金屬襯墊85L和閘極側金屬填充材料部分85F。第一示 例性結構包括鐵電場效電體,其包括作為閘極介電質(51、52、53)的部件的電荷捕捉帶未對準層52。
在一個實施例中,第7A圖和第7B圖的鐵電場效電晶體可以用於形成鐵電記憶體裝置。舉例來說,在第一示例性結構中,可以與第2A圖至第7B圖所示的場效電晶體的形成同時地形成額外裝置,例如用於閘極偏壓電路和感測電路的場效電晶體。可以在接點級介電層70上方形成互連級介電材料層(interconnect-level dielectric material layer)和金屬互連結構,以在第7A圖和第7B圖所示的場效電晶體的各個節點、閘極偏壓電路和感測電路之間提供電性互連。第7C圖顯示了合併了第7A圖和第7B圖的第一示例性結構的鐵電記憶體裝置的電路示意圖。鐵電場效電晶體包括半導體材料層10的半導體材料的表面部分作為半導體通道35。
根據本揭露實施例的鐵電記憶體裝置包括第7A圖和第7B圖所示的場效電晶體100、被配置以透過施加開啟電壓(on-voltage)和關閉電壓(off-voltage)來為鐵電場效電晶體個別提供開啟狀態(on-state)和關閉狀態(off-state)的閘極偏壓電路120、以及被配置以藉由在將感測閘極電壓施加到閘極電極54的同時,測量源極區32和汲極區38之間的電流的大小,以測量鐵電閘極介電層53中的電性極化方向的感測電路140。通常來說,閘極電極54可以在鐵電閘極介電層53上,並且可以被配置以透過從閘極偏壓電路120施加開啟電壓和關閉電壓來為鐵電場效電晶體個別提供開啟狀態和關閉狀態。在說明性示例中,開啟電壓可以具有在0.5V至5V範圍內的大小,並且關閉電壓可以具有在0V至0.3V範圍內的大小。
鐵電閘極介電層53的鐵電材料可以具有電荷捕捉帶,電荷捕捉帶 包括由鐵電材料的界面陷阱產生的電子態。電荷捕捉帶未對準層52包含介電材料,介電材料引起鐵電材料的電荷捕捉帶相對於半導體材料層10的半導體材料的能帶結構的位移,其在沒有外部電場和施加外部電場的情況下存在。
參照第8A圖、第8B圖、第9A圖和第9B圖,顯示了在兩個不同的電性偏壓條件下的兩個材料堆疊的能帶圖。第8A圖是當在閘極電極(相對於半導體通道35)施加的電壓為零(即關閉電壓)時,包括在第7A圖和第7B圖的第一示例性結構中的場效電晶體中的半導體通道35和沒有閘極蓋介電質58的閘極堆疊結構(51、52、53、54、58)的材料堆疊的能帶圖。第8B圖是當在閘極電極54施加的電壓是使場效電晶體開啟的正電壓(即開啟電壓)時,第8A圖的材料堆疊的能帶圖。第9A圖是當在閘極電極54的施加電壓為零時,藉由移除電荷捕捉帶未對準層52而從第8A圖的材料堆疊得到的比較示例性材料堆疊的能帶圖。換句話說,比較示例性材料堆疊體從一側到另一側由半導體通道35、界面氧化矽層51、鐵電閘極介電層53和閘極電極54組成。第9B圖是當在閘極電極的施加電壓是使場效電晶體開啟的正電壓(即開啟電壓)時的第9A圖的比較示例性材料堆疊的能帶圖。可以藉由閘極偏壓電路120來提供開啟電壓和關閉電壓。
各種能帶圖顯示了用於每一個電性偏壓條件、用於第一示例性結構的半導體通道35和閘極堆疊的組合、以及用於半導體通道和沒有任何電荷捕捉帶未對準層的比較示例性材料堆疊的組合的半導體通道35的價帶35V、半導體通道35的導帶35C、界面氧化矽層51的價帶51V、界面氧化矽層51的導帶51C、電荷捕捉帶未對準層52的價帶52V、電荷捕捉帶未對準層52的導帶52C、鐵電閘極介電層53的價帶53V、鐵電閘極介電層53的導帶53C、鐵電閘極介電層53的電荷捕捉帶53T、以及閘極電極54內的費米能階54F。
第9B圖顯示了當鐵電場效電晶體開啟時,對於半導體通道和沒有任何電荷捕捉帶未對準層的比較示例性材料堆疊的組合,鐵電閘極介電層53的電荷捕捉帶53T在與費米能階相同的能階。相反地,第8B圖顯示了當第一示例性結構的鐵電場效電晶體開啟時,對於半導體通道35和沒有本揭露的閘極蓋介電質58的閘極堆疊結構(51、52、53、54、58)的組合,鐵電閘極介電層53的電荷捕捉帶53T偏離(offset)費米能階。藉由在本揭露的鐵電場效電晶體的開啟狀態期間使鐵電閘極介電層53的電荷捕捉帶53T的能階偏離費米能階,避免了在第一示例性結構的鐵電場效電晶體的操作期間在鐵電閘極介電層53的電荷捕捉帶53T中的電子捕捉。
電荷捕捉帶未對準層52的導帶52C和價帶52V的斜率可以為正或負,這取決於由電荷捕捉帶未對準層的材料的偶極矩產生的內部電場的大小。通常來說,電荷捕捉帶未對準層52可以包括介電金屬氧化物材料,介電金屬氧化物材料具有偶極矩,偶極矩與藉由跨越半導體通道35和閘極電極54施加的偏電壓產生的外部電場的方向對準。偶極矩產生一個與外部電場反平行的內部電場。內部電場的大小可以大於或小於外部電場的大小。在一個實施例中,如第8B圖所示,電荷捕捉帶未對準層52的導帶52C和價帶52V的斜率可以沿著相鄰材料層中各個能帶的斜率的相反方向。電荷捕捉帶未對準層52內的能帶(52C、52V)的相反斜率可導致鐵電閘極介電層53的電荷捕捉帶53T的能階從費米能階級向上位移,從而在穿隧通過鐵電閘極介電層53的電子和鐵電閘極介電層53的電荷捕捉帶53T的能階之間提供更大的能隙。在另一實施例中,電荷捕捉帶未對準層52的導帶52C和價帶52V的斜率可以沿著相鄰材料層中的各個能帶的斜率的方向。與第9B圖顯示的比較示例性結構的能帶結構中的能隙相比(其中不存在電荷 捕捉帶未對準層),電荷捕捉帶未對準層52的存在可以在穿隧通過鐵電閘極介電層53的電子和鐵電閘極介電層53的電荷捕捉帶53T的能階之間引起更大的能隙。
通常來說,可以選擇電荷捕捉帶未對準層52的材料,使得在開啟狀態期間的電荷捕捉帶53T的能階偏離費米能階。在開啟狀態期間,費米能階可以接近半導體通道35的少數電荷載子的能階。如果少數電荷載子是電洞,則少數電荷載子的能階可以是價帶,並且如果少數電荷載子是電子,則少數電荷載子的能階可以是導帶。在一個實施例中,選擇電荷捕捉帶未對準層52的材料,使得在開啟狀態期間的電荷捕捉帶53T的能階偏離半導體通道35的少數電荷載子的能階。
參照第10A圖和第10B圖,可以藉由修改半導體材料層10的組成和藉由省略界面氧化矽層51和電荷捕捉帶未對準層52的形成以從第2A圖和第2B圖所示的第一示例性結構得出根據本揭露的第二實施例的第二示例性結構。在第二示例性結構中,可以使用包括鍺的半導體材料層110代替第一實施例的半導體材料層10。在一個實施例中,半導體材料層110包括單晶鍺,單晶鍺包括原子濃度大於90%的鍺原子、及/或大於95%的鍺原子、及/或大於98%的鍺原子、及/或大於99%的鍺原子、及/或大於99.9%的鍺原子、及/或大於99.99%的鍺原子、及/或大於99.999%的鍺原子。半導體材料層110可以具有第一導電類型的摻雜,其可以是P型或N型。在一個實施例中,半導體材料層110中的第一導電類型的摻雜物的原子濃度可以在1.0×1014/cm3至3.0×1017/cm3的範圍內,儘管也可以使用較小或較大的原子濃度。
因此,半導體基板8可以包括具有第一導電類型的摻雜的摻雜鍺材料部分。摻雜鍺材料部分可以是如體現在半導體材料層110中的單晶摻雜半導 體材料部分。半導體材料層110可以被提供為塊體鍺基板(例如鍺晶圓),或者可以被提供為在絕緣體上半導體(SOI)基板中覆蓋掩埋絕緣層的頂部半導體層。替代地,可以在具有比半導體材料層110更大的橫向尺寸的主體基板(host substrate)內形成半導體材料層110。在此情況下,半導體材料層110可以藉由半導體至半導體鍵結(semiconductor-to-semiconductor bonding)(例如:透過退火半導體材料層110和矽基板之間的界面區域而形成的矽鍺合金層)或半導體至氧化物鍵結(例如:透過氧化矽層或矽鍺氧化物層)而附著於主體基板。
淺溝槽隔離結構12可以藉由執行第2A圖和第2B圖的製程操作來形成。在一個實施例中,氮化矽襯墊(未顯示)可以形成在每一個淺溝槽隔離結構12的外部周圍,以避免半導體材料層110中的鍺材料暴露於淺溝槽隔離結構12內的氧化矽材料。
可以清潔半導體材料層110的頂表面以移除雜質。清潔製程的最後操作可以包括從半導體材料層110的頂表面移除所有表面氧化物材料的清潔操作。舉例來說,可以使用溶解氧化鍺的鹼性溶液以從半導體材料層110(其為摻雜的鍺層)的頂表面移除表面氧化鍺。可以將清潔後的半導體基板8放置在惰性環境中,例如具有氮氣環境氣體的運輸盒(transportation pod)中,以使對氧氣的暴露最小化並防止在半導體材料層110的表面上形成氧化鍺。可以透過真空裝載腔(loadlock)將半導體基板8加載到腔室中,以防止在半導體材料層110的頂表面上形成氧化鍺。
根據本揭露實施例,鐵電介電材料可以直接沉積在半導體材料層110(其可以是單晶摻雜鍺層)的頂表面上以在半導體材料層110和鐵電材料層之間形成沒有任何界面氧化物層的鐵電材料層。在將第二示例性結構從表面清潔 工具傳輸到用於沉積鐵電材料層的製程腔室期間,可以避免或最小化半導體材料層110的頂表面暴露於氧氣環境(例如空氣)。
鐵電材料層可以後續用作場效電晶體的閘極介電質的部件層。如此一來,沉積的鐵電材料層在此處稱為鐵電閘極介電層53。鐵電閘極介電層53的鐵電介電材料可以是第一示例性結構內可用於鐵電閘極介電層53的任何材料。鐵電閘極介電層53的厚度可以在與第一實施例相同的範圍內,例如在3nm至20nm的範圍內。
鐵電閘極介電層53可以具有電荷捕捉帶,其包括由鐵電介電材料的界面陷阱產生的電子態。相對於其中在半導體材料層110與鐵電閘極介電層之間的界面存在界面氧化物層的比較示例性結構,消除半導體材料層110和鐵電閘極介電層53之間的界面的任何界面氧化物材料具有位移鐵電閘極介電層53的鐵電介電材料的能帶結構的效果。鐵電閘極介電層53的鐵電介電材料的電荷捕捉帶可以在場效電晶體操作期間,沿著增加半導體材料層10中的費米能階與鐵電閘極介電層53的鐵電介電材料的電荷捕捉帶之間的能量分離(energy separation)的方向,從半導體材料層10的半導體材料的少數電荷載子的電子帶(electronic band)位移。
閘極電極材料層54L可以後續沉積在鐵電閘極介電層53上方。閘極電極材料層54L包括至少一種導電材料。舉例來說,閘極電極材料層54L可以包括金屬、金屬間合金(intermetallic alloy)、金屬-半導體合金、導電金屬氧化物、導電金屬氮化物、導電金屬碳化物和包括平均原子濃度在5.0x1019/cm3到2.0x1021/cm3範圍內的P型摻雜物或N型摻雜物的摻雜的半導體材料中之至少一者。閘極電極材料層54L可以由單一導電材料或多種導電材料的堆疊構成。可以 選擇閘極電極材料層54L內的最底部的材料,以為後續藉由圖案化閘極電極材料層54L而形成的閘極電極提供合適的功函數。可以藉由化學氣相沉積、物理氣相沉積、真空蒸發(vacuum evaporation)及/或原子層沉積來形成閘極電極材料層54L。閘極電極材料層54L的厚度可以在50nm至300nm的範圍內,儘管也可以使用較小或較大的厚度。
閘極蓋介電層(gate cap dielectric layer)58L可以形成在閘極電極材料層54L上方。閘極蓋介電層58L包括擴散阻擋材料,例如氮化矽。閘極蓋介電層58L的厚度可以在20nm至60nm的範圍內儘管也可以使用較小或較大的厚度。
參照第11A圖和第11B圖,光阻層(未顯示)可以被施加在閘極電極材料層54L上方,並且可以被微影圖案化以形成在個別的裝置區上方延伸的線圖案。可以執行非等向性蝕刻製程(例如反應離子蝕刻製程)以將光阻層中的圖案轉移穿過閘極蓋介電層58L、閘極電極材料層54L和鐵電閘極介電層53。半導體材料層110和淺溝槽隔離結構12可以用作蝕刻停止結構。
閘極蓋介電層58L、閘極電極材料層54L和鐵電閘極介電層53的層堆疊的剩餘部分包括閘極堆疊結構(53、54、58)。每一個閘極堆疊結構(53、54、58)從底部到頂部可以包括鐵電閘極介電層53、閘極電極54和閘極蓋介電質58。每一個閘極堆疊結構(53、54、58)中的鐵電閘極介電層53是如在第10A圖和第10B圖的製程操作中形成的鐵電閘極介電層53的圖案化部分。每一個閘極堆疊結構(53、54、58)中的閘極電極54可以是如在第10A圖和第10B圖的製程操作中形成的閘極電極材料層54L的圖案化部分。每一個閘極堆疊結構(53、54、58)中的閘極蓋介電質58可以是如在第10A圖和第10B圖的製程操作中形成的閘極蓋介電層58L的圖案化部分。
每一個閘極堆疊結構(53、54、58)的側壁可以與圖案化的光阻層的側壁垂直重合。換句話說,每一個閘極堆疊結構(53、54、58)的側壁可以與個別覆蓋的光阻層的圖案化部分的側壁在相同的垂直平面內。在一個實施例中,在每一個閘極堆疊結構(53、54、58)內的鐵電閘極介電層53的側壁和閘極電極54的側壁可以彼此垂直重合。後續可以藉由灰化移除光阻層。
參照第12A圖和第12B圖,可以執行延伸離子佈植製程以將第二導電類型的摻雜物注入到半導體材料層110的未被罩幕的表面部分中。第二導電類型與第一導電類型相反。舉例來說,如果第一導電類型是P型,則第二導電類型是N型,反之亦然。半導體材料層110的注入的表面部分被轉換成具有第二導電類型的摻雜的摻雜半導體區。藉由將半導體材料層110的表面部分轉換成具有第二導電類型的摻雜的摻雜半導體材料部分,源極延伸區132E和汲極延伸區138E可以形成在用於形成場效電晶體的每一個裝置區內。源極延伸區132E和汲極延伸區138E中第二導電類型的摻雜物的平均原子濃度可以在1.0×1019/cm3至1.0×1021/cm3的範圍內,儘管也可以使用較小或較大的摻雜物濃度。源極延伸區132E和汲極延伸區138E的深度可以在從10nm至100nm的範圍內,儘管也可以使用較小或較大的深度。在閘極堆疊結構(53、54、58)的下方,並且在相鄰的一對源極延伸區132E和汲極延伸區138E之間的每一個半導體材料層110的表面部分可以包括半導體通道135。每一個半導體通道135在源極延伸區132E和汲極延伸區138E之間延伸,並且具有第一導電類型的摻雜。在替代實施例中,可以執行電洞注入以將第一導電類型的摻雜物注入到閘極堆疊結構(53、54、58)下方的區域中。
可以將至少一種介電材料(例如氮化矽及/或氧化矽)順應性地沉 積在閘極堆疊結構(53、54、58)、源極延伸區132E、汲極延伸區138E和淺溝槽隔離結構12上方。至少一種介電材料可以被非等向性地蝕刻(例如:藉由反應離子蝕刻製程)以移除水平部分。橫向地圍繞個別閘極堆疊結構(53、54、58)的每一個剩餘垂直部分包括閘極間隔物56,其包括至少一種介電材料。儘管僅顯示了用於閘極堆疊結構(53、54、58)的單一閘極間隔物56,此處明確預期了其中藉由順序沉積和非等向性地蝕刻來形成在閘極堆疊結構(53、54、58)上的多個閘極間隔物的實施例。在一個實施例中,閘極間隔物56可以橫向圍繞閘極堆疊結構(53、54、58),並且可以拓撲地同胚成圓環。每一個閘極間隔物56在基部的寬度(即內側壁和外側壁之間的橫向距離)可以在20nm至100nm的範圍內,儘管也可以使用較小或較大的寬度。
參照第13A圖和第13B圖,可以將第二導電類型的摻雜物注入到源極延伸區132E、汲極延伸區138E和下面的半導體材料層110的區域的未被罩幕的部分中。源極延伸區132E、汲極延伸區138E和半導體材料層110的注入部分形成深源極區132D和深汲極區138D。在深源極區132D和深汲極區138D中的第二導電類型的摻雜物的平均原子濃度可以在5.0×1019/cm3到2.0×1021/cm3的範圍內,儘管也可以使用較小或較大的摻雜物濃度。深源極區132D和深汲極區138D中的第二導電類型的摻雜物的平均原子濃度高於在源極延伸區132E和汲極延伸區138E的剩餘部分中的第二導電類型的摻雜物的平均原子濃度。深源極區132D和深汲極區138D的深度可以在40nm至300nm的範圍內,儘管也可以使用較小或較大的深度。深源極區132D和深汲極區138D的深度可以大於源極延伸區32E和汲極延伸區38E的剩餘部分的深度。可以在每一個裝置區內形成深源極區132D和深汲極區138D。源極延伸區132E和深源極區132D的每一個組合構成源極區132。汲極延 伸區138E和深汲極區138D的每一個組合構成汲極區138。通常來說,可以在由淺溝槽隔離結構12橫向圍繞的每一個裝置區內的半導體材料層110的半導體材料中形成源極區132和汲極區138。半導體通道135在閘極堆疊結構(53、54、58)的層堆疊下方在源極區132和汲極區138之間延伸。
參照第14A圖和第14B圖,可以從源極區32和汲極區38的物理暴露表面成長凸起的源極區34和凸起的汲極區36。通常來說,鍺在濕式清潔製程中易於點蝕(pitting),並且易於形成作為水溶性材料的氧化鍺。因此,為了保持含鍺裝置的裝置特性,避免將鍺直接暴露於濕式蝕刻劑或氧化物材料是有利的。在一個實施例中,可以執行選擇性磊晶製程以從每一個物理暴露的半導體表面成長矽鍺合金。選擇性磊晶工藝是半導體沉積製程,其中半導體前驅物和蝕刻劑氣體同時或交替地流入製程腔室。半導體表面比介電表面提供更短的孵化時間(incubation time),並且在半導體表面上的半導體材料的沉積速率高於在絕緣表面上的半導體材料的沉積速率。選擇蝕刻劑氣體的流速,使得在選擇性磊晶製程中在半導體表面上發生半導體材料的淨沉積(net deposition),並且在絕緣表面上不發生半導體材料的淨沉積。
每一個凸起的源極區34可以形成在個別的源極區132的頂表面上,並且每一個凸起的汲極區36形成在個別的汲極區138的頂表面上。凸起的源極區34和凸起的汲極區36可以包括具有第二導電類型的摻雜的矽鍺合金。矽鍺合金中矽的原子濃度可以是均勻的,或者可以隨著距源極區132和汲極區138的距離而減小。凸起的源極區34和凸起的汲極區36的矽鍺合金中矽的平均原子百分比可以在25%至95%的範圍內。在凸起的源極區34和凸起的汲極區36的最頂部的矽原子的原子百分比可以在70%至99.9%的範圍內。凸起的源極區34和凸起 的汲極區36中的第二導電類型的摻雜物可以在選擇性磊晶製程期間原位(in-situ)提供,或者可以在沉積未摻雜的矽鍺合金材料之後藉由離子佈植製程提供。在凸起的源極區34和凸起的汲極區36中第二導電類型的摻雜物的原子濃度可以在從5.0×1019/cm3到2.0×1021/cm3的範圍內,儘管也可以使用較小或較大的原子濃度。
參照第15A圖和第15B圖,可以在閘極堆疊結構(53、54、58)、凸起的源極區34、凸起的汲極區36和淺溝槽隔離結構12上方沉積介電材料。介電材料可以包括可平坦化的介電材料(例如未摻雜的矽酸鹽玻璃或摻雜的矽酸鹽玻璃),或者包括自平坦化的介電材料(例如流動式氧化物(FOX))。可以藉由化學氣相沉積製程(例如電漿增強化學氣相沉積製程)或藉由旋塗來沉積介電材料。介電材料的頂表面可以在沉積製程期間或之後被平坦化。形成具有平坦(即水平)頂表面的介電材料層,後續穿過介電材料層形成接點通孔結構。如此一來,介電材料層在此處稱為接點級介電層70。接點級介電層70的頂表面可以是平坦的,並且可以在閘極蓋介電質58的頂表面上方。接點級介電層70的頂表面與閘極蓋介電質58的頂表面之間的垂直距離可以在30nm至400nm的範圍內,儘管也可以使用較小或較大的厚度。
光阻層可以施加在接點級介電層70上方(未顯示),並且可以被微影圖案化以形成穿過其中的離散開口。可以在凸起的源極區34、凸起的汲極區36和閘極電極54上方形成光阻層中的開口。可以執行非等向性蝕刻製程以形成穿過接點級介電層70的接點通孔孔洞(72、75、78)。接點通孔孔洞(72、75、78)包括從接點級介電層70的頂表面延伸到個別凸起的源極區34的頂表面的源極接點通孔孔洞72、從接點級介電層70的頂表面延伸到個別凸起的汲極區36的頂表 面的汲極接點通孔孔洞78、以及從接點級介電層70的頂表面延伸到個別的閘極電極54的頂表面的閘極接點通孔孔洞75。
參照第16A圖和第16B圖,可以藉由順應性或非順應性沉積方法將可以形成金屬-半導體合金的金屬沉積到接點通孔孔洞(72、75、78)中。如果凸起的源極區34和凸起的汲極區36包括摻雜的矽鍺合金及/或大抵由摻雜的矽鍺合金組成,則金屬可以是可以形成金屬鍺矽化物的材料。舉例來說,金屬可以包括鎳、鈦、鎢、鉬、鉑或形成金屬鍺矽化物的另一種金屬。其他合適材料在本揭露的預期範圍內。可以在升高的溫度下執行退火製程,以在凸起的源極區34和凸起的汲極區36上方引起金屬鍺矽化物材料的形成,並且在閘極電極54上方引起金屬矽化物材料的形成(如果閘極電極的最頂部材料包括矽)。升高的溫度可以在500攝氏溫度到750攝氏溫度的範圍內。可以藉由濕式蝕刻製程移除金屬的未反應部分,濕式蝕刻製程蝕刻金屬而對金屬矽化物材料有選擇性。金屬鍺矽化物材料的剩餘部分包括與個別凸起的源極區34或個別的源極區132接觸的源極側金屬-半導體合金區142、與個別凸起的汲極區36或個別的汲極區138接觸的汲極側金屬-半導體合金區148、以及與個別的閘極電極54接觸的閘極側金屬-半導體合金區145。
包括擴散阻擋材料的金屬襯墊可以沉積在接點通孔孔洞(72、75、78)的剩餘體積的周圍部分。金屬襯墊包括導電的金屬氮化物材料(例如氮化鈦(TiN)、氮化鉭(TaN)或氮化鎢(WN))及/或金屬碳化物材料(例如碳化鈦(TiC)、碳化鉭(TaC)或碳化鎢(WC))。金屬襯墊的厚度可以在3nm至15nm的範圍內,儘管也可以使用較小或較大的厚度。
金屬填充材料(例如銅、鎢、鉬、鈷、釕及/或另一種元素金屬或 金屬間合金)可以沉積在接點通孔孔洞(72、75、78)的剩餘體積中。其他合適材料在本揭露的預期範圍內。可以藉由平坦化製程移除在包括接點級介電層70的頂表面的水平面上方的金屬填充材料和金屬襯墊的部分。填充個別的接點通孔孔洞(72、75、78)的金屬填充材料和金屬襯墊的剩餘部分的每一個組合構成接點通孔結構(82、88、85)。接點通孔結構(82、88、85)可以包括接觸個別的源極側金屬-半導體合金區142的源極接點通孔結構82、接觸個別的汲極側金屬-半導體合金區148的汲極接點通孔結構88、以及接觸個別的閘極側金屬-半導體合金區145或個別的閘極電極54(在未形成閘極側金屬-半導體合金區45的情況下)的閘極接點通孔結構85。每一個源極接點通孔結構82包括源極側金屬襯墊82L和源極側金屬填充材料部分82F。每一個汲極接點通孔結構88包括汲極側金屬襯墊88L和汲極側金屬填充材料部分88F。每一個閘極接點通孔結構85包括閘極側金屬襯墊85L和閘極側金屬填充材料部分85F。
在第二示例性結構中,半導體通道135包括摻雜的鍺,其包括原子濃度大於99%的鍺原子,並且包括原子濃度小於1%的第一導電類型的摻雜物。鐵電閘極介電層53直接形成在摻雜鍺材料部分的頂表面上,而在摻雜鍺材料部分和鐵電閘極介電層53之間沒有任何界面材料層。因此,鐵電閘極介電層53與半導體通道135的表面直接接觸,而其間沒有任何界面材料層。
在一個實施例中,第16A圖和第16B圖的鐵電場效電晶體可以用於形成鐵電記憶體裝置。舉例來說,在第二示例性結構中,可以與第10A圖至第16B圖所示的場效電晶體的形成同時地形成額外裝置,例如用於閘極偏壓電路和感測電路的場效電晶體。可以在接點級介電層70上方形成互連級介電材料層和金屬互連結構,以在第16A圖和第16B圖所示的場效電晶體的各個節點、閘極偏壓 電路和感測電路之間提供電性互連。第16C圖顯示了合併了第16A圖和第16B圖的第二示例性結構的鐵電記憶體裝置的電路示意圖。鐵電場效電晶體包括半導體材料層110的半導體材料的表面部分作為半導體通道135。
根據本揭露實施例的鐵電記憶體裝置包括第16A圖和第16B圖所示的場效電晶體200、被配置以透過施加開啟電壓(on-voltage)和關閉電壓(off-voltage)來為鐵電場效電晶體個別提供開啟狀態(on-state)和關閉狀態(off-state)的閘極偏壓電路120、以及被配置以藉由在將感測閘極電壓施加到閘極電極54的同時,測量源極區132和汲極區138之間的電流的大小,以測量鐵電閘極介電層53中的電性極化方向的感測電路140。通常來說,閘極電極54可以在鐵電閘極介電層53上,並且可以被配置以透過從閘極偏壓電路120施加開啟電壓和關閉電壓來為鐵電場效電晶體個別提供開啟狀態和關閉狀態。在說明性示例中,開啟電壓可以具有在0.5V至5V範圍內的大小,並且關閉電壓可以具有在0V至0.3V範圍內的大小。
鐵電閘極介電層53的鐵電材料可以具有電荷捕捉帶,電荷捕捉帶包括由鐵電材料的界面陷阱產生的電子態。在半導體材料層110和鐵電閘極介電層53之間不存在任何界面材料層的情況下,鐵電材料的電荷捕捉帶的能階相對於半導體材料層110的半導體材料的能帶結構的位移,其在沒有外部電場和施加外部電場的情況下存在。
參照第17A圖、第17B圖、第18A圖和第18B圖,顯示了在兩個不同的電性偏壓條件下的兩個材料堆疊的能帶圖。第17A圖是當在閘極電極(相對於半導體通道35)施加的電壓為零(即關閉電壓)時,包括在第16A圖和第16B圖的第一示例性結構中的場效電晶體中的半導體通道35和沒有閘極蓋介電質58的閘 極堆疊結構(53、54、58)的材料堆疊的能帶圖。第17B圖是當在閘極電極54施加的電壓是使場效電晶體開啟的正電壓(即開啟電壓)時,第17A圖的材料堆疊的能帶圖。第18A圖是當在閘極電極54的施加電壓為零時,藉由引入界面氧化鍺層而從第17A圖的材料堆疊得到的比較示例性材料堆疊的能帶圖。換句話說,比較示例性材料堆疊體從一側到另一側由半導體通道35、界面氧化鍺層、鐵電閘極介電層53和閘極電極54組成。第18B圖是當在閘極電極的施加電壓是使場效電晶體開啟的正電壓(即開啟電壓)時的第18A圖的比較示例性材料堆疊的能帶圖。可以藉由閘極偏壓電路120來提供開啟電壓和關閉電壓。
各種能帶圖顯示了用於每一個電性偏壓條件、用於第二示例性結構的半導體通道135和閘極堆疊的組合、以及用於半導體通道和具有界面氧化鍺層的比較示例性材料堆疊的組合的半導體通道135的價帶135V、半導體通道135的導帶135C、界面氧化鍺層的價帶151V、界面氧化鍺層的導帶151C、鐵電閘極介電層53的價帶53V、鐵電閘極介電層53的導帶53C、鐵電閘極介電層53的電荷捕捉帶53T、以及閘極電極54內的費米能階54F。
第18B圖顯示了當鐵電場效電晶體開啟時,對於半導體通道和具有界面氧化鍺層的比較示例性材料堆疊的組合,鐵電閘極介電層53的電荷捕捉帶53T在與費米能階相同的能階。相反地,第17B圖顯示了當第二示例性結構的鐵電場效電晶體開啟時,對於半導體通道135和沒有本揭露的閘極蓋介電質58的閘極堆疊結構(53、54、58)的組合,鐵電閘極介電層53的電荷捕捉帶53T偏離(offset)費米能階。藉由在本揭露的鐵電場效電晶體的開啟狀態期間使鐵電閘極介電層53的電荷捕捉帶53T的能階偏離費米能階,避免了在第二示例性結構的鐵電場效電晶體的操作期間在鐵電閘極介電層53的電荷捕捉帶53T中的電子捕捉。
通常來說,在開啟狀態期間的電荷捕捉帶53T的能階偏離費米能階。在開啟狀態期間,費米能階可以接近半導體通道135的少數電荷載子的能階。如果少數電荷載子是電洞,則少數電荷載子的能階可以是價帶,並且如果少數電荷載子是電子,則少數電荷載子的能階可以是導帶。在一個實施例中,在開啟狀態期間的電荷捕捉帶53T的能階偏離半導體通道135的少數電荷載子的能階。
參照第19圖,第一流程圖顯示了用於形成本揭露的第一示例性結構的操作。在操作1910中,提供包括半導體材料的半導體基板8,半導體材料具有第一導電類型的摻雜。在操作1920中,在半導體基板8上方形成層堆疊(51、52、53、54、58)。層堆疊(51、52、53、54、58)從底部到頂部包括了電荷捕捉帶未對準層52(包括介電金屬氧化物材料)、鐵電閘極介電層53(包括鐵電材料)、以及閘極電極54。參照操作1930中,在半導體材料中形成源極區32和汲極區38。半導體通道35在層堆疊(51、52、53、54、58)下方在源極區32和汲極區38之間延伸。
參照第20圖,第二流程圖顯示了用於形成本揭露的第二示例性結構的操作。在操作2010中,提供半導體基板8,其包括具有第一導電類型的摻雜的摻雜鍺材料部分。在操作2020中,在摻雜鍺材料上方形成包括鐵電材料的鐵電閘極介電層53。在操作2030中,在鐵電閘極介電層53上方形成閘極電極54。在操作2040中,在半導體基板8中形成源極區132和汲極區138。包括摻雜鍺材料部分的半導體通道135在鐵電閘極介電層53下方在源極區132和汲極區138之間延伸。
參照所有圖式並且根據本揭露的各種實施例,提供了一種鐵電場效電晶體,其包括:半導體基板8,其包括在源極區(32或132)和汲極區(38或138) 之間延伸並且具有第一導電類型的摻雜的半導體通道(35或135);鐵電閘極介電層53,在半導體通道(35或135)上方,並且包括具有電荷捕捉帶53T的鐵電材料,電荷捕捉帶53T包括由鐵電材料的界面陷阱產生的電子態;以及閘極電極54,在鐵電閘極介電層53上,並且被配置以透過從閘極偏壓電路120施加開啟電壓和關閉電壓來為鐵電場效電晶體個別提供開啟狀態和關閉狀態,其中在開啟狀態期間的電荷捕捉帶的能階偏離半導體通道(35或135)的少數電荷載子的能帶的能階。
在另一個實施例中,揭露了一種半導體結構的形成方法,其中方法包括以下操作:提供半導體基板8,其包括具有第一導電類型的摻雜的半導體材料10;在半導體基板8上方形成層堆疊,層堆疊從底部到頂部包括了電荷捕捉帶未對準層52(包括介電金屬氧化物材料)、鐵電閘極介電層53(包括鐵電材料)、以及閘極電極54;以及在半導體材料10中形成源極區32和汲極區38,其中半導體通道35在層堆疊下方在源極區32和汲極區38之間延伸。
在另一個實施例中,揭露了一種半導體結構的形成方法,其中方法包括以下操作:提供半導體基板8,其包括半導體材料層110(其可以是具有第一導電類型的摻雜的單晶摻雜鍺層);在半導體材料層110(其可以是具有第一導電類型的摻雜的單晶摻雜鍺層)上方形成包括鐵電材料的鐵電閘極介電層53;在鐵電閘極介電層上方形成閘極電極54;以及在半導體基板8中形成源極區132和汲極區138,其中包括摻雜鍺材料部分的半導體通道135在鐵電閘極介電層53下方在源極區132和汲極區138之間延伸。
在鐵電場效電晶體的操作期間,本揭露的各種實施例在鐵電場效電晶體的鐵電閘極介電層53的電荷捕捉帶53T與費米能階之間提供能階偏移。因 為電荷載子(例如電子)必須以與鐵電閘極介電層53的電荷捕捉帶53T的能階不同的能階通過,可以避免在鐵電閘極介電層53中的電荷捕捉。在鐵電場效電晶體的使用期間,鐵電閘極介電層53的剩餘極化不會降低,因為避免了在鐵電閘極介電層53的電荷捕捉帶53T中的電荷捕捉。此外,在鐵電場效電晶體的使用期間,鐵電閘極介電層53的飽和極化不會降低,因為避免了在鐵電閘極介電層53的電荷捕捉帶53T中的電荷捕捉。因此,本揭露的鐵電場效電晶體可以提供比導致鐵電閘極介電層的電荷捕捉帶中的電荷捕捉的現有技術場效電晶體更大的耐久性。
本揭露的一個方面涉及一種鐵電場效電晶體。鐵電場效電晶體包括半導體基板、鐵電閘極介電層、以及閘極電極。半導體基板包括在源極區和源極區之間延伸並且具有第一導電類型的摻雜的半導體通道。鐵電閘極介電層在半導體通道上方,並且包括具有電荷捕捉帶的鐵電材料,電荷捕捉帶包括由鐵電材料的複數介面陷阱產生的複數電子態。閘極電極在鐵電閘極介電層上,並且被配置以透過從閘極偏壓電路施加開啟電壓和關閉電壓來為鐵電場效電晶體個別提供開啟狀態和關閉狀態。在開啟狀態期間的電荷捕捉帶的能階偏離半導體通道的複數少數電荷載子的能帶的能階。
在一些實施例中,鐵電場效電晶體更包括電荷捕捉帶未對準層,其設置在鐵電閘極介電層和半導體通道之間,並且包含引起鐵電材料的電荷捕捉帶相對於半導體通道的能帶結構的位移的介電材料。
在一些實施例中,電荷捕捉帶未對準層包括具有在0.1nm至0.5nm範圍內的有效厚度的介電金屬氧化物。
在一些實施例中,介電金屬氧化物包括選自鈹(Be)、鎂(Mg)、鈣 (Ca)、鍶(Sr)、鋇(Ba)、鋁(Al)、鎵(Ga)、鈧(Sc)、釔(Y)、鈦(Ti)、釩(V)、鉻(Cr)、錳(Mn)、鋯(Zr)、鈮(Nb)、鉬(Mo)、鉭(Ta)、鎢(W)和鑭系元素的至少元素金屬的氧化物材料。
在一些實施例中,半導體通道包括矽;以及鐵電場效電晶體包括在半導體通道和電荷捕捉帶未對準層之間的界面氧化矽層,界面氧化矽層包括氧化矽並且具有在0.1nm至0.8nm範圍內的有效厚度。
在一些實施例中,界面氧化矽層的複數側壁、電荷捕捉帶未對準層的側壁、鐵電閘極介電層的複數側壁和閘極電極的複數側壁彼此垂直重合。
在一些實施例中,半導體通道包括鍺,並且鐵電閘極介電層與半導體通道的表面直接接觸,而在其間沒有任何界面材料層。
在一些實施例中,半導體通道包括摻雜的鍺,其包括原子濃度大於99%的鍺原子,並且包括原子濃度小於1%的第一導電類型的摻雜物。
在一些實施例中,鐵電閘極介電層包括選自其中x在0到1的範圍內的鋯鈦酸鉛(Pb(ZrxTi1-x)O3)、鋯酸鉛(PbZrO3)、鈦酸鉛(PbTiO3)、氧化鉿(HfO2)、氧化鋯(ZrO2)、其中x在0和1之間的氧化鉿鋯(HfxZr1-xO2)、磷酸二氫銨(NH4H2PO4)、磷酸二氫鉀(KH2PO4)、鈮酸鋰(LiNbO3)、鉭酸鋰(LiTaO3)、鈦酸鋇(BaTiO3)、鈦酸鉛鑭((Pb,La)TiO3)和鋯鈦酸鉛鑭((Pb,La)(Zr,Ti)O3),及其摻雜的變體的材料,並且鐵電閘極介電層具有在3nm至20nm的範圍內的厚度。
在一些實施例中,閘極電極包括金屬、金屬間合金、金屬-半導體合金、導電金屬氧化物、導電金屬氮化物、導電金屬碳化物和包括平均原子濃度在5.0x1019/cm3到2.0x1021/cm3範圍內的P型摻雜物或N型摻雜物的摻雜的半導體材料中之至少一者。
在一些實施例中,開啟電壓具有在0.5V至5V的範圍內的幅度;以及關閉電壓具有在0V至0.3V的範圍內的幅度(magnitude)。
本揭露的另一個方面涉及一種鐵電記憶體裝置。鐵電記憶體裝置包括前述鐵電場效電晶體和感測電路。感測電路被配置以藉由在將感測閘極電壓施加到閘極電極的同時,測量源極區和汲極區之間的電流的大小,以測量鐵電閘極介電層中的電性極化方向。
本揭露的另一個方面涉及一種半導體結構之形成方法。半導體結構之形成方法包括提供半導體基板,半導體基板包括具有第一導電類型的摻雜的半導體材料;在半導體基板上方形成層堆疊,層堆疊從底部到頂部包括了電荷捕捉帶未對準層、鐵電閘極介電層、以及閘極電極,其中電荷捕捉帶未對準層包括介電金屬氧化物材料,並且鐵電閘極介電層包括鐵電材料;以及在半導體材料中形成源極區和汲極區,其中半導體通道在層堆疊下方且在源極區和汲極區之間延伸。
在一些實施例中,半導體材料包括矽。半導體結構之形成方法包括直接在半導體通道的頂表面上形成具有在0.1nm至0.8nm範圍內的有效厚度的界面氧化矽層,其中電荷捕捉帶未對準層直接形成在界面氧化矽層上。
在一些實施例中,電荷捕捉帶未對準層具有在0.1nm至0.5nm的範圍內的有效厚度。介電金屬氧化物材料包括選自鈹(Be)、鎂(Mg)、鈣(Ca)、鍶(Sr)、鋇(Ba)、鋁(Al)、鎵(Ga)、鈧(Sc)、釔(Y)、鈦(Ti)、釩(V)、鉻(Cr)、錳(Mn)、鋯(Zr)、鈮(Nb)、鉬(Mo)、鉭(Ta)、鎢(W)和鑭系元素的至少元素金屬的氧化物材料。
在一些實施例中,鐵電材料具有電荷捕捉帶,電荷捕捉帶包括由鐵電材料的複數介面陷阱產生的複數電子態。電荷捕捉帶未對準層包含介電材 料,介電材料引起鐵電材料的電荷捕捉帶相對於半導體通道的能帶結構的位移。半導體結構包括鐵電場效電晶體,鐵電場效電晶體包括作為半導體通道的半導體材料的表面部分。半導體結構之形成方法更包括提供閘極偏壓電路,閘極偏壓電路被配置以透過施加開啟電壓和關閉電壓來為鐵電場效電晶體個別提供開啟狀態和關閉狀態;在開啟狀態期間的電荷捕捉帶的能階偏離半導體通道的複數少數電荷載子的能階。
本揭露的又一個方面涉及一種半導體結構之形成方法。半導體結構之形成方法包括提供半導體基板,半導體基板包括具有第一導電類型的摻雜的摻雜鍺材料部分;在摻雜鍺材料部分上方形成包括鐵電材料的鐵電閘極介電層;在鐵電閘極介電層上方形成閘極電極;以及在半導體基板中形成源極區和汲極區,其中包括摻雜鍺材料部分的半導體通道在鐵電閘極介電層下方且在源極區和汲極區之間延伸。
在一些實施例中,鐵電閘極介電層直接形成在摻雜鍺材料部分的頂表面上,而沒有任何界面材料層在摻雜鍺材料部分和鐵電閘極介電層之間。
在一些實施例中,半導體通道包括摻雜的鍺,摻雜的鍺包括原子濃度大於99%的鍺原子,並且包括原子濃度小於1%的第一導電類型的摻雜物。
在一些實施例中,鐵電閘極介電層包括選自其中x在0到1的範圍內的鋯鈦酸鉛(Pb(ZrxTi1-x)O3)、鋯酸鉛(PbZrO3)、鈦酸鉛(PbTiO3)、氧化鉿(HfO2)、氧化鋯(ZrO2)、其中x在0和1之間的氧化鉿鋯(HfxZr1-xO2)、磷酸二氫銨(NH4H2PO4)、磷酸二氫鉀(KH2PO4)、鈮酸鋰(LiNbO3)、鉭酸鋰(LiTaO3)、鈦酸鋇(BaTiO3)、鈦酸鉛鑭((Pb,La)TiO3)和鋯鈦酸鉛鑭((Pb,La)(Zr,Ti)O3),及其摻雜的變體的材料,並且鐵電閘極介電層具有在3nm至20nm的範圍內的厚度。
前述內文概述了許多實施例的特徵,使本技術領域中具有通常知識者可以從各個方面更佳地了解本揭露。本技術領域中具有通常知識者應可理解,且可輕易地以本揭露為基礎來設計或修飾其他製程及結構,並以此達到相同的目的及/或達到與在此介紹的實施例等相同之優點。本技術領域中具有通常知識者也應了解這些相等的結構並未背離本揭露的發明精神與範圍。在不背離本揭露的發明精神與範圍之前提下,可對本揭露進行各種改變、置換或修改。
8:半導體基板
10:半導體材料層
12:淺溝槽隔離結構
51:界面氧化矽層
52:電荷捕捉帶未對準層
53:鐵電閘極介電層
54:閘極電極
58:閘極蓋介電質
56:閘極間隔物
32E:源極延伸區
38E:汲極延伸區
35:半導體通道
32:源極區
38:汲極區
32D:深源極區
38D:深汲極區
70:接點級介電層
42:源極側金屬-半導體合金區
45:閘極側金屬-半導體合金區
48:汲極側金屬-半導體合金區
82F:源極側金屬填充材料部分
82L:源極側金屬襯墊
82:源極接點通孔結構
85F:閘極側金屬填充材料部分
85L:閘極側金屬襯墊
85:閘極接點通孔結構
88F:汲極側金屬填充材料部分
88L:汲極側金屬襯墊
88:汲極接點通孔結構
100:場效電晶體

Claims (10)

  1. 一種鐵電場效電晶體,包括:一半導體基板,包括在一源極區和一源極區之間延伸並且具有一第一導電類型的摻雜的一半導體通道;一鐵電閘極介電層,在上述半導體通道上方,並且包括具有一電荷捕捉帶的一鐵電材料,上述電荷捕捉帶包括由上述鐵電材料的複數介面陷阱產生的複數電子態;以及一閘極電極,在上述鐵電閘極介電層上,並且被配置以透過從一閘極偏壓電路施加一開啟電壓和一關閉電壓來為上述鐵電場效電晶體個別提供一開啟狀態和一關閉狀態,其中在上述開啟狀態期間的上述電荷捕捉帶的一能階偏離上述半導體通道的複數少數電荷載子的一能帶的一能階。
  2. 如請求項1之鐵電場效電晶體,更包括一電荷捕捉帶未對準層,其設置在上述鐵電閘極介電層和上述半導體通道之間,並且包含引起上述鐵電材料的上述電荷捕捉帶相對於上述半導體通道的一能帶結構的位移的介電材料。
  3. 如請求項2之鐵電場效電晶體,其中:上述半導體通道包括矽;以及上述鐵電場效電晶體包括在上述半導體通道和上述電荷捕捉帶未對準層之間的一界面氧化矽層,上述界面氧化矽層包括氧化矽並且具有在0.1nm至0.8nm範圍內的一有效厚度。
  4. 如請求項1之鐵電場效電晶體,其中上述半導體通道包括鍺,並 且上述鐵電閘極介電層與上述半導體通道的一表面直接接觸,而在其間沒有任何界面材料層。
  5. 一種鐵電記憶體裝置,包括:請求項1之上述鐵電場效電晶體;以及一感測電路,被配置以藉由在將一感測閘極電壓施加到上述閘極電極的同時,測量上述源極區和上述汲極區之間的一電流的大小,以測量上述鐵電閘極介電層中的一電性極化方向。
  6. 一種半導體結構之形成方法,包括:提供一半導體基板,上述半導體基板包括具有一第一導電類型的摻雜的一半導體材料;在上述半導體基板上方形成一層堆疊,上述層堆疊從底部到頂部包括一電荷捕捉帶未對準層、一鐵電閘極介電層、以及一閘極電極,其中上述電荷捕捉帶未對準層包括一介電金屬氧化物材料,並且上述鐵電閘極介電層包括一鐵電材料;以及在上述半導體材料中形成一源極區和一汲極區,其中一半導體通道在上述層堆疊下方且在上述源極區和上述汲極區之間延伸。
  7. 如請求項6半導體結構之形成方法,其中:上述半導體材料包括矽;以及上述半導體結構之形成方法包括直接在上述半導體通道的一頂表面上形成具有在0.1nm至0.8nm範圍內的一有效厚度的一界面氧化矽層,其中上述電荷捕捉帶未對準層直接形成在上述界面氧化矽層上。
  8. 如請求項6半導體結構之形成方法,其中: 上述鐵電材料具有一電荷捕捉帶,上述電荷捕捉帶包括由上述鐵電材料的複數介面陷阱產生的複數電子態;上述電荷捕捉帶未對準層包含一介電材料,上述介電材料引起上述鐵電材料的上述電荷捕捉帶相對於上述半導體通道的一能帶結構的位移;上述半導體結構包括一鐵電場效電晶體,上述鐵電場效電晶體包括作為上述半導體通道的上述半導體材料的一表面部分;上述半導體結構之形成方法更包括提供一閘極偏壓電路,上述閘極偏壓電路被配置以透過施加一開啟電壓和一關閉電壓來為上述鐵電場效電晶體個別提供一開啟狀態和一關閉狀態;在上述開啟狀態期間的上述電荷捕捉帶的一能階偏離上述半導體通道的複數少數電荷載子的一能階。
  9. 一種半導體結構之形成方法,包括:提供一半導體基板,上述半導體基板包括具有一第一導電類型的摻雜的一摻雜鍺材料部分;在上述摻雜鍺材料部分上方形成包括具有一電荷捕捉帶的一鐵電材料的一鐵電閘極介電層;在上述鐵電閘極介電層上方形成一閘極電極;以及在上述半導體基板中形成一源極區和一汲極區,其中包括上述摻雜鍺材料部分的一半導體通道在上述鐵電閘極介電層下方且在上述源極區和上述汲極區之間延伸,其中在上述閘極電極透過從一閘極偏壓電路施加一開啟電壓時,上述電荷捕捉帶的一能階偏離上述半導體通道的複數少數電荷載子的一能帶的一能階。
  10. 如請求項9之半導體結構之形成方法,其中上述鐵電閘極介電層直接形成在上述摻雜鍺材料部分的一頂表面上,而沒有任何界面材料層在上述摻雜鍺材料部分和上述鐵電閘極介電層之間。
TW110109527A 2020-03-31 2021-03-17 鐵電場效電晶體、鐵電記憶體裝置、半導體結構形成方法 TWI798658B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/835,521 US11227933B2 (en) 2020-03-31 2020-03-31 Ferroelectric field effect transistor using charge trapping band misalignment and methods of forming the same
US16/835,521 2020-03-31

Publications (2)

Publication Number Publication Date
TW202205640A TW202205640A (zh) 2022-02-01
TWI798658B true TWI798658B (zh) 2023-04-11

Family

ID=76508480

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110109527A TWI798658B (zh) 2020-03-31 2021-03-17 鐵電場效電晶體、鐵電記憶體裝置、半導體結構形成方法

Country Status (5)

Country Link
US (1) US11227933B2 (zh)
KR (1) KR102397738B1 (zh)
CN (1) CN113054023A (zh)
DE (1) DE102020110238A1 (zh)
TW (1) TWI798658B (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023000200A1 (zh) * 2021-07-21 2023-01-26 华为技术有限公司 一种场效应晶体管、其制作方法及集成电路
KR20230041633A (ko) * 2021-09-17 2023-03-24 삼성전자주식회사 반도체 소자 및 이를 포함하는 전자 장치
KR20230071631A (ko) * 2021-11-16 2023-05-23 삼성전자주식회사 반도체 소자 및 이를 포함하는 반도체 장치

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201419499A (zh) * 2012-11-09 2014-05-16 Nat Applied Res Laboratories 矽基鐵電型記憶體材料及其製造方法
TW201523795A (zh) * 2013-06-27 2015-06-16 Cypress Semiconductor Corp 製造鐵電式隨機存取記憶體的方法
US20160099354A1 (en) * 2014-10-07 2016-04-07 Micron Technology, Inc. Recessed Transistors Containing Ferroelectric Material
US20170309488A1 (en) * 2016-04-22 2017-10-26 National Institute Of Advanced Industrial Science And Technology Method of making semiconductor ferroelectric memory element, and semiconductor ferroelectric memory transistor
TW201818507A (zh) * 2016-11-15 2018-05-16 國立臺灣師範大學 採用應變閘極工程與鐵電負電容介電質之動態隨機記憶體及其製造方法
US20180366476A1 (en) * 2017-06-14 2018-12-20 Nustorage Technology Co., Ltd. Ferroelectric field effect transistor, ferroelectric memory and data reading/writing method and manufacturing method thereof
US20190057860A1 (en) * 2017-08-18 2019-02-21 Lam Research Corporation Methods for improving performance in hafnium oxide-based ferroelectric material using plasma and/or thermal treatment
US20190057971A1 (en) * 2016-03-07 2019-02-21 Sony Corporation Semiconductor storage element, semiconductor device, electronic device, and manufacturing method of semiconductor storage element
TW201929091A (zh) * 2017-12-22 2019-07-16 比利時商愛美科公司 用於形成鐵電場效電晶體之方法

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001344836A (ja) 2000-05-30 2001-12-14 Matsushita Electric Ind Co Ltd 強誘電体メモリおよび光情報処理装置
KR20070016419A (ko) * 2005-08-03 2007-02-08 삼성전자주식회사 반도체 집적 회로 장치와 그 제조 방법
US8030197B2 (en) * 2009-05-04 2011-10-04 Intel Corporation Recessed channel array transistor (RCAT) in replacement metal gate (RMG) logic flow
KR101231456B1 (ko) 2011-07-11 2013-02-07 한양대학교 산학협력단 플래시 메모리 소자
DE102012205977B4 (de) * 2012-04-12 2017-08-17 Globalfoundries Inc. Halbleiterbauelement mit ferroelektrischen Elementen und schnellen Transistoren mit Metallgates mit großem ε sowie Herstellungsverfahren
US9406517B2 (en) 2013-03-12 2016-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. SiGe surface passivation by germanium cap
US9412600B2 (en) * 2014-08-28 2016-08-09 Globalfoundries Inc. Method of forming a semiconductor structure including a ferroelectric material and semiconductor structure including a ferroelectric transistor
KR20190008048A (ko) 2017-07-14 2019-01-23 에스케이하이닉스 주식회사 강유전성 메모리 소자
WO2019066948A1 (en) 2017-09-29 2019-04-04 Intel Corporation DOUBLE GRID FERROELECTRIC FIELD EFFECT TRANSISTOR
US10580781B2 (en) * 2017-10-12 2020-03-03 Globalfoundries Singapore Pte. Ltd. Increased gate coupling effect in multigate transistor
US10276697B1 (en) * 2017-10-27 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Negative capacitance FET with improved reliability performance
US11004867B2 (en) * 2018-06-28 2021-05-11 Taiwan Semiconductor Manufacturing Co., Ltd. Embedded ferroelectric memory in high-k first technology
KR20200071852A (ko) * 2018-12-04 2020-06-22 삼성전자주식회사 강유전층을 포함하는 전자 소자

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201419499A (zh) * 2012-11-09 2014-05-16 Nat Applied Res Laboratories 矽基鐵電型記憶體材料及其製造方法
TW201523795A (zh) * 2013-06-27 2015-06-16 Cypress Semiconductor Corp 製造鐵電式隨機存取記憶體的方法
US20160099354A1 (en) * 2014-10-07 2016-04-07 Micron Technology, Inc. Recessed Transistors Containing Ferroelectric Material
US20190057971A1 (en) * 2016-03-07 2019-02-21 Sony Corporation Semiconductor storage element, semiconductor device, electronic device, and manufacturing method of semiconductor storage element
US20170309488A1 (en) * 2016-04-22 2017-10-26 National Institute Of Advanced Industrial Science And Technology Method of making semiconductor ferroelectric memory element, and semiconductor ferroelectric memory transistor
TW201818507A (zh) * 2016-11-15 2018-05-16 國立臺灣師範大學 採用應變閘極工程與鐵電負電容介電質之動態隨機記憶體及其製造方法
US20180366476A1 (en) * 2017-06-14 2018-12-20 Nustorage Technology Co., Ltd. Ferroelectric field effect transistor, ferroelectric memory and data reading/writing method and manufacturing method thereof
US20190057860A1 (en) * 2017-08-18 2019-02-21 Lam Research Corporation Methods for improving performance in hafnium oxide-based ferroelectric material using plasma and/or thermal treatment
TW201921426A (zh) * 2017-08-18 2019-06-01 美商蘭姆研究公司 用以改善使用電漿及/或熱處理之二氧化鉿基鐵電材料中的效能之方法
TW201929091A (zh) * 2017-12-22 2019-07-16 比利時商愛美科公司 用於形成鐵電場效電晶體之方法

Also Published As

Publication number Publication date
KR102397738B1 (ko) 2022-05-13
TW202205640A (zh) 2022-02-01
US11227933B2 (en) 2022-01-18
DE102020110238A1 (de) 2021-09-30
KR20210122626A (ko) 2021-10-12
CN113054023A (zh) 2021-06-29
US20210305397A1 (en) 2021-09-30

Similar Documents

Publication Publication Date Title
TWI798658B (zh) 鐵電場效電晶體、鐵電記憶體裝置、半導體結構形成方法
US10804278B2 (en) High density programmable e-fuse co-integrated with vertical FETs
US9590100B2 (en) Semiconductor devices containing an epitaxial perovskite/doped strontium titanate structure
CN109727870B (zh) 半导体器件及其制造方法
US11764280B2 (en) Method for fabricating metal gate devices and resulting structures
CN106711224A (zh) 半导体装置
US20140264632A1 (en) Semiconductor structure including a transistor having a layer of a stress-creating material and method for the formation thereof
US10686072B2 (en) Semiconductor device and manufacturing methods thereof
US20220238539A1 (en) Semiconductor switching devices having ferroelectric layers therein and methods of fabricating same
US20210098630A1 (en) Wakeup-free ferroelectric memory device
TW201941437A (zh) 半導體裝置及其製造方法
US10679890B2 (en) Nanosheet structure with isolated gate
US9659939B1 (en) Integrated circuit having MIM capacitor with refractory metal silicided strap and method to fabricate same
CN111430462A (zh) 半导体装置
US20170317302A1 (en) Field effect transistor structure
JP2008524866A (ja) 超常誘電性ゲート絶縁体を有する半導体デバイス
US10460944B2 (en) Fully depleted semiconductor on insulator transistor with enhanced back biasing tunability
KR102581123B1 (ko) 강유전 디바이스를 위한 계면 이중 패시베이션 층 및 그 형성 방법
US11527552B2 (en) Ferroelectric memory device and method of forming the same
US20230106816A1 (en) Ferroelectric memory device and method of forming the same
TW202243262A (zh) 鐵電場效電晶體裝置
CN110010691B (zh) 负电容场效应晶体管及其制备方法
US11670715B2 (en) Semiconductor devices with ferroelectric layer and methods of manufacturing thereof
US20230345733A1 (en) Fin structure for increasing performance of ferroelectric memory device
CN115669262A (zh) 半导体存储设备及制造半导体存储设备的方法