DE102020103736A1 - Nichtplanare transistoren mit kanalgebieten, die variierende breiten aufweisen - Google Patents

Nichtplanare transistoren mit kanalgebieten, die variierende breiten aufweisen Download PDF

Info

Publication number
DE102020103736A1
DE102020103736A1 DE102020103736.8A DE102020103736A DE102020103736A1 DE 102020103736 A1 DE102020103736 A1 DE 102020103736A1 DE 102020103736 A DE102020103736 A DE 102020103736A DE 102020103736 A1 DE102020103736 A1 DE 102020103736A1
Authority
DE
Germany
Prior art keywords
width
fin
side wall
length
gate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102020103736.8A
Other languages
English (en)
Inventor
Stephen D. Snyder
Leonard Guler
Richard Schenker
Michael K. Harper
Sam Sivakumar
Urusa ALAAN
Stephanie A. BOJARSKI
Achala Bhuwalka
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of DE102020103736A1 publication Critical patent/DE102020103736A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02603Nanowires
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • H01L21/30612Etching of AIIIBV compounds
    • H01L21/30621Vapour phase etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3085Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/8252Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using III-V technology
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1037Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure and non-planar channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/20Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0922Combination of complementary transistors having a different structure, e.g. stacked CMOS, high-voltage and low-voltage CMOS

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • General Engineering & Computer Science (AREA)
  • Thin Film Transistor (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

Es sind Techniken für nichtplanare Transistoren mit variierenden Kanalbreiten (Wsi) offenbart. In manchen Fällen weist die resultierende Struktur eine Finne (oder Nanodrähte, Nanobänder oder Nanoplatten) auf, die ein erstes Kanalgebiet und ein zweites Kanalgebiet umfassen, wobei sich ein Source- oder Drain-Gebiet zwischen dem ersten Kanalgebiet und dem zweiten Kanalgebiet befindet. Die Breiten der jeweiligen Kanalgebiete sind unabhängig voneinander, z. B. ist eine erste Breite des ersten Kanalgebiets verschieden von einer zweiten Breite des zweiten Kanalgebiets. Die Variation der Breite einer gegebenen Finnenstruktur kann auf eine symmetrische Weise oder eine asymmetrische Weise variieren. Bei einer Ausführungsform wird ein Bildungsansatz auf Abstandshalterbasis genutzt, der abrupte Änderungen der Breite entlang einer gegebenen Finne erlaubt. Finnenabmessungen unterhalb der Auflösungsgrenze sind ebenfalls erreichbar.

Description

  • HINTERGRUND
  • Ein FinFET ist ein Transistor, der um einen dünnen Streifen aus Halbleitermaterial (der allgemein als die Finne bezeichnet wird) herum aufgebaut ist. Der Transistor beinhaltet die Standard-Feldeffekttransistor(FET)-Knoten, einschließlich eines Gates, eines Gate-Dielektrikums, eines Source-Gebiets und eines Drain-Gebiets. Der leitfähige Kanal der Vorrichtung befindet sich auf den Außenseiten der Finne unterhalb des Gate-Dielektrikums. Insbesondere verläuft ein Strom entlang/innerhalb beider Seitenwände der Finne (Seiten senkrecht zu der Substratoberfläche) sowie entlang der Oberseite der Finne (Seite parallel zu der Substratoberfläche). Weil sich der leitfähige Kanal solcher Konfigurationen im Wesentlichen entlang der drei verschiedenen Außengebiete der Finne befindet, werden solche FinFET-Gestaltungen manchmal als ein Tri-Gate-Transistor bezeichnet. FinFETs beinhalten auch Seitenwandabstandshalter, die allgemein als Abstandshalter (Spacer) bezeichnet werden, auf beiden Seiten des Gates, die dabei helfen, die Kanallänge zu bestimmen, und bei Ersetzungs-Gate-Prozessen helfen. Ein Nanodrahttransistor (oder Nanobandtransistor oder Nanoplattentransistor) ist einem finnenbasierten Transistor ähnlich, aber das Kanalgebiet umfasst zum Beispiel Nanodrähte oder Nanobänder oder Nanoplatten anstelle eines Kanalgebiets mit Finne. Diese Konfigurationen können allgemein als Gate-All-Around(GAA)-Konfigurationen bezeichnet werden, da sich das Gate um die Nanodrähte oder Nanobänder oder Nanoplatten herumlegt. GAA-Transistoren, ein FinFET usw. sind Beispiele für eine nichtplanare Transistorkonfiguration. Es existiert eine Reihe von nichttrivialen Problemen, die mit nichtplanaren Transistoren assoziiert sind.
  • Figurenliste
    • 1A-1B veranschaulichen eine Perspektiv- bzw. Draufsicht einer beispielhaften Integrierter-Schaltkreis-Struktur, die FinFET-Transistoren mit mehreren Finnenbreiten aufweist, gemäß einer Ausführungsform der vorliegenden Offenbarung.
    • 2 veranschaulicht eine beispielhafte Variation der Breiten von Finnen auf einem gemeinsamen Substrat gemäß einer Ausführungsform der vorliegenden Offenbarung.
    • 3 veranschaulicht eine andere beispielhafte Variation der Breiten von Finnen auf einem gemeinsamen Substrat gemäß einer Ausführungsform der vorliegenden Offenbarung.
    • 4A, 4B, 4C, 4D, 5A, 5B, 5C, 6A, 6B, 6C, 7, 8, 9A, 9B, 9C, 10A, 10B, 10C, 11A, 11B, 11C, 11D, 11E und 11F veranschaulichen gemeinsam beispielhafte Verfahren zum Fertigen von Finnen für nichtplanare Transistoren, bei denen einzelne der Finnen eine variierende Breite aufweisen und asymmetrisch sind, gemäß manchen Ausführungsformen der vorliegenden Offenbarung.
    • 12A, 12B, 12C, 13, 14, 15, 16, 17A, 17B und 17C veranschaulichen gemeinsam beispielhafte Verfahren zum Fertigen von Finnen für nichtplanare Transistoren, bei denen einzelne der Finnen eine variierende Breite aufweisen und symmetrisch sind, gemäß anderen Ausführungsformen der vorliegenden Offenbarung.
    • 18A-18B veranschaulichen gemeinsam eine beispielhafte Integrierter-Schaltkreis-Struktur, die Nanodrahttransistoren mit mehreren Breiten von Nanodrähten beinhaltet, gemäß einer Ausführungsform der vorliegenden Offenbarung.
    • 19 veranschaulicht ein beispielhaftes Rechensystem, das mit Integrierter-Schaltkreis-Strukturen oder -Vorrichtungen implementiert ist, die unter Verwendung der hier offenbarten Techniken gebildet sind, gemäß einer oder mehreren Ausführungsformen der vorliegenden Offenbarung.
  • Diese und andere Merkmale der vorliegenden Ausführungsformen werden besser verstanden, indem die folgende ausführliche Beschreibung gelesen wird, die zusammen mit den hier beschriebenen Figuren zu sehen ist. Der Klarheit halber ist möglicherweise nicht jede Komponente in jeder Zeichnung beschriftet. Des Weiteren sind die Figuren, wie es sich versteht, nicht maßstabsgetreu gezeichnet und sollen die beschriebenen Ausführungsformen nicht auf die gezeigten speziellen Konfigurationen beschränken. Obwohl manche Figuren allgemein gerade Linien, rechte Winkel und glatte Oberflächen andeuten, kann beispielsweise eine tatsächliche Implementierung der offenbarten Techniken nicht perfekte gerade Linien und rechte Winkel aufweisen und manche Merkmale können angesichts von Einschränkungen der realen Welt von Fertigungsprozessen eine Oberflächentopographie haben oder anderweitig nicht glatt sein. Noch weiter können manche der Merkmale in den Zeichnungen eine gemusterte und/oder schraffierte Füllung beinhalten, die lediglich bereitgestellt ist, um eine visuelle Identifizierung der unterschiedlichen Merkmale zu unterstützen. Kurz gesagt sind die Figuren lediglich bereitgestellt, um Beispielstrukturen zu zeigen.
  • AUSFÜHRLICHE BESCHREIBUNG
  • Techniken werden zum Bilden von Kanalgebieten in einem integrierten Schaltkreis mit Finnen-, Nanoband- und/oder Nanodrahttransistorstrukturen offenbart. Solche Techniken sind besonders nützlich, wenn verschiedene Transistorstrukturen Finnen, Nanodrähte oder Nanobänder unterschiedlicher Breiten aufweisen. Das heißt, die Kanalbreiten der verschiedenen Transistorstrukturen sind unterschiedlich (d. h. eine Kanalbreite oder Finnenbreite Wsi verschiedener Transistorstrukturen sind unabhängig voneinander, egal ob diese Transistorstrukturen sich auf derselben Finne und/oder auf benachbarten Finnen befinden). Dementsprechend kann zum Beispiel ein erstes Kanalgebiet einer ersten Transistorstruktur einer gegebenen Finne eine andere Breite als das einer zweiten Kanalregion einer zweiten Transistorstruktur derselben Finne haben, wobei das erste und zweite Kanalgebiet Finnen, Nanodrähte oder Nanobänder umfassen. Die Finnen, Nanodrähte und Nanobänder für das erste und zweite Kanalgebiet können während eines gleichen Prozessflusses gebildet werden. Solche Merkmale erlauben die Bildung nichtplanarer Transistoren mit unterschiedlichen Kanalbreiten (Wsi) auf demselben Chip (Substrat oder Die) oder derselben Vorrichtung. Zum Beispiel können Techniken der vorliegenden Offenbarung einen Vorteil für einen integrierten Schaltkreis (IC) mit verschiedenen nichtplanaren Transistorstrukturen bieten, von denen sich manche in einem ersten Gebiet des IC befinden und eine erste Kanalbreite aufweisen und andere sich in einem zweiten Gebiet des IC befinden, der eine zweite Kanalbreite aufweist, die verschieden von der ersten Kanalbreite ist. Dementsprechend kann die Kanalbreite eines einzelnen nichtplanaren Transistors gemäß der Stromkapazität, dem Nennstrom oder anderen angemessenen Charakteristiken und/oder Gestaltungsfaktoren, die mit den Transistoren assoziiert sind, maßgeschneidert werden, anstatt durch eine globale Kanalbreite für alle der nichtplanaren Transistoren in dem IC oder der Vorrichtung vorgegeben zu werden.
  • Die Techniken zum Variieren der Kanalbreite können auf einen weiten Bereich von Transistorgeometrien und -konfigurationen angewandt werden, einschließlich unter anderem auf verschiedene FinFET-Vorrichtungen (z. B. Tri-Gate-Transistoren) sowie Gate-All-Around-Vorrichtungen, wie etwa Nanodraht-, Nanoband- oder Nanoplattentransistoren (in Abhängigkeit von dem Aspektverhältnis des Drahtes, so dass Bänder und Platten dazu neigen, breiter als hoch zu sein) und/oder einen beliebigen anderen Typ von nichtplanarem Transistor. Wie hier weiter erklärt wird, können die variierenden Breiten auf eine symmetrische Weise (wobei die Variation der Finnenbreite durch Ändern beider Seiten einer gegebenen Finne erreicht wird) oder auf eine asymmetrische Weise (wobei die Variation der Finnenbreite durch Ändern einer Seite einer gegebenen Finne und Ermöglichen, dass die gegenüberliegende Seite dieser Finne konstant verbleibt, erreicht wird) implementiert werden. Zahlreiche Variationen und Konfigurationen werden hinsichtlich dieser Offenbarung ersichtlich.
  • Allgemeiner Überblick
  • Mit Skalierung der Finnen(oder Nanodraht)-Breite, die für FinFET- oder andere nichtplanare Transistoren verwendet wird, werden Transistoren empfindlicher gegenüber der Kanalbreite. Eine Schaltkreisgestaltung und andere Aspekte können fordern, dass, lediglich als ein Beispiel, ein erster Transistor mit einem breiteren Kanal an einen zweiten Transistor mit einem schmaleren Kanal angrenzt, um zum Beispiel zu ermöglichen, dass der erste Transistor einen höheren Nennstrom als der zweite Transistor aufweist. Jedoch weisen typischerweise mehrere nichtplanare Transistoren auf einem speziellen Gebiet eines IC z. B. aufgrund von Faktoren, wie etwa lange vertretenen Verarbeitungsstandards und Paradigmen sowie Beschränkungen der Techniken zum Bilden der Finnen oder Nanodrähte, ähnliche Kanalbreiten auf.
  • Verschiedene Ausführungsformen dieser Offenbarung offenbaren angrenzende nichtplanare Transistoren mit unterschiedlichen Kanalbreiten. Verschiedene Ausführungsformen dieser Offenbarung offenbaren Techniken zum Bilden solcher Transistoren mit variierender Kanalbreite.
  • Zum Beispiel befindet sich bei manchen Ausführungsformen ein erstes Kanalgebiet auf einem ersten Abschnitt einer Finne und befindet sich ein zweites Kanalgebiet auf einem zweiten Abschnitt der Finne, wobei sich eine erste Breite des ersten Kanalgebiets wesentlich von einer zweiten Breite des zweiten Kanalgebiets unterscheidet. Dementsprechend kann die Kanalbreite eines einzelnen nichtplanaren Transistors gemäß der Stromkapazität, dem Nennstrom und/oder anderen angemessenen Gestaltungsfaktoren der Transistoren maßgeschneidert werden, anstatt durch eine globale Kanalbreite für alle der nichtplanaren Transistoren in dem IC oder der Vorrichtung (die sich z. B. auf einer gleichen Finne befinden) vorgegeben zu werden. Es wird angemerkt, dass sich wesentlich unterscheidende Finnenbreiten allgemein auf einen absichtlich bereitgestellten Unterschied der Finnenbreite verweisen, wie etwa den Beispielfall, in dem sich die jeweiligen Finnenbreiten um mehr als 0,5 nm, um mehr als 1 nm oder um mehr als 2 nm, wie bei der gleichen Höhe jeder Finne gemessen, unterscheiden. Dies steht im Gegensatz zu Varianzen der Finnenbreite, die einfach aufgrund nichtabsichtlicher Prozessvariationen auftreten, die durch reale Beschränkungen relevanter Prozesse verursacht werden.
  • Verschiedene Techniken sind besprochen, um Finnen oder Nanodrähte mit variierenden Breiten zu bilden. Bei manchen Ausführungsformen werden zuerst eine oder mehrere Hartmaskenstrukturen gebildet, die die abschließende Struktur einer oder mehrerer Finnen wiedergeben, und werden dann die Hartmaskenstrukturen auf eine Schicht transferiert, die das Material der Finne umfasst, um die Finnen mit variierenden Breiten zu bilden. Dementsprechend weisen einzelne der einen oder mehreren Hartmaskenstrukturen variierende Breiten auf.
  • Die eine oder die mehreren Hartmaskenstrukturen mit variierenden Breiten können unter Verwendung einer von einigen hier besprochenen Techniken gebildet werden. Bei einem Ausführungsbeispiel wird die Hartmaskenstruktur iterativ gebildet, wobei Abstandshalter auf einer oder beiden Seiten der Hartmaskenstruktur bereitgestellt und selektiv geätzt werden und dann zusätzliches Abstandshaltermaterial konform abgeschieden wird. Das Ätzen und konforme Abscheiden können einige Male wiederholt werden, um eine entsprechende Anzahl an Breiten in mehreren Abschnitten der Hartmaskenstruktur zu haben. Die resultierende Hartmaskenstruktur weist eine variierende Breite auf, wie es sich versteht. Die Hartmaskenstruktur wird dann auf eine Schicht transferiert, die das Material der Finne umfasst, z. B. um die Finne mit variierenden Breiten zu bilden.
  • Es wird angemerkt, dass die Verwendung von „Source/Drain“ hier einfach auf ein Source-Gebiet oder ein Drain-Gebiet oder sowohl ein Source-Gebiet als auch ein Drain-Gebiet verweisen soll. Zu diesem Zweck bedeutet der Schrägstrich („/“), wie hier verwendet, „und/oder“, sofern nichts anderes angegeben ist, und soll keinerlei spezielle strukturelle Beschränkung oder Anordnung mit Bezug auf Source- und Drain-Gebiete oder beliebige andere Materialien oder Merkmale, die hier in Verbindung mit einem Schrägstrich aufgelistet sind, implizieren.
  • Die Verwendung von hier bereitgestellten Techniken und Strukturen kann unter Verwendung von Werkzeugen detektierbar sein, wie etwa Elektronenmikroskopie, einschließlich Raster-/Transmissionselektronenmikroskopie (SEM/TEM), Rastertransmissionselektronenmikroskopie (STEM), Nanostrahlelektronenbeugung (NBD oder NBED) und Reflexionselektronenmikroskopie (REM); Zusammensetzungs-Mapping, Röntgenkristallografie oder -beugung (XRD); energiedispersive Röntgenbeugung (EDX); Sekundärionenmassenspektrometrie (SIMS); Laufzeit-SIMS (ToF-SIMS); Atomsondenbildgebung oder -tomographie; Lokalelektrodenatomsonden(LEAP)-Techniken; 3D-Tomographie; oder hochauflösende physikalische oder chemische Analyse, um nur einige wenige geeignete beispielhafte Analysewerkzeuge zu nennen. Zum Beispiel kann TEM nützlich sein, um einen Querschnitt der Vorrichtungsstruktur zu zeigen. Bei einem Beispiel können solche Werkzeuge mehrere FinFET-Transistorstrukturen (und/oder Nanodraht- und/oder Nanobandtransistorstrukturen) angeben, die jeweils entsprechende Kanalbreiten aufweisen, wobei sich die Kanalbreiten der Kanalgebiete wesentlich unterscheiden. Zum Beispiel kann die Änderung der Breite entlang einer relativ kleinen Länge einer gegebenen Finne auftreten, wie etwa eine Variation der Breite von wenigstens 0,5 nm, 1 nm, 2 nm, 5 nm, 10 nm, 12 nm, 15 nm, 20 nm oder dergleichen innerhalb einer gegebenen Länge der Finne. Die resultierende Finne kann in einem Beispielfall asymmetrisch sein, in dem eine Seite der Finne planar ist oder anderweitig konstant verbleibt und die gegenüberliegende Seite der Finne beabsichtigte Vertiefungen und/oder Ausbuchtungen aufweist, was zu den gewünschten variierenden Breiten der Finne führt. In einem anderen Beispielfall kann die resultierende Finne symmetrisch sein, wobei eine Seite der Finne ein Spiegelbild der gegenüberliegenden Seite ist. Es wird angemerkt, dass keine perfekte Symmetrie notwendig ist, wie es sich versteht. Solche Charakteristiken der Finne können unter Verwendung beliebiger der oben besprochenen Techniken (z. B. unter Verwendung von TEM) detektiert werden. Bei manchen Ausführungsformen können die hier beschriebenen Techniken auch basierend auf den aus ihrer Verwendung abgeleiteten Vorteilen detektiert werden, die eine unterschiedliche Stromführungsfähigkeit angrenzender Transistoren beinhalten, die aus derselben Finne oder angrenzenden Finnen gebildet sind. Zahlreiche Konfigurationen und Variationen werden in Anbetracht dieser Offenbarung ersichtlich.
  • Wie hier verwendet, ist der Ausdruck „Nanodraht“ nicht auf Strukturen einer speziellen Querschnittsform beschränkt, sondern beinhaltet Strukturen mit einer rechteckigen, quadratischen, trapezförmigen, „Rennbahn“-förmigen (z. B. parallele Seiten, die durch runde Enden verbunden sind), kreisförmigen, ovalen, länglichen und anderen Querschnittsform, die sich für einen gegebenen Fertigungsprozess ergeben. Insbesondere ist gemäß manchen Ausführungsformen ein Nanodraht eine Struktur, die eine Dicke oder einen Durchmesser in der Größenordnung von einigen zehn Nanometer oder weniger und eine nicht begrenzte Länge aufweist. Ein Nanodraht kann aus einem halbleitenden Material, einem halbleitenden Metalloxid, einem Metall oder einer Kohlenstoffnanoröhre gefertigt sein. Obwohl manche Ausführungsformen unter Bezugnahme auf Strukturen mit Nanodrähten besprochen werden, gilt die vorliegende Offenbarung außerdem auch für Strukturen mit Nanobändern und Nanoplatten und für integrierte Schaltkreise, die Strukturen mit sowohl Nanodrähten als auch Nanobändern/Nanoplatten enthalten.
  • Ferner wird angemerkt, dass der Ausdruck „Ende“, wie hier mit Bezug auf Nanodrähte oder Nanobänder verwendet wird, nicht das absolute oder abschließende Ende einer gegebenen Länge sein soll. Stattdessen verweist Ende einfach auf einen Teil dieses Nanodrahtes/Nanobandes, der ein Source- oder Drain-Gebiet enthält. Falls beispielsweise das Source/Drain-Gebiet kontinuierlich mit dem Kanalgebiet ist, wie etwa wenn die Source/Drain-Gebiete dotierte Teile der Mehrschichtstruktur sind, aus der die Nanodrähte gebildet werden, kann das „Ende“ auf den Teil des Nanodrahtes/Nanobandes, der das Source/Drain-Gebiet enthält, sowie auf das Gebiet unter dem Gate-Abstandshalter (falls ein Gate-Abstandshalter vorhanden ist) verweisen. In anderen Fällen, wie etwa falls die Source/Drain-Gebiete Ersetzungs- oder epitaktische Source/Drain-Gebiete sind, kann das Ende der Teil des Nanodrahtes/Nanobandes innerhalb des Gate-Abstandshalters und/oder zwischen dem Source/Drain-Gebiet und dem Kanalgebiet sein.
  • Wie hier besprochen, werden Ausdrücke, die sich auf eine Richtung beziehen, wie etwa, aufwärts, abwärts, vertikal, horizontal, links, rechts, vorne, hinten usw. der Einfachheit halber zum Beschreiben von Ausführungsformen integrierter Schaltkreise mit einer Basis oder einem Substrat verwendet, die/das sich in einer horizontalen Ebene erstreckt. Ausführungsformen der vorliegenden Offenbarung werden durch diese Richtungsreferenzen nicht beschränkt und es ist vorgesehen, dass integrierte Schaltkreise und Vorrichtungsstrukturen gemäß der vorliegenden Offenbarung in einer beliebigen Orientierung verwendet werden können.
  • Die Verwendung von „Gruppe-IV-Halbleitermaterial“ (oder „Gruppe-IV-Material“ oder allgemein „Gruppe-IV“) beinhaltet hier wenigstens ein Gruppe-IV-Element (z. B. Silicium, Germanium, Kohlenstoff, Zinn), wie etwa Silicium (Si), Germanium (Ge), Siliciumgermanium (SiGE) und so weiter. Die Verwendung von „Gruppe-III-V-Halbleitermaterial“ (oder „Gruppe-III-V-Material“ oder allgemein „III-V“) beinhaltet hier wenigstens ein Gruppe-III-Element (z. B. Aluminium, Gallium, Indium) und wenigstens ein Gruppe-V-Element (z. B. Stickstoff, Phosphor, Arsen, Antimon, Bismut), wie etwa Galliumarsenid (GaAs), Indiumgalliumarsenid (InGaAs), Indiumaluminiumarsenid (InAlAs), Galliumphosphid (GaP), Galliumantimonid (GaSb), Indiumphosphid (InP), Galliumnitrid (GaN) und so weiter. Es wird angemerkt, dass zum Beispiel Gruppe III auch als die Borgruppe oder IUPAC-Gruppe 13 bekannt sein kann, Gruppe IV auch als die Kohlenstoffgruppe oder IUPAC-Gruppe 14 bekannt sein kann und Gruppe V auch als die Stickstofffamilie oder IUPAC-Gruppe 15 bekannt sein kann.
  • Materialien, die „zusammensetzungsbezogen unterschiedlich“ oder „zusammensetzungsbezogen verschieden“ sind, wie hier verwendet, verweisen auf zwei Materialien, die unterschiedliche chemische Zusammensetzungen aufweisen. Dieser zusammensetzungsbezogene Unterschied kann beispielweise aufgrund eines Elements, das in einem Material vorhanden ist, aber nicht in dem anderen (z. B. ist SiGe zusammensetzungsbezogen von Silicium verschieden) oder mittels eines Materials, das all die gleichen Elemente wie ein zweites Material aufweist, wobei aber wenigstens eines jener Elemente absichtlich mit einer anderen Konzentration in einem Material relativ zu dem anderen Material bereitgestellt ist (z. B. ist SiGe mit 70 Atomprozent Germanium zusammensetzungsbezogen von SiGe mit 25 Atomprozent Germanium verschieden), entstehen. Zusätzlich zu einer solchen chemischen Zusammensetzungsdiversität können die Materialien auch verschiedene Dotierungsstoffe (z. B. Gallium und Magnesium) oder die gleichen Dotierungsstoffe, aber mit unterschiedlichen Konzentrationen aufweisen. Bei noch anderen Ausführungsformen können zusammensetzungsbezogen distinkte Materialien ferner auf zwei Materialien verweisen, die unterschiedliche kristallografische Orientierungen aufweisen. Beispielsweise ist (110)-Silicium zusammensetzungsbezogen distinkt von (100)-Silicium. Das Erzeugen eines Stapels unterschiedlicher Orientierungen könnte beispielweise mit einem Deckenwaferschichttransfer erzielt werden.
  • Es wird angemerkt, dass der Ausdruck „X beinhaltet wenigstens eines von A und B“, wie er hier verwendet wird, auf ein X verweist, das zum Beispiel nur A allein, nur B allein oder sowohl A als auch B beinhalten kann. Zu diesem Zweck ist ein X, das wenigstens eines von A und B beinhaltet, nicht als ein X zu verstehen, das beides von A und B erfordert, sofern dies nicht explizit angegeben ist. Beispielsweise verweist der Ausdruck „X beinhaltet A und B“ auf ein X, das ausdrücklich sowohl A als auch B beinhaltet. Zudem gilt dies für eine beliebige Anzahl an Gegenständen größer als zwei, wobei „wenigstens einer von“ diesen Gegenständen in X enthalten ist. Zum Beispiel verweist der Ausdruck „X beinhaltet wenigstens eines von A, B und C“, wie hier verwendet, auf ein X, das möglicherweise nur A allein, nur B allein, nur C allein, nur A und B (und nicht C), nur A und C (und nicht B) nur B und C (und nicht A) oder jedes von A, B und C beinhaltet. Dies gilt, selbst wenn irgendeines von A, B oder C mehrere Typen oder Variationen beinhalten sollte. Zu diesem Zweck ist ein X, das wenigstens eines von A, B und C beinhaltet, nicht als ein X zu verstehen, dass jedes von A, B und C erfordert, sofern dies nicht explizit angegeben ist. Beispielsweise verweist der Ausdruck „X beinhaltet A, B und C“ auf ein X, das ausdrücklich jedes von A, B und C beinhaltet. Gleichermaßen verweist der Ausdruck „X, das in wenigstens einem von A und B enthalten ist“ auf ein X, das zum Beispiel in nur A allein, in nur B allein oder in sowohl A als auch B enthalten sein kann. Die obige Erörterung mit Bezug auf „X beinhaltet wenigstens eines von A und B“ gilt hier gleichermaßen, wie es sich versteht.
  • Architektur und Methodologie
  • 1A-B veranschaulichen gemeinsam eine beispielhafte Integrierter-Schaltkreis-Struktur 101, die FinFET-Transistoren mit mehreren Finnenbreiten aufweist, gemäß einer Ausführungsform der vorliegenden Offenbarung. In 1A ist eine perspektivische Ansicht der Integrierter-Schaltkreis-Struktur 101 in der X-, Y- und Z-Ebene veranschaulicht. 1B veranschaulicht eine Draufsicht der Integrierter-Schaltkreis-Struktur 101 z. B. in der X-Y-Ebene. Zum Zweck einer klaren Veranschaulichung sind einige Komponenten in 1B nicht veranschaulicht, wie hier ausführlicher besprochen wird.
  • Wie zu sehen ist, beinhaltet die Integrierter-Schaltkreis-Struktur 101 (auch als „Struktur 101“ bezeichnet) aus 1A bei diesem Ausführungsbeispiel ein Substrat 100 einschließlich mehrerer daraus (oder ggf. darauf) gebildeter Finnenstrukturen, ein Flachgrabenisolation(STI: Shallow Trench Isolation)-Material 110 zwischen den Finnenstrukturen und zwei Gate-Stapel 119a und 119b. Zum Beispiel umfasst ein erster Gate-Stapel 119a ein Gate-Dielektrikum 132a und eine Gate-Elektrode 134a. Eine Hartmaskenschicht 140a ist über dem Gate-Stapel 119a gebildet und Seitenwandabstandshalter 150a sind auf beiden Seiten des Gate-Stapels 119a gebildet. Ein zweiter Gate-Stapel 119b umfasst ein Gate-Dielektrikum 132b und eine Gate-Elektrode 134b. Eine Hartmaskenschicht 140b ist über dem Gate-Stapel 119b gebildet und Seitenwandabstandshalter 150b sind auf beiden Seiten des Gate-Stapels 119b gebildet. Obwohl 1A lediglich zwei Gate-Stapel veranschaulicht, kann die Struktur 101 eine beliebige angemessene Anzahl an Gate-Stapeln beinhalten (z. B. in Abhängigkeit von der Endverwendung oder der Zielanwendung einen, drei, vier oder mehr). Die Gate-Stapel können hier auch als Gate-Strukturen bezeichnet werden.
  • Ein Isolationsmaterial 160 ist über der Struktur 101 gebildet. Es wird angemerkt, dass die Isolatorschicht 160 durch viele Figuren, einschließlich 1A, hinweg als transparent gezeigt ist, um zu ermöglichen, dass die darunterliegende Struktur zu sehen ist. Des Weiteren ist in 1B die Isolatorschicht 160 zur klaren Veranschaulichung nicht veranschaulicht.
  • Die beispielhafte Integrierter-Schaltkreis-Struktur 101 beinhaltet zwei Mehrfachbreitenfinnen 120 und 121. Wie in sowohl der perspektivischen Ansicht aus 1A als auch der Draufsicht aus 1B veranschaulicht, weist jede der Finnen 120, 121 variierende Breiten entlang ihrer Länge auf. Es wird angemerkt, dass die Form und Breite der Finnen bei der Ausführungsform aus 1A und 1B lediglich Beispiele sind und die vorliegende Offenbarung nicht auf nur die gezeigten Formen/Breiten beschränkt werden soll, da eine beliebige Anzahl an anderen Mehrfachbreitenfinnenprofilen unter Verwendung der hier bereitgestellten Techniken gefertigt werden kann. Ferner wird angemerkt, dass, obwohl auf dem beispielhaften integrierten Schaltkreis 101 aus 1A-B lediglich zwei Finnen gezeigt sind, eine beliebige Anzahl an Finnen in Abhängigkeit von der Endverwendung oder Zielanwendung gebildet werden kann, wie etwa eine, drei, fünf, zehn, hunderte, tausende, Millionen usw.
  • Bei manchen Ausführungsformen sind die Mehrfachbreitenfinnen 120 und 121 nativ zu dem Substrat 100 (d. h., die Mehrfachbreitenfinnen 120 und 121 sind aus dem Substrat 100 gebildet und bilden einen Teil von diesem), aber bei anderen Ausführungsformen können sie sich in ihrer Zusammensetzung von dem darunterliegenden Substrat unterscheiden (z. B. Germanium- oder SiGe-Mehrfachbreitenfinnen 120 und 121 auf einem Silicium- oder GaAs-Substrat 100). Bei manchen solchen Ausführungsformen werden die sich in ihrer Zusammensetzung unterscheidenden Mehrfachbreitenfinnen 120 und 121 schlussendlich Kanalgebiete 104a-b und 105a-b beinhalten, die in einem sogenannten ART-basierten (ART: Aspect Ratio Trapping - Aspektverhältniseinfang) Finnenbildungsverfahren epitaktisch von Mehrfachbreitengräben aufgewachsen werden, die aus dem Entfernen von Opfermehrfachbreitenfinnen resultieren. Beispielhafte ART-basierte Halbleiterfinnenbildungstechniken sind beispielweise in der Veröffentlichung der US-Patentanmeldung 2014/0027860 offenbart. Bei manchen solchen ART-basierten Fällen wird das Ersetzungsfinnenmaterial als alternierende Schichten aus einem gewünschten Kanalmaterial und einem Opfermaterial/inaktiven Material bereitgestellt, wie etwa in der Veröffentlichung der US-Patentanmeldung 2016/0260802 beschrieben ist. Solche Mehrschichtfinnen sind besonders zum Bilden von Nanodrahttransistoren (z. B. während einer abschließenden Gate-Verarbeitung, vor einer Abscheidung der abschließenden Gate-Materialien) nützlich. In manchen ART-basierten Fällen wird ein erster Satz von Mehrfachbreitenfinnen oder -drähten mit einem ersten Halbleitermaterialsystem (z. B. für p-Typ-Vorrichtungen) gebildet und wird ein zweiter Satz von Mehrfachbreitenfinnen oder -drähten mit einem zweiten Halbleitermaterialsystem (z. B. für n-Typ-Vorrichtungen) gebildet. Ferner wird angemerkt, dass es bei manchen Ausführungsformen eine Mischung aus nativen Finnen (z. B. die Mehrfachbreitenfinne 120) und Ersetzungsfinnen (z. B. die Mehrfachbreitenfinne 121) geben kann. Zusätzlich oder alternativ dazu können manche der Finnen Volumenfinnen (z. B. die Mehrfachbreitenfinne 120) sein, während andere Finnen Mehrschichtfinnen (z. B. die Mehrfachbreitenfinne 121) sein können, die zum Bilden von Nanodrähten (oder Nanobändern oder Nanoplatten) geeignet sind. Eine beliebige Anzahl an Finnentypen und Finnenbildungsprozessen kann in dem Zusammenhang der vorliegenden Offenbarung verwendet werden, wobei die Finnenbreite entlang der Länge der Finne variiert.
  • Die Finne 120 weist ein erstes Kanalgebiet 104a, das durch den Gate-Stapel 119a definiert ist, sowie Source/Drain(S/D)-Gebiete 123a, 123b, die an das Kanalgebiet 104a angrenzen, auf. Die Finne 120 weist ein zweites Kanalgebiet 104b, das durch den Gate-Stapel 119b definiert ist, sowie S/D-Gebiete 123b, 123c, die an das Kanalgebiet 104b angrenzen, auf.
  • Die Finne 121 weist ein erstes Kanalgebiet 105a, das durch den Gate-Stapel 119a definiert ist, sowie S/D-Gebiete 125a, 125b, die an das Kanalgebiet 105a angrenzen, auf. Die Finne 121 weist ein zweites Kanalgebiet 105b, das durch den Gate-Stapel 119b definiert ist, sowie S/D-Gebiete 125b, 125c, die an das Kanalgebiet 105b angrenzen, auf.
  • Die Kanalgebiete 104a, 104b, 105a, 105b können in 1A nicht gesehen werden, da sie durch die jeweiligen Gate-Stapel verdeckt sind - daher sind die Kanalgebiete 104a, 104b, 105a, 105b in 1A symbolisch einfach mit Bezugsbeschriftungen dargestellt. In 1B sind die Gate-Stapel 119a, 119b zu Veranschaulichungszwecken jedoch als transparente Kästen mit gepunkteten Linien gezeigt - daher sind die Kanalgebiete 104a, 104b, 105a, 105b in 1B unterhalb der jeweiligen Gate-Stapel sichtbar. Dementsprechend umfasst die Struktur 101 vier FinFET-Transistoren. Ein erster Transistor (z. B. ein FinFET-Transistor) umfasst den Gate-Stapel 119a, das Kanalgebiet 104a der Finne 120 und die S/D-Gebiete 123a, 123b. Ein zweiter Transistor umfasst den Gate-Stapel 119b, das Kanalgebiet 104b der Finne 120 und die S/D-Gebiete 123b, 123c. Ein dritter Transistor umfasst den Gate-Stapel 119a, das Kanalgebiet 105a der Finne 121 und die S/D-Gebiete 125a, 125b. Ein vierter Transistor umfasst den Gate-Stapel 119b, das Kanalgebiet 105b der Finne 121 und die S/D-Gebiete 125b, 125c. Bei einem Beispiel kann eines der S/D-Gebiete in einem Paar die Source sein, während das andere der Drain ist, was basierend auf den zu den Gebieten hergestellten elektrischen Verbindungen bestimmt werden kann. Zum Beispiel kann in Abhängigkeit von der gewünschten Konfiguration das Gebiet 123a in manchen Fällen als die Source verwendet werden und kann das Gebiet 123b als der Drain für den ersten Transistor verwendet werden oder umgekehrt. Bei anderen Ausführungsformen, und wie im Gegenzug unter Bezugnahme auf 18A-B beschrieben wird, können ein oder mehrere Kanalgebiete mit Nanodrähten, Nanobändern oder Nanoplatten konfiguriert sein, wobei sich die entsprechende Gate-Struktur um die Nanodrähte, Nanobänder oder Nanoplatten herumlegt, um einen Gate-All-Around-Transistor bereitzustellen.
  • Es wird angemerkt, dass das Halbleitermaterial, das eine Finne, einen Nanodraht, ein Nanoband, eine Nanoplatte, ein Kanalgebiet, ein Source-Gebiet oder ein Drain-Gebiet darstellt, hier als ein Körper, der ein Halbleitermaterial umfasst, bezeichnet werden kann. Ferner wird angemerkt, dass die Verwendung von Ausdrücken, wie etwa „Kanalgebiet“ oder „Kanalstruktur“ oder „Source-Gebiet“ oder „Source-Struktur“ oder „Drain-Gebiet“ oder „Drain-Struktur“, hierin einfach auf spezielle Stellen einer Gesamttransistorstruktur verweist und nicht implizieren soll, dass der Transistor derzeitig elektrisch vorgespannt ist oder sich anderweitig in einem leitfähigen Zustand befindet, in dem Träger innerhalb des Kanalgebiets mobil sind, wie es klar ersichtlich wird und es sich versteht. Beispielsweise muss ein gegebener Transistor nicht mit irgendeiner Leistungsquelle verbunden sein (direkt oder indirekt), um ein Kanalgebiet oder eine Kanalstruktur, oder Source- und Drain-Gebiete oder -Strukturen aufzuweisen.
  • Bei manchen Ausführungsformen kann das Substrat 100 Folgendes sein: ein Volumensubstrat einschließlich z. B. Si, SiGe, Ge und/oder wenigstens eines III-V-Materials; eine X-auf-Isolator(XOI)-Struktur, wobei X ein Halbleitermaterial, wie etwa Si, SiGe, Ge und/oder wenigstens ein III-V-Material, ist und das vergrabene Isolatormaterial ein Oxidmaterial oder ein dielektrisches Material oder irgendein anderes elektrisch isolierendes Material ist; oder irgendeine andere geeignete Mehrschichtsubstratstruktur, bei der die obere Schicht ein Halbleitermaterial, wie etwa Si, SiGe, Ge und/oder wenigstens ein III-V-Material, beinhaltet. Bei dem Ausführungsbeispiel aus 1A-B kann das Kanalgebiet der Finnen nativ zu dem Substrat 100 sein, aber bei anderen Ausführungsformen kann es ein Ersetzungsmaterial sein, wie zuvor erklärt wurde. Die Bildung der Finnen kann beliebige geeignete Techniken einschließen, von denen z. B. manche hier ausführlicher besprochen werden.
  • Bei manchen Ausführungsformen und wie in 1A veranschaulicht, können, wie gezeigt, STI-Gebiete (oder Isolationsgebiete) 110 zwischen Subfinnenteilen gebildet werden, um zum Beispiel einen Leckverlust von elektrischem Strom zwischen den angrenzenden Halbleitervorrichtungen, die aus den Finnen gebildet werden, zu verhindern oder zu minimieren. Das STI-Material 110 kann ein beliebiges geeignetes Isolationsmaterial beinhalten, wie etwa ein oder mehrere dielektrische Materialien, Oxid(z. B. Siliciumdioxid)- oder Nitrid(z. B. Siliciumnitrid)-Materialien. Bei manchen Ausführungsformen kann das STI-Material 110 basierend auf dem Material des Substrats 100 ausgewählt werden (das auch das Material der Subfinnenteile sein kann, die zu dem Substrat nativ sind). Zum Beispiel kann das STI-Material 110 in dem Fall eines Si-Substrats 100 als Siliciumdioxid oder Siliciumnitrid ausgewählt werden. Außerdem kann bei diesem Ausführungsbeispiel die Isolatorschicht 160 unter Verwendung beliebiger geeigneter Techniken und eines beliebigen geeigneten Materials gebildet werden, wie etwa einer flächendeckenden Abscheidung eines Low-k-Dielektrikum-Materials auf der darunterliegenden Struktur (gefolgt durch einen optionalen Planarisierungsprozess). Solche Isolatormaterialien beinhalten zum Beispiel Oxide, wie etwa Siliciumdioxid und mit Kohlenstoff dotiertes Oxid, Nitride, wie etwa Siliciumnitrid, organische Polymere, wie etwa Perfluorcyclobutan oder Polytetrafluorethylen, Fluorsilicatglas und Organosilicate, wie etwa Silsesquioxan oder Siloxan oder Organosilicatglas. Bei manchen Ausführungsformen kann die Isolatorschicht 160 Poren oder andere Hohlräume beinhalten, um die dielektrische Konstante der Schicht weiter zu reduzieren.
  • Wie zuvor erklärt, beinhaltet die Integrierter-Schaltkreis-Struktur 101 einen Gate-Stapel 119a, 119b einschließlich eines Gate-Dielektrikums 132a, 132b, der gebildet ist, um jeweilige Finnenkanalgebiete zu definieren. Die Gate-Stapel 119a, 119b beinhalten auch jeweilige Gate-Elektroden 134a, 134b, die auf dem jeweiligen Gate-Dielektrikum 132a, 132b gebildet sind. Wie auch bei diesem Ausführungsbeispiel zu sehen ist, beinhaltet die Integrierter-Schaltkreis-Struktur eine Hartmaske 140a, 140b über einer jeweiligen Gate-Elektrode 134a, 134b, Seitenwandabstandshalter 150a auf beiden Seiten des Gate-Stapels 119a und Seitenwandabstandshalter 150b auf beiden Seiten des Gate-Stapels 119b. Das Gate-Dielektrikum und die Gate-Elektrode können unter Verwendung beliebiger geeigneter Techniken gebildet werden. Zum Beispiel kann bei manchen Ausführungsformen, die einen sogenannten Gate-Last- oder Remove-Metal-Gate(RMG)-Prozess einsetzen, die Bildung des Gate-Stapels eine Dummy-Gate-Oxid-Abscheidung, eine Dummy-Gate-Elektrode(z. B. Poly-Si)-Abscheidung und eine Strukturierungshartmaskenabscheidung beinhalten. Eine zusätzliche Verarbeitung fährt mit dem Strukturieren der Dummy-Gates und Abscheiden/Ätzen von Abstandshaltermaterial fort. Anschließend an solche Prozesse kann das Verfahren mit einer Isolatorabscheidung, Planarisierung und dann schließlich (nach einer Source/Drain-Verarbeitung) Dummy-Gate-Elektroden- und Gate-Oxid-Entfernung fortfahren, um das Kanalgebiet der Transistoren freizulegen. Anschließend an das Öffnen des Kanalgebiets können das Dummy-Gate-Oxid und die Dummy-Gate-Elektrode mit zum Beispiel einem High-k-Dielektrikum bzw. einem Ersetzungs-Metall-Gate ersetzt werden. Andere Ausführungsformen können einen Standard-Gate-Stapel beinhalten, der durch einen beliebigen geeigneten Prozess gebildet wird. Bei diesem Ausführungsbeispiel ist das gezeigte Gate ein RMG, wobei ein Dummy-Gate verwendet wurde, um die Bildung des Ersetzung-Gates zu erleichtern, nachdem die Genauigkeiten der Source/Drain-Verarbeitung ausgeführt wurden, so dass die Qualität der finalen Gate-Materialien sowie die Grenzfläche zwischen dem Kanalgebiet und den finalen Gate-Stapeln 119a, 119b besser bewahrt werden. Bei noch anderen Ausführungsbeispielen wird ein Gate-First-Prozess verwendet, bei dem die bereitgestellten anfänglichen Gate-Materialien die finalen Gate-Materialien sind. Zu diesem Zweck können entweder Gate-First- oder Gate-Last-Prozesse verwendet werden.
  • Bei manchen Ausführungsformen kann das Gate-Dielektrikum 132a, 132b zum Beispiel ein beliebiges geeignetes Oxidmaterial (wie etwa Siliciumdioxid) und/oder ein High-k-Gate-Dielektrikum-Material sein. Beispiele für High-k-Gate-Dielektrikum-Materialien beinhalten beispielweise Hafniumoxid, Hafniumsiliciumoxid, Lanthanoxid, Lanthanaluminiumoxid, Zirconiumoxid, Zirconiumsiliciumoxid, Tantaloxid, Titanoxid, Bariumstrontiumtitanoxid, Bariumtitanoxid, Strontiumtitanoxid, Yttriumoxid, Aluminiumoxid, Bleiscandiumtantaloxid und Bleizinkniobat. Bei manchen Ausführungsformen kann ein Temperprozess an der Gate-Dielektrikum-Schicht ausgeführt werden, um ihre Qualität zu verbessern, wenn ein High-k-Material verwendet wird. Allgemein sollte die Dicke jedes Gate-Dielektrikums 132a, 132b ausreichend sein, um die Gate-Elektrode elektrisch von den Source- und Drain-Kontakten zu isolieren. Bei manchen Ausführungsformen kann das Gate-Dielektrikum eine Dicke von 0,5 bis 3 nm oder in Abhängigkeit von der Endverwendung oder Zielanwendung eine beliebige andere geeignete Dicke aufweisen. Bei manchen Ausführungsformen kann das Gate-Dielektrikum 132a, 132b eine mehrschichtige Struktur aus zwei oder mehr Materialschichten oder Komponenten beinhalten. Beispielsweise ist das Gate-Dielektrikum 132a, 132b bei einer solchen Ausführungsform eine Doppelschichtstruktur mit einem ersten dielektrischen Material (z. B. Siliciumdioxid) in Kontakt mit dem Kanalgebiet und einem zweiten dielektrischen Material (z. B. Hafniumoxid) in Kontakt mit dem ersten dielektrischen Material, wobei das erste dielektrische Material eine dielektrische Konstante aufweist, die niedriger als die dielektrische Konstante des zweiten dielektrischen Materials ist.
  • Bei manchen Ausführungsformen können die Gate-Elektroden 134a, 134b eine große Vielfalt an Materialien beinhalten, wie etwa zum Beispiel Polysilicium, Siliciumnitrid, Siliciumcarbid oder verschiedene geeignete Metalle oder Metalllegierungen, wie etwa Aluminium (Al), Wolfram (W), Titan (Ti), Tantal (Ta), Kupfer (Cu), Titannitrid (TiN) oder Tantalnitrid (TaN). Bei Ausführungsformen, bei denen die Gate-Elektroden 134a, 134b Metall beinhalten, können die Metall-Gate-Elektroden eine variable Austrittsarbeit aufweisen (z. B. um bei der Abstimmung auf die angemessene Schwellenspannung der Vorrichtung zu helfen). Wie das Gate-Dielektrikum 132a, 132b können die Gate-Elektroden 134a, 134b eine mehrschichtige Struktur aus zwei oder mehr Materialschichten oder Komponenten beinhalten. Zum Beispiel beinhalten die Gate-Elektroden 134a, 134b in einem solchen Beispielfall einen zentralen Metallstopfenteil (z. B. Wolfram) mit einer oder mehreren äußeren Austrittsarbeitsschichten und/oder Barriereschichten (z. B. Tantal, Tantalnitrid) und/oder eine widerstandsreduzierende Kappenstruktur (z. B. Kupfer, Gold, Bor) und/oder eine Isolationskappenstruktur (z. B. Siliciumnitrid und/oder Siliciumoxid oder ein Oxinitrid).
  • Bei diesem Ausführungsbeispiel sind Hartmaskenschichten 140a, 140b vorhanden, um Vorteile während einer Verarbeitung bereitzustellen, wie etwa das Schützen der jeweiligen Gate-Elektroden 134a, 134b vor Prozessen, die nach der Abscheidung des Gate-Elektrode-Materials durchgeführt werden (z. B. Ionenimplantationsprozessen oder Source/Drain-Ätzen-und-Ersetzen-Verarbeitung). Die Hartmaskenschichten 140a, 140b können unter Verwendung beliebiger geeigneter Techniken gebildet werden und können beliebige geeignete Materialien beinhalten, wie etwa zum Beispiel Siliciumdioxid oder Siliciumnitrid. Es wird angemerkt, dass bei manchen Ausführungsformen die Hartmaskenschichten 140a, 140b möglicherweise nicht vorhanden sind oder wenigstens teilweise während einer anschließenden Verarbeitung entfernt werden können, um zum Beispiel zu ermöglichen, dass Kontakt zu den jeweiligen Gate-Elektroden 134a, 134b hergestellt wird.
  • Bei diesem Ausführungsbeispiel sind Seitenwandabstandshalter 150a, 150b (die auch als Gate-Abstandshalter oder einfach als Abstandshalter bezeichnet werden) angrenzend an die jeweiligen Gate-Stapel 119a, 119b gebildet und können zum Beispiel auch gebildet worden sein, um den Ersetzung-Gate-Prozess zu unterstützen. Die Abstandshalter 150a, 150b können unter Verwendung beliebiger geeigneter Techniken gebildet werden und können beliebige geeignete Materialien beinhalten, wie etwa zum Beispiel Siliciumoxid oder Siliciumnitrid. Die Breite der Abstandshalter 150 kann wie gewünscht in Abhängigkeit von der Endverwendung oder Zielanwendung gewählt werden.
  • Wie es sich ferner versteht, kann die Konfiguration der verschiedenen Source/Drain-Gebiete 123a-c und 125a-c von einer Ausführungsform zu der nächsten variieren. Bei manchen Ausführungsbeispielen sind die Source/Drain-Gebiete 123a-c und 125a-c Teile mit implantierten Dotierungsstoffen der entsprechenden Finnenstruktur. Bei anderen Ausführungsformen sind die Source/Drain-Gebiete 123a-c und 125a-c epitaktische Ersetzung-Source/Drain-Gebiete, wobei der ursprüngliche entsprechende Teil der Finne entfernt und mit einem gewünschten Source/Drain-Material ersetzt wird. Diese Option von epitaktischen Ersetzung-Source/Drain-Gebieten ist allgemein in den Figuren mit einer Schraffur in den Source/Drain-Gebieten dargestellt. Die Source/Drain-Gebiete 123a-c und 125a-c können erhöht werden, so dass sie höher als das Kanalgebiet sind, und/oder (in Abhängigkeit von dem verwendeten Bildungsprozess) facettiert werden und/oder können sich unter der Gate-Struktur, wie etwa (falls vorhanden) unter dem Gate-Abstandshalter, und/oder unter den beiden Gate-Elektroden erstrecken. In manchen solchen Fällen, in denen die Source/Drain-Gebiete mit einem Ätzen-und-Ersetzen-Prozess gebildet werden, kann ein unterer Teil der epitaktischen Source/Drain-Gebiete eine Breite aufweisen, die der ursprünglichen Finnenbreite entspricht, und ein oberer Teil der epitaktischen Source/Drain-Gebiete kann eine breitere Breite aufweisen, die sich oberhalb der ursprünglichen Finne erstreckt (oder anderweitig erhöht ist) und breiter als die ursprüngliche Finnenbreite ist. Bei anderen solchen Ausführungsformen wird jegliches überschüssiges epitaktisches Source/Drain-Material während eines Planarisierungsprozesses entfernt, der die Source/Drain-Gebiet-Höhe auf die obere Oberfläche der Finne festlegt. In beliebigen solchen Fällen können die Source/Drain-Gebiete 123a-c und 125a-c p-Typ-dotiert und/oder n-Typ-dotiert sein. Eine beliebige Kombination dieser verschiedenen S/D-Merkmale kann in einer gegebenen Ausführungsform verwendet werden.
  • Bei dem gezeigten Ausführungsbeispiel erstrecken sich die S/D-Gebiete 123a-c und 125a-c unterhalb der Abstandshalter 150a, 150b, da die Gate-Stapel effektiv Kanalgebiete definieren. Zum Beispiel befinden sich Abschnitte der S/D-Gebiete 123c, 125c, 123b, 125b unterhalb jeweiliger Abschnitte der Abstandshalter 150b, die den Gate-Stapel 119b umgeben. Gleichermaßen befinden sich Abschnitte der S/D-Gebiete 123b, 125b, 123a, 125a unterhalb jeweiliger Abschnitte der Abstandshalter 150a, die den Gate-Stapel 119a umgeben.
  • Wie es sich versteht, kann die Dotierung der S/D-Gebiete und der Kanalgebiete basierend auf der gewünschten Transistorkonfiguration gewählt werden. Zum Beispiel können die S/D-Gebiete 123a-c für einen p-Typ-MOS(p-MOS)-Transistor p-Typ-dotiert sein und können die entsprechenden Kanalgebiete 104a-b n-Typ-dotiert (oder nichtdotiert) sein. Bei einem anderen Beispiel können die S/D-Gebiete für einen n-Typ-MOS(n-MOS)-Transistor 125a-c n-Typ-dotiert sein und können die entsprechenden Kanalgebiete 105a-b p-Typ-dotiert (oder nichtdotiert) sein. Bei manchen Ausführungsformen können sowohl p-MOS- als auch n-MOS-Vorrichtungen enthalten sein, um zum Beispiel eine CMOS-Vorrichtung zu bilden. In manchen solchen Fällen werden die p-MOS- und n-MOS-Vorrichtungen auf derselben Finne gebildet, während die p-MOS- und n-MOS-Vorrichtungen in anderen Fällen auf benachbarten Finnen gebildet werden, während noch andere Fälle eine Mischung solcher Merkmale beinhalten können. Bei einem anderen Beispiel kann für einen Tunnelfeldeffekttransistor(TFET) die Source p-Typ- oder n-Typ-dotiert sein, kann der Drain mit einer entgegensetzten Polarität zu der Source dotiert sein (z. B. n-Typ-dotiert, wenn die Source p-Typ-dotiert ist) und kann der Kanal nichtdotiert oder intrinsisch sein. Bei manchen Ausführungsformen können sowohl p-TFET als auch n-TFET-Vorrichtungen enthalten sein, um eine Komplementärer-TFET(CTFET)-Vorrichtung zu bilden. Beispielhafte Transistorgeometrien, die von solchen hier beschriebenen Techniken profitieren können, beinhalten unter anderem Feldeffekttransistoren (FETs), Metall-Oxid-Halbleiter-FETs (MOSFETs), Tunnel-FETs (TFETs), planare Konfigurationen, Konfigurationen mit Finnen (z. B. Fin-FET, Tri-Gate) und Nanodraht(oder Nanoband- oder Gate-All-Around-)-Konfigurationen. Zahlreiche Variationen und Konfigurationen werden in Anbetracht der vorliegenden Offenbarung ersichtlich.
  • Nun unter Bezugnahme auf 1B variiert bei einem Beispiel eine Breite einzelner Finnen 120, 121 über die Länge der Finne. Wie es sich versteht, ist eine Breite Wsi einer Finne entlang einer Richtung, die senkrecht zu der Finne ist. Zum Beispiel erstreckt sich in 1A-1B die Finne 120 in der Richtung der Y-Achse und ist die Breite Wsi entlang der Richtung der X-Achse. Somit ist beispielsweise für die Finne 120 die Breite der Finne 120 senkrecht zu einer imaginären Linie, die durch die S/D-Gebiete 123a, 123b, 123c hindurchgeht. Auf eine ähnliche Weise ist für die Finne 121 die Breite der Finne 121 senkrecht zu einer imaginären Linie, die durch die S/D-Gebiete 125a, 125b, 125c hindurchgeht.
  • Wie ferner am besten in 1B veranschaulicht ist, variiert die Breite Wsi der Finne 120 entlang einer Länge der Finne 120 und variiert die Breite Wsi der Finne 121 entlang einer Länge der Finne 121. Die in 1B veranschaulichten Breiten sind lediglich Beispiele und beschränken den Schutzumfang dieser Offenbarung nicht. Zum Beispiel weist die Finne 120 eine erste Breite von W4b bei oder nahe dem Kanalgebiet 104b auf und weist eine zweite Breite von W4a bei oder nahe dem Kanalgebiet 104a auf. Die Finne 121 weist eine erste Breite von W5b bei oder nahe dem Kanalgebiet 105b auf und weist eine zweite Breite von W5a bei oder nahe dem Kanalgebiet 105a auf. Bei einem solchen Beispiel unterscheidet sich die Breite W4b von W4a und unterscheidet sich die Breite W5b von W5a, wie z. B. hier unter Bezugnahme auf 2 weiter besprochen wird. Bei anderen Ausführungsformen kann die Finnenbreite der zwei gezeigten benachbarten Finnen auf eine symmetrische Weise variieren oder anderweitig von Finne zu Finne folgen. Zahlreiche Finnenbreitenvarianzschemata können verwendet werden, wie es sich versteht.
  • In 1B sind lediglich zwei beispielhafte Variationen der Breite jeder Finne 120, 121 veranschaulicht, obwohl eine größere Anzahl an Variationen der Breite jeder der Finnen 120, 121 möglich sein kann. Zum Beispiel veranschaulicht 2 eine beispielhafte Variation der Breiten der Finnen 120, 121 aus 1A-1B gemäß einem anderen Ausführungsbeispiel der vorliegenden Offenbarung. Wie in 2 zu sehen ist, sind die Finnen 120, 121 ohne Schattierung veranschaulicht. Zum Beispiel sind die in 2 veranschaulichten Finnen 120, 121 vor der Bildung der S/D-Gebiete auf den Finnen. Wie weiter in dem Beispiel aus 2 zu sehen ist, weist die Finne 120 drei unterschiedliche Breiten W4a, W4b, W4c auf und weist die Finne 121 drei unterschiedliche Breiten W5a, W5b, W5c auf. Wie hier zuvor besprochen, ist die Breite der Finne in einem speziellen Abschnitt der Finne entlang einer Richtung, die senkrecht zu der Finne ist. Lediglich als ein Beispiel und unter weiterer Bezugnahme auf 2 umfasst das Kanalgebiet 104a einen Abschnitt der Finne 120 mit einer ersten Breite W4a, umfasst das Kanalgebiet 104b einen Abschnitt der Finne 120 mit einer zweiten Breite W4b und umfasst ein anderes Kanalgebiet 104c einen Abschnitt der Finne 120 mit einer dritten Breite W4c. Gleichermaßen umfasst das Kanalgebiet 105a einen Abschnitt der Finne 121 mit einer ersten Breite W5a, umfasst das Kanalgebiet 105b einen Abschnitt der Finne 121 mit einer zweiten Breite W5b und umfasst ein anderes Kanalgebiet 105c einen Abschnitt der Finne 121 mit einer dritten Breite W5c.
  • Bei anderen Ausführungsformen könnte die Finne 120 zwei bis drei unterschiedliche Breiten aufweisen und könnte die Finne 121 vier bis sechs unterschiedliche Breiten aufweisen oder umgekehrt. Gemäß einer Ausführungsform weisen manche Finnen in einem gegebenen Abschnitt des Die alle das gleiche Mehrfachbreitenprofil und Ende-zu-Ende-Orientierung auf, während bei anderen Ausführungsformen die Finnen eines gegebenen Abschnitts des Die das gleiche Mehrfachbreitenprofil aufweisen können, aber eine entgegengesetzte Ende-zu-Ende-Orientierung (z. B. sind ungeradzahlige Finnen auf eine Links-nach-Rechts-Weise orientiert und sind geradzahlige Finnen auf eine Rechts-nach-Links-Weise orientiert. Bei noch anderen Ausführungsformen ist das Mehrfachbreitenprofil einer ersten Gruppe von Finnen auf einem gegebenen Die unabhängig von dem Mehrfachbreitenprofil einer zweiten Gruppe von Finnen auf diesem Die, egal ob in dem gleichen Abschnitt des Die (z. B. befinden sich sowohl die erste als auch zweite Gruppe in einem Logik- oder E/A-Abschnitt des Die) oder in unterschiedlichen Abschnitten des Die (z. B. befindet sich die erste Gruppe in einem Logikabschnitt des Die und befindet sich die zweite Gruppe in einem Leistungsabschnitt des Die). In einem allgemeineren Sinn kann eine beliebige Finne mit einer beliebigen Anzahl an unterschiedlichen Breiten gebildet werden, so dass ein gewünschtes Mehrfachbreitenprofil bereitgestellt wird.
  • Unter weiterer Bezugnahme auf 2 weist die Finne 120 eine Seite s1 und eine gegenüberliegende Seite s2 auf, wobei die Seite der Finne 121 zugewandt ist. Die Finne 121 weist eine Seite s3 und eine gegenüberliegende Seite s4 auf, wobei die Seite s3 der Finne 120 zugewandt ist.
  • Bei dem Beispiel aus 1B und 2 wird angemerkt, dass die einzelnen Finnen asymmetrisch sind, sowohl mit Bezug auf sich selbst als auch auf einander. Zum Beispiel und mit Bezug auf die Symmetrie der einzelnen Finnen selbst ist das Profil der Seite s1 der Finne 120 verschieden von jenem der Seite s2 und ist das Profil der Seite s3 der Finne 121 verschieden von jenem der Seite s4. Zum Beispiel ist die Variation der Breite der Finne 120 in der Variation der Seite s1 begründet und ist die Variation der Breite der Finne 121 in der Variation der Seite s4 begründet. Zum Beispiel weist die Seite s1 der Finne 120 Vertiefungen und/oder Ausbuchtungen auf, während die Seite s2 relativ flach oder planar oder linear ist oder anderweitig konstant verbleibt. Gleichermaßen weist die Seite s4 der Finne 121 Vertiefungen und/oder Ausbuchtungen auf, während die Seite s3 relativ flach oder planar oder linear ist oder anderweitig konstant verbleibt. Zudem und mit Bezug auf die Symmetrie der Finnen 120 und 121 relativ zueinander weisen sie jeweils unterschiedliche asymmetrische Mehrfachbreitenprofile auf. Bei anderen Ausführungsformen weisen die Finnen 120 und 121 das gleiche asymmetrische Mehrfachbreitenprofil auf. In manchen solchen Fällen sind die asymmetrischen Mehrfachbreitenprofile in der gleichen Richtung orientiert, so dass sie einander spiegeln (z. B. ist eine Breite a der Finne 120 direkt gegenüber von einer Breite a der Finne 121, ist eine Breite b der Finne 120 direkt gegenüber von einer Breite b der Finne 121 usw.). In anderen solchen Fällen sind die asymmetrischen Mehrfachbreitenprofile in der entgegengesetzten Richtung orientiert (z. B. ist eine Breite a der Finne 120 direkt gegenüber von einer Breite c der Finne 121, ist eine Breite b der Finne 120 direkt gegenüber von einer Breite b der Finne 121 und ist eine Breite c der Finne 120 direkt gegenüber von einer Breite a der Finne 121).
  • Unter weiterer Bezugnahme auf 2 wird angemerkt, dass alle Kanalgebiete 104a, 104b, 104c, die aus der Finne 120 gebildet sind, ihre jeweiligen Seiten s2 im Wesentlichen ausgerichtet aufweisen (z. B. ist die Seite s2 der Kanalgebiete 104a, 104b, 104c relativ gerade oder anderweitig konstant und ist dementsprechend möglicherweise um höchstens 0,5 nm fehlausgerichtet oder weist irgendeine andere relativ geringfügige Nichtlinearität auf, die einer unbeabsichtigten Prozessvariation oder Prozessbeschränkungen der realen Welt zuschreibbar ist). Auch wird ferner angemerkt, dass alle Kanalgebiete 105a, 105b, 105c, die aus der Finne 121 gebildet sind, ihre jeweiligen Seiten s3 im Wesentlichen ausgerichtet aufweisen (z. B. ist die Seite s3 der Kanalgebiete 105a, 105b, 105c relativ gerade oder anderweitig konstant und ist dementsprechend möglicherweise um höchstens 0,5 nm fehlausgerichtet oder weist irgendeine andere relativ geringfügige Nichtlinearität auf, die einer unbeabsichtigten Prozessvariation oder Prozessbeschränkungen der realen Welt zuschreibbar ist).
  • Bei einem Beispiel ist eine laterale Entfernung zwischen der Seite s2 der Finne 120 und der Seite s3 der Finne 121 entlang einer Länge der Finnen 120, 121 im Wesentlichen konstant (z. B. eine laterale Entfernung D1). Zum Beispiel variiert die Entfernung D1 zwischen den zwei Seiten s2 und s3 entlang der Länge der Finnen 120, 121 um höchsten 0,2 nm, 0,5 nm, 1 nm, 2 nm oder irgendeine andere relativ geringfügige Abweichung, die einer unbeabsichtigten Prozessvariation oder Prozessbeschränkungen der realen Welt zuschreibbar ist. Bei einem Beispiel weisen die Kanalgebiete 104a, 104b, 104c Seiten s1 und s2 auf und weisen die Kanalkanäle 105a, 105b, 105c Seiten s3 und s4 auf. Wie besprochen, ist die Entfernung zwischen der Seite s2 des Kanals 104a und der Seite s3 des Kanals 105b D1, ist die Entfernung zwischen der Seite s2 des Kanals 104b und der Seite s3 des Kanals 105b D1 und ist die Entfernung zwischen der Seite s2 des Kanals 104c und der Seite s3 des Kanals 105c D1. Bei einem Beispiel kann eine laterale Entfernung zwischen der Seite s1 des Kanals 104a und der Seite s4 des Kanals 105a (d. h. D1 + W5a + W4a) im Wesentlichen verschieden (z. B. um zum Beispiel mehr als 4 nm, 6 nm, 8 nm, oder 10 nm abweichen) von einer lateralen Entfernung zwischen der Seite s1 des Kanals 104b und der Seite s4 des Kanals 105b (d. h. D1 + W5b + W4b) und/oder von einer lateralen Entfernung zwischen der Seite s1 des Kanals 104c und der Seite s4 des Kanals 105c (d. h. D1 + W4c + W5c) sein.
  • Die Breiten W4a, W4b, W4c der Finne 120 sind unterschiedlich. Zum Beispiel weicht die Breite W4a um mehr als 3 nm, 7 nm, 8 nm, 10 nm, 15 nm, 20 nm, 40 nm oder dergleichen von der Breite W4b (und/oder von der Breite W4c) ab. Die Breiten W5a, W5b, W5c sind unterschiedlich. Zum Beispiel weicht die Breite W5a um mehr als 3 nm, 7 nm, 8 nm, 10 nm, 15 nm, 20 nm, 40 nm oder dergleichen von der Breite W5b (und/oder von der Breite W5c) ab. Bei einem Beispiel beträgt die Breite W4c wenigstens 30 %, 60 %, 80 %, 100 %, 150 %, 200 % oder 300 % der Breite W4b (und/oder der Breite W4a). Bei einem Beispiel ist die Breite W4c wenigstens 1,2-mal (x), 1,5x, 3x, 4x, 5x, 10x, 20x oder irgendein anderes Vielfaches der Breite W4b (und/oder W4c). Bei einem Beispiel beträgt zum Beispiel die Breite W4a weniger als 10 nm, 8 nm, 7 nm oder 5 nm und beträgt die Breite W4b mehr als 10 nm, 12 nm oder 15 nm und beträgt die Breite W4c mehr als 15 nm, 18 nm oder 20 nm. Gleiche Abmessungen können auch für die Finne 121 gelten.
  • Eine schmalste der Breiten der Finnen 120, 121 entlang der Länge der Finnen 120, 121 (z. B. eine kleinste der Breiten W4a, W4b, W4c, W5a, W5b oder W5c) ist zum Beispiel kleiner als 20 nm, 10 nm, 8 nm, 7 nm oder 5 nm.
  • Bei einem Beispiel kann ein Übergang einer Finne (z. B. der Finne 120) von einer ersten Breite (z. B. der Breite W4b) zu einer angrenzenden zweiten Breite (z. B. der Breite W4a) angesichts der hier offenbarten Techniken relativ abrupt oder scharf stattfinden. Beispielsweise kann ein Übergang von der Breite W4b zu der Breite W4a innerhalb einer Länge L1 der Finne stattfinden, wie z. B. in 2 veranschaulicht ist. Bei einem Beispiel kann L1 höchstens 0,5 nm, 1 nm, 2 nm oder 3 nm betragen. Bei anderen Ausführungsformen kann der Übergang weniger abrupt sein und über eine längere Entfernung stattfinden (z. B. 5 nm oder 10 nm, in Abhängigkeit von verschiedenen Faktoren, wie etwa der Genauigkeit der verwendeten Strukturierung (wie wiederum besprochen wird) und der Höhe der Finnen, wie es sich in Anbetracht dieser Offenbarung versteht. Bei einem Beispiel können die Ecken bei dem Übergangsgebiet der Finnen (z. B. wo die Finnen die Breite ändern) relativ scharf sein, z. B. innerhalb von 5 Grad bis 90 Grad, innerhalb von 10 Grad bis 90 Grad usw.
  • Dementsprechend führt die variierende Breite der Finnen 120, 121 zu Kanalgebieten mit unterschiedlichen Breiten. Dementsprechend können die Kanalgebietsbreiten in derselben Integrierter-Schaltkreis-Struktur 101 z. B. basierend auf der Anwendung des assoziierten Transistors eines gegebenen Die variiert werden. Dementsprechend kann die Kanalbreite eines einzelnen nichtplanaren Transistors entlang einer gegebenen Finnenstruktur gemäß der Stromkapazität, dem Nennstrom und/oder angemessenen Gestaltungsfaktoren, die mit den Transistoren assoziiert sind, maßgeschneidert werden, anstatt durch eine regionale oder globale Kanalbreite für alle der nichtplanaren Transistoren in dem IC oder der Vorrichtung vorgegeben zu werden. Wie es sich versteht, ermöglichen die hier bereitgestellten Techniken eine stellenbasierte Finnenbreitenabstimmung.
  • 3 veranschaulicht eine andere beispielhafte Variation der Breiten der Finnen 120, 121 gemäß einer Ausführungsform der vorliegenden Offenbarung. Im Gegensatz zu 2 sind in 3 einzelne der Finnen 120, 121 um eine Achse symmetrisch. Zum Beispiel weist die Finne 120 zwei gegenüberliegende Seiten s1' und s2' auf. Wie zu sehen ist, sind die Seiten s1' und s2' mit Bezug zueinander im Wesentlichen symmetrisch um eine Achse, die mit einer imaginären Linie m1-m2 bezeichnet ist, die durch ein zentrales Gebiet der Finne 120 hindurchgeht. Die Finne 120 ist im Wesentlichen symmetrisch um diese Achse (z. B. um höchstens 0,5 nm, 1 nm oder 2 nm oder irgendeine andere relativ geringfügige Abweichung, die einer unbeabsichtigten Prozessvariation oder Prozessbeschränkungen der realen Welt zuschreibbar ist, asymmetrisch). Zum Beispiel ist die Seite s1' ein wesentliches Spiegelbild der Seite s2' um die Achsenlinie m1-m2. Gleichermaßen ist die Finne 121 im Wesentlichen um die imaginäre Achsenlinie m3-m4 symmetrisch.
  • Lediglich als ein Beispiel weist die Finne 120 aus 3 Breiten W4a', W4b' und W4c' auf. Es wird angenommen, dass das erste Kanalgebiet 104a auf einem Abschnitt der Finne 120 mit einer Breite W4a' gebildet ist, das zweite Kanalgebiet 104b auf einem Abschnitt der Finne 120 mit einer Breite W4b' gebildet ist und das dritte Kanalgebiet 104c auf einem Abschnitt der Finne 120 mit einer Breite W4c' gebildet ist. Dementsprechend sind die drei Kanalgebiete in einem solchen Fall im Wesentlichen ausgerichtet (z. B. wird eine Linie, die durch das Zentrum des ersten Kanalgebiets entlang einer Länge der Finne hindurchgeht, auch durch das Zentrum des zweiten und dritten Kanalgebiets entlang der Länge der Finne hindurchgehen). Anders gesagt wird die Linie m1-m2 im Wesentlichen durch das Zentrum der drei Kanalgebiete entlang der Länge der Finne 120 verlaufen (z. B. weicht die Linie m1-m2 um höchstens 0,5 nm oder 1 nm von dem Zentrum der drei Kanalgebiete ab). Gleichermaßen werden die jeweiligen Zentren der Kanalgebiete 105a, 105b, 105c auf der Finne 121 auch im Wesentlichen ausgerichtet sein.
  • Des Weiteren befindet sich das Kanalgebiet 104a in einer lateralen Entfernung von Da von dem angrenzenden Kanalgebiet 105a; das Kanalgebiet 104b befindet sich in einer lateralen Entfernung von Db von dem angrenzenden Kanalgebiet 105b; und das Kanalgebiet 104c befindet sich in einer lateralen Entfernung von Dc von dem angrenzenden Kanalgebiet 105c, wie in 3 veranschaulicht ist. Die Entfernungen Da und Db (und/oder die Entfernungen Da und Dc und/oder die Entfernungen Db und Dc) können absichtlich um zum Beispiel mehr als 2 nm, 5 nm oder 10 nm abweichen.
  • 4A, 4B, 4C, 4D, 5A, 5B, 5C, 6A, 6B, 6C, 7, 8, 9A, 9B, 9C, 10A, 10B, 10C, 11A, 11B und 11C veranschaulichen gemeinsam ein Verfahren zum Fertigen von Finnen für einen Transistor, bei dem einzelne der Finnen eine variierende Breite aufweisen und asymmetrisch sind, gemäß einer Ausführungsform der vorliegenden Offenbarung. Zum Beispiel kann das Verfahren verwendet werden, um die asymmetrischen Finnen 120, 121 aus 2 oder asymmetrische Finnen beliebiger anderer nichtplanarer Transistorstrukturen zu fertigen, die in Anbetracht dieser Offenbarung ersichtlich werden.
  • 4A, 4B, 4C veranschaulichen eine Struktur zum Bilden von Finnen mit variierenden Breiten gemäß einer Ausführungsform der vorliegenden Offenbarung. 4A ist eine perspektivische Ansicht der Struktur 401. Sechs Ecken A1, A2, A3, A4, A5 und A6 der Struktur 401 sind in 4A veranschaulicht. 4B ist eine Seitenansicht der Struktur 401, die entlang der Ebene von A1, A2, A3 und A4 gezeigt ist. 4C ist eine Draufsicht der Struktur 401, die entlang der Ebene von A1, A4, A6 und A5 gezeigt ist.
  • Unter Bezugnahme auf 4A-4C umfasst die Struktur 401 eine Schicht oder Struktur 402. Wie hier später beschrieben wird, kann die Schicht 402 verwendet werden, um die Finnen (z. B. die Finnen 120, 121) zu bilden und entsprechend kann das Material der Schicht 402 das Material sein, das für die Finnen 120, 121 verwendet wird, wie z. B. mit Bezug auf 1A besprochen ist. Obwohl dies nicht veranschaulicht ist, kann die Schicht 402 bei manchen Ausführungsformen über einem oder anderweitig Teil eines Substrats, wie etwa des Substrats 100 aus 1A, oder über einer begrabenen Isolatorschicht in einer Halbleiter-auf-Isolator-Konfiguration sein.
  • Es ist zu beachten, dass die Schicht 402 bei manchen Ausführungsbeispielen verwendet wird, um Mehrfachbreitenplatzhalterfinnen zu bilden, die anschließend herausgeätzt und mit sogenannten ART-basierten Finnen oder epitaktischen Ersetzungsfinnen ersetzt werden, die das gleiche Mehrfachbreitenprofil der Platzhalterfinnen annehmen, die anschließend in der Schicht 402 gebildet werden. Somit können gemäß manchen Ausführungsformen eine oder mehrere der in der Schicht 402 gebildeten Finnen Mehrfachbreitenfinnen sein, die verwendet werden können, um Transistoren zu bilden, und/oder eine oder mehrere der in der Schicht 402 gebildeten Finnen können Mehrfachbreitenplatzhalterfinnen sein, die herausgeätzt und mit einem gewünschten Kanalmaterial ersetzt werden, um die Mehrfachbreitenfinnen bereitzustellen, die zum Bilden von Transistoren verwendet werden können.
  • Des Weiteren ist zu beachten, dass die Schicht 402 bei manchen Ausführungsformen eine Mehrschichtstruktur ist, die zwei oder mehr alternierende Schichten aus einem aktiven Kanalmaterial und Opfermaterial beinhaltet. Eine solche Mehrschichtstruktur kann zum Bilden von Nanodrähten, Nanobändern oder Nanoplatten verwendet werden. Beispielsweise kann das Opfermaterial bei manchen solchen Beispielen während eines anschließenden Gate-Bildungsprozesses freigelegt und selektiv entfernt werden, so dass ein oder mehrere Drähte (oder je nach Fall Bänder oder Platten), die das aktive Kanalmaterial umfassen, befreit oder freigegeben werden. Ferner ist anzumerken, dass das aktive Kanalmaterial für die p-Typ-Vorrichtungen das Opfermaterial für n-Typ-Vorrichtungen sein kann und umgekehrt.
  • Eine oder mehrere Schichten, die ein Hartmaskenmaterial umfassen, wie etwa die Schichten 404 und 406, können sich oberhalb der Schicht 402 befinden. Die Hartmaskenschichten 404, 406 können unter Verwendung beliebiger geeigneter Techniken gebildet werden und können beliebige geeignete Materialien beinhalten, wie etwa zum Beispiel Siliciumdioxid, Siliciumnitrid, Titannitrid. Bei einem Beispiel kann, obwohl zwei Hartmaskenschichten 404, 406 veranschaulicht sind, die Struktur 401 eine, drei oder mehr Hartmaskenschichten aufweisen. Die Schichten 410 und 412 sind oberhalb der Schicht 406 gebildet. Zum Beispiel befindet sich die Schicht 410 auf der Schicht 406 und maskiert die Schicht 412 die Schicht 410. Die Schicht 410 kann ein beliebiges geeignetes Opfermaterial (z. B. ein Oxid, wie etwa SiO2) beinhalten und die Schicht 412 kann ein Hartmaskenmaterial (z. B. ein Nitrid, wie etwa Si3N4) beinhalten. Bei einem Beispiel können die Schichten 412 und 406 so gewählt werden, dass diese zwei Schichten eine Ätzselektivität mit Bezug aufeinander und auch mit Bezug auf ein anschließend abgeschiedenes Abstandshaltermaterial aufweisen, wie später erklärt und wiederum ersichtlich wird. Es wird auch angemerkt, dass die Fähigkeit, ein erstes Material selektiv relativ zu einem zweiten Material zu ätzen, die Fähigkeit beinhaltet, einen Prozess zu verwenden, der das erste Material wenigstens 1,5, 2, 3, 5, 10, 20, 50 oder 100 mal schneller entfernt als der gleiche Prozess das zweite Material entfernt, oder wenigstens um irgendeinen anderen relativen Betrag. Entsprechend kann der selektive Ätzprozess verschiedene Ätzmittel, Temperaturen, Drücke usw. beinhalten, wie sie gewünscht sind, um die gewünschte Selektivität des Prozesses zu ermöglichen.
  • Bei manchen Ausführungsformen und wie in 4C veranschaulicht, weist die Schicht 412 eine Breite von D1 auf, die im Wesentlichen gleich einer Entfernung zwischen zwei Seiten der zwei zu bildenden Finnen sein kann, wie z. B. mit Bezug auf 2 besprochen ist. Zwei Abstandshalter 420 und 421 können sich auf zwei Seiten der Schichten 412, 410 befinden, wie in 4A-4C veranschaulicht ist. Die Abstandshalter 420, 421 können aus einem beliebigen angemessenen Material sein, so dass z. B. das Material der Abstandshalter 420, 421 eine Ätzselektivität mit Bezug auf die Hartmaskenschicht 412 aufweist (d. h. das Material der Abstandshalter 420, 421 wird für einen gegebenen Ätzprozess viel schneller als die Hartmaske 412 geätzt). Dementsprechend kann das Abstandshaltermaterial 420, 421 unter Verwendung eines angemessenen Prozesses selektiv geätzt werden, ohne die Schicht 412 zu ätzen. Jeder der Abstandshalter 420, 421 kann eine einheitliche Breite von W1 aufweisen. Die Breite W1 kann zum Beispiel höchstens 50, 20, 10 oder 8 nm betragen. Bei einem Beispiel können die Abstandshalter 420, 421 konform auf beiden Seiten der Schichten 412, 410 abgeschieden werden. Die Abstandshalterabscheidung kann unter Verwendung von chemischer Gasphasenabscheidung (CVD), Atomlagenabscheidung (ALD) und/oder einer beliebigen angemessenen Abstandshalterabscheidungstechnik durchgeführt werden. Wie es sich versteht, kann eine hohe Konformalität der Abstandshalter 420, 421 bei manchen Ausführungsformen mit ALD erreicht werden, was wiederum die Genauigkeit einer Abstimmung der Breite der Finne unterstützt, auf die die gebildete Struktur transferiert werden kann.
  • 4D veranschaulicht eine Draufsicht der Struktur 401 aus 4A-4C, wobei die Schichten 480, 481 Maskierungsmaterialien oberhalb der Struktur 401 umfassen, gemäß einer Ausführungsform der vorliegenden Offenbarung. Die Schichten 480, 481 sind lediglich zu veranschaulichenden Zwecken mit gepunkteter Grenze als transparent veranschaulicht. Die Schichten 480, 481 maskieren Abschnitte der Abstandshalter 420, 421, während andere Abschnitte der Abstandshalter freigelegt werden. Die Schichten 480, 481, die Abschnitte maskieren, können unter Verwendung von Standardlithographie bereitgestellt werden und umfassen ein Material, das relativ zu den Abstandshaltern 420, 421 ätzselektiv ist (d. h. das Material der Schichten 480, 481 wird für einen gegebenen Ätzprozess viel langsamer als die Abstandshalter 420, 421 geätzt.
  • 5A-5C veranschaulichen die Struktur 401, nachdem Abschnitte der Abstandshalter 420, 421, die durch die Maskierungsschichten 480, 481 aus 4D freigelegt sind, geätzt wurden und die Maskierungsschichten 480, 481 entfernt wurden, gemäß einer Ausführungsform der vorliegenden Offenbarung. Zum Beispiel veranschaulicht 5A die Seitenperspektivansicht (z. B. ähnlich 4A), veranschaulicht 5B die Seitenansicht (z. B. ähnlich 4B) und veranschaulicht 5C die Draufsicht (z. B. ähnlich 4C). Gemäß einer Ausführungsform werden Abschnitte der Abstandshalter 420, 421 anisotrop geätzt (z. B. direktionale Trockenätzung), um die Seitenwände der Schichten 410, 412 freizulegen, wie in 5A veranschaulicht ist. Die zum Ätzen ausgewählten Abschnitte basieren auf einem finalen gewünschten Profil der Finnen 120, 121. Das Ätzen ist selektiv, so dass z. B. die Schichten 410, 412 und 406 nicht geätzt werden oder wenigstens nicht signifikant geätzt werden.
  • 6A-6B veranschaulichen die Struktur 401 nach einer konformen Abscheidung von zusätzlichem Abstandshaltermaterial auf den Abstandshaltern 420, 421 aus 5A-5C gemäß einer Ausführungsform der vorliegenden Offenbarung. 6A veranschaulicht die Seitenperspektivansicht (z. B. ähnlich 4A und 5A) und 6B veranschaulicht die Draufsicht (z. B. ähnlich 4C und 5C). Die Seitenansicht (z. B. ähnlich 4B und 5B) ist in 6A-6B nicht veranschaulicht, da es dort keinerlei Änderung in der Seitenansicht gäbe. Die zusätzliche Abstandshaltermaterialabscheidung kann in Abhängigkeit von Faktoren, wie etwa dem gewünschten Grad an Konformalität, zum Beispiel unter Verwendung von CVD, ALD und/oder einer beliebigen geeigneten Abstandshalterabscheidungstechnik durchgeführt werden. Aufgrund der konformen Abscheidung des Abstandshaltermaterials weisen nun Abschnitte, in denen Abstandshalter zuvor entfernt wurden (wie z. B. mit Bezug auf 5A-5B besprochen), gemäß einer Ausführungsform eine z. B. im Vergleich zu einer Breite W2 des Abschnitts, in dem die Abstandshalter maskiert und zuvor nicht entfernt wurden, relativ kleinere Breite W3 auf.
  • 6C veranschaulicht eine Draufsicht der Struktur 401, wobei die Schichten 680, 681 Maskierungsmaterialien oberhalb der Struktur 401 aus 6A-6B umfassen, gemäß einer Ausführungsform der vorliegenden Offenbarung. Die Schichten 680, 681 sind lediglich zu Zwecken veranschaulichender Zwecke mit gepunkteter Grenze als transparent veranschaulicht. Die Schichten 680, 681 maskieren Abschnitte der Abstandshalter 420, 421, während andere Abschnitte der Abstandshalter freigelegt werden. Die Schichten 680, 681, die Abschnitte maskieren, können unter Verwendung von Standardlithographie bereitgestellt werden und umfassen ein Material, das relativ zu den Abstandshaltern 420, 421 ätzselektiv ist (d. h. das Material der Schichten 680, 681 wird für einen gegebenen Ätzprozess viel langsamer als die Abstandshalter 420, 421 geätzt. Es wird angemerkt, dass die Schichten 680, 681 das gleiche Maskenmaterial wie 480, 481 sein können.
  • 7 veranschaulicht die Struktur 401, nachdem Abschnitte der Abstandshalter 420, 421, die durch die Maskierungsschichten 680, 681 aus 6C freigelegt sind, geätzt wurden und die Maskierungsschichten 680, 681 entfernt wurden, gemäß einer Ausführungsform der vorliegenden Offenbarung. Zum Beispiel veranschaulicht 7 die Draufsicht (z. B. ähnlich 4C, 5C und 6C). Wie zu sehen ist, werden Abschnitte der Abstandshalter 420, 421 anisotrop geätzt, um die Seitenwände der Schichten 410, 412 freizulegen, wie in 7 veranschaulicht ist. Die zum Ätzen ausgewählten Abschnitte basieren auf einem finalen gewünschten Profil der Finnen 120, 121.
  • 8 veranschaulicht die Struktur 401 nach einer konformen Abscheidung von zusätzlichem Abstandshaltermaterial auf den Abstandshaltern 420, 421 aus 7 gemäß einer Ausführungsform der vorliegenden Offenbarung. 8 veranschaulicht die Draufsicht der Struktur 401. Die Abstandshaltermaterialabscheidung kann unter Verwendung von CVD, ALD und/oder durch eine beliebige angemessene Abstandshalterabscheidungstechnik durchgeführt werden, wie zuvor erklärt wurde. Aufgrund der konformen Abscheidung des Abstandshaltermaterials gemäß manchen Ausführungsformen würden Abschnitte, in denen Abstandshalter zuvor entfernt wurden (wie z. B. mit Bezug auf 5A-5B und 7 besprochen), eine relativ kleinere Breite aufweisen. Zum Beispiel weist der schmalste Abschnitt der Abstandshalter 420, 421, z. B. Abschnitte, die in 7 entfernt wurden, die Breite W6 auf. Außerdem nimmt die Breite W2 der Abschnitte der Abstandshalter (wie z. B. in 7 veranschaulicht) z. B. aufgrund der Abscheidung des Abstandshaltermaterials in 8 auf eine Breite W4 in 8 zu. Gleichermaßen nimmt die Breite W3 von Abschnitten der Abstandshalter (wie z. B. in 7 veranschaulicht) auf eine Breite W5 zu. Es wird angemerkt, dass mit einem hoch konformen Abscheidungsprozess (z. B. ALD), jede der Breiten W4-6 einfach insofern auf eine lineare Weise gesteuert werden kann, dass die Dicken alle mit der gleichen Rate anwachsen werden (z. B. jeweils eine Monoschicht von Abstandshaltermaterial oder irgendeine andere Rate).
  • 9A, 9B, 9C veranschaulichen die Struktur 401 nach einer Entfernung der Schichten 410, 412 aus 8 gemäß einer Ausführungsform der vorliegenden Offenbarung. Zum Beispiel veranschaulicht 9A die Seitenperspektivansicht, veranschaulicht 9B die Seitenansicht und veranschaulicht 9C die Draufsicht. Die Schichten 410, 412 werden selektiv geätzt, ohne die Abstandshalter 420, 421 zu ätzen. Die Breite verschiedener Abschnitte der Abstandshalter 420, 421 (z. B. die Breiten W4, W5, W6) sind in 9A und 9C beschriftet.
  • 10A, 10B, 10C veranschaulichen die Struktur 401 nach einer Entfernung der Schicht 406 aus 9A-9C gemäß einer Ausführungsform der vorliegenden Offenbarung. Das Ätzen der Schicht 406 ist selektiv gegenüber dem Abstandshaltermaterial (d. h. die Schicht 406 wird für einen gegebenen Ätzprozess viel schneller geätzt als das Abstandshaltermaterial). Die Abstandshalter 420, 421 fungieren als eine Hartmaske, während die Schichten 404, 406 strukturiert werden. Dementsprechend werden Abschnitte der Schichten 404, 406 unterhalb der Abstandshalter 420, 421 nicht entfernt, während andere Abschnitte der Schichten 404, 406, die nicht durch die Abstandshalter 420, 421 bedeckt sind, geätzt werden. Anschließend werden die Abstandshalter 420, 421 selektiv geätzt, ohne die strukturierten Schichten 404, 406 zu ätzen. Anschließend wird die strukturierte Schicht 406 ebenfalls entfernt, wodurch die nun strukturierte Hartmaskenschicht 404 freigelegt wird. Auf diese Weise werden die Mehrfachbreitenstrukturen der in 9C gezeigten Abstandshalter 420, 421 auf die darunterliegende Hartmaskenschicht 404 übertragen. Wie es sich versteht, stellt diese strukturierte Version der Hartmaskenschicht 404 effektiv einen neuen Satz von Abstandshaltern direkt auf der Schicht 402 bereit, in der die Mehrfachbreitenfinnen zu bilden sind. Diese neuen Abstandshalter sind in 10A-C als 1020, 1021 bezeichnet. Daher weisen die Abstandshalter 1020, 1021 jeweils im Wesentlichen die gleiche Form und die gleichen Abmessungen wie die Abstandshalter 420, 421 auf. Das heißt, die Mehrfachbreitenprofile der in 9C gezeigten Abstandshalter 420, 421 werden auf die in der Schicht 404 gebildeten Abstandshalter 1020, 1021 übertragen.
  • 11A, 11B, 11C veranschaulichen die Struktur 401 nach einer Strukturierung der Schicht 402 gemäß einer Ausführungsform der vorliegenden Offenbarung. Die Abstandshalter 1020, 1021 fungieren als eine Hartmaske, während die Schicht 402 strukturiert wird. Dementsprechend werden Abschnitte der Schicht 402 unterhalb der Abstandshalter 1020, 1021 nicht entfernt, während andere Abschnitte der Schicht 402, die nicht durch die Abstandshalter 1020, 1021 bedeckt sind, geätzt werden. Die Tiefe der Ätzung kann von einer Ausführungsform zu der nächsten variieren und kann basierend auf der gewünschten Kanalhöhe festgelegt werden. In manchen Fällen wird die Schicht 402 bis zu einer Tiefe in dem Bereich von 10 bis 50 nm (z. B. 15 bis 35 nm oder etwa 20 nm) geätzt. Anschließend werden die Abstandshalter 1020, 1021 (z. B. über eine selektive Ätzung) entfernt, um die darunterliegenden Mehrfachbreitenfinnen 1120, 1121, die in der Schicht 402 gebildet sind, freizulegen. Dementsprechend weisen die Finnen 1120, 1121 jeweils im Wesentlichen die gleiche Form und die gleichen Abmessungen wie die Abstandshalter 1020, 1021 auf. Das heißt, die Mehrfachbreitenabstandshalter 1020, 1021 werden auf die Schicht 402 transferiert, wodurch im Wesentlichen ähnliche Mehrfachbreitenfinnen 1120, 1121 bereitgestellt werden (wobei z. B. jede Abmessung der Mehrfachbreitenabstandshalter 1020, 1021 innerhalb einer Toleranz von 2 nm oder weniger oder 1 nm oder weniger oder 0,5 nm oder weniger auf die Mehrfachbreitenfinnen 1120, 1121 transferiert wird).
  • 11D, 11E, 11F veranschaulichen gemeinsam eine selektive Ätzung und einen Ersetzungsprozess zum Ersetzen wenigstens eines Teils einer oder mehrerer der Finnen 1120, 1121 mit Finnen, die ein anderes Halbleitermaterial aufweisen, gemäß einer Ausführungsform der vorliegenden Offenbarung.
  • Bei diesem Ausführungsbeispiel und wie in 11D zu sehen ist, sind die Finnen 1120, 1121 in einem Isolatormaterial 1135 eingeschlossen, das unter Verwendung einer Standardabscheidung (z. B. CVD, ALD) abgeschieden und dann zu den Oberseiten der Finnen 1120, 1121 planarisiert werden kann. Das Isolatormaterial 1135 wird so gewählt, dass es das selektive Ätzen einer oder mehrerer der Finnen 1120, 1121 erleichtert (d. h. die Finnen 1120, 1121 werden für eine gegebene Ätzung mit einer viel schnelleren Rate als das Isolatormaterial 1135 geätzt). In diesem Beispielfall wird die Finne 1121 mit einer Maske 1137 maskiert, so dass sie nicht ersetzt wird. Es wird angemerkt, dass die Maske 1137 und das Isolatormaterial 1135 bei manchen solchen Ausführungsformen das gleiche Material sein können. Eine Ätzung (z. B. eine anisotrope Trockenätzung) wird dann ausgeführt, um wenigstens einen Teil der freigelegten Finne 1120 zu entfernen. Bei anderen Ausführungsformen gibt es keine Maske, so dass die beiden Finnen 1120, 1121 ersetzt werden. Bei noch anderen Ausführungsformen wird die Finne 1120 mit einem ersten Material ersetzt, während die Finne 1121 maskiert ist, und dann wird die Finne 1121 mit einem zweiten Material ersetzt, während die neu gebildete Finne 1120' maskiert ist. Es werden zahlreiche Variationen erkannt.
  • Wie in 11E zu sehen ist, wird, nachdem die Finne 1120 wenigstens teilweise entfernt wurde, ein Ersetzungshalbleitermaterial epitaktisch in dem Graben abgeschieden, der durch die Entfernung zurückgelassen wird. Die Abscheidung kann zum Beispiel ALD oder CVD sein. Ein beliebiges überschüssiges Ersetzungsmaterial, das auf der Struktur abgeschieden wird, kann dann zusammen mit der Maske 1137 wegplanarisiert werden, so dass die Struktur aus 11E übriggelassen wird.
  • 11F zeigt die resultierende Struktur 401, nachdem das Isolatormaterial 1135 entfernt oder zurückgesetzt wurde, um die Finnen 1120', 1121 freizulegen. Bei einem Ausführungsbeispiel umfasst die Mehrfachbreitenfinne 1120' ein Gruppe-III-V-Halbleitermaterial (z. B. GaAs oder InGaAs) und umfasst die Mehrfachbreitenfinne 1121 ein Gruppe-IV-Halbleitermaterial (z. B. Silicium, Germanium oder SiGe). Es ist zu beachten, dass bei noch anderen Ausführungsbeispielen wenigstens eine der Finnen 1120, 1121 (und/oder 1120' und/oder 1121') eine mehrschichtige Struktur sein kann, die zwei oder mehr alternierende Schichten aus einem aktiven Kanalmaterial und einem Opfermaterial beinhaltet. Solche Mehrschichtstrukturen können zum Bilden von Nanodrähten, Nanobändern oder Nanoplatten verwendet werden. Beispielsweise kann das Opfermaterial bei manchen solchen Beispielenfällen während eines anschließenden Gate-Bildungsprozesses freigelegt und selektiv entfernt werden, so dass ein oder mehrere Drähte (oder je nach Fall Bänder oder Platten), die das aktive Kanalmaterial umfassen, befreit oder freigegeben werden.
  • Dementsprechend veranschaulichen 4A-11F die Bildung von Finnen für einen Transistor, wobei einzelne der Finnen eine variierende Breite aufweisen. Wie mit Bezug auf 2 besprochen, sind einzelne der Finnen 1120, 1121 (und/oder ihre Ersetzungsfinnen, wie hier zuvor besprochen) aus 11A-11F asymmetrisch und weisen variierende Breiten auf, wie z. B. mit Bezug auf 2 ausführlicher besprochen ist. Es wird angemerkt, dass gemäß manchen hier bereitgestellten Ausführungsformen die Asymmetrie Variationen zuschreibbar ist, die auf einer Seite der Finne auftreten, während die andere Seite der Finne relativ konstant (linear) verbleibt. Ferner wird angemerkt, dass die konstanten Seiten der Finnen bei manchen solchen Ausführungsformen in einem gegebenen Finnenpaar einander zugewandt sind. Dies sind verräterische Anzeichen einer hier bereitgestellten Methodologie gemäß manchen solchen Ausführungsformen.
  • Es ist anzumerken, dass der Übergang der Breiten in den Finnen 1120, 1121 (und/oder, falls vorhanden, ihrer Ersetzungsfinnen) in 11A, 11C-F relativ scharf sind, was ein ideales Szenario sein kann. Jedoch kann in der Praxis ein Abschnitt einer Finne mit einer ersten Breite zu einem Abschnitt der Finne mit einer zweiten Breite über eine Länge (z. B. die Länge L1) der Finne übergehen, wie z. B. mit Bezug auf 2 ausführlicher besprochen ist. Somit können zum Beispiel Übergänge gemäß manchen Ausführungsformen relativ abrupt stattfinden, so dass die Seitenwand an dem Punkt, an dem der Übergang beginnt, im Wesentlichen orthogonal zu der Seite der Finne ist, wie etwa circa 90 Grad +/-5 Grad, aber bei anderen Ausführungsformen kann der Übergang über eine längere Entfernung stattfinden, so dass ein Übergangswinkel von 80 bis 95 Grad bereitgestellt wird.
  • Wenn Variationen einer Breite eines Merkmals über die Länge des Merkmals auftreten (z. B. aufgrund von Beschränkungen der Techniken zum Bilden des Merkmals), wird die Variation als Linienbreitenrauigkeit (LWR: Line Width Roughness) bezeichnet. Zum Beispiel weist unter Bezugnahme auf 11C ein Abschnitt der Finne 1121 eine Breite von W5 auf. Die tatsächliche Breite entlang der Länge des Abschnitts kann z. B. aufgrund von Beschränkungen der Techniken zum Bilden der Finne 1121 geringfügig variieren. Solche Variationen werden als LWR bezeichnet. Zum Beispiel liegt die Breite für den Abschnitt der Finne 1121, der als eine Breite von W5 aufweisend veranschaulicht ist, innerhalb von ±LWR von W5 entlang der Länge des Abschnitts. Bei den hier besprochenen abstandshalterbasierten Techniken zum Bilden der Finnen liegt die LWR in dem Bereich von Ängström, kann z. B. höchsten 2 Ängström, 5 Ängström, 7 Ängström, 8 Ängström oder 10 Ängström betragen. Im Gegensatz dazu weisen Finnen, die unter Verwendung herkömmlicher Techniken gebildet werden, eine LWR auf, die etwa 30-mal oder sogar mehr als die LWR ist, die in verschiedenen Beispielen und Ausführungsformen dieser Offenbarung erreicht wird.
  • 12A, 12B, 12C, 13, 14, 15, 16, 17A, 17B und 17C veranschaulichen gemeinsam ein Verfahren zum Fertigen von Finnen für einen Transistor, bei dem einzelne der Finnen eine variierende Breite aufweisen und symmetrisch sind, gemäß einer Ausführungsform der vorliegenden Offenbarung. Zum Beispiel wird das Verfahren verwendet, um die symmetrischen Finnen aus 3 zu fertigen. Der Umfang der vorhergehenden Erörterung mit Bezug auf die asymmetrischen Ausführungsformen ist auch für symmetrische Ausführungsformen relevant, auf die die vorhergehende Erörterung hier gleichermaßen anwendbar ist, einschließlich der Erörterung mit Bezug auf Platzhalterfinnen zur Verwendung in einem Ätzen-und-Ersetzen-Prozess sowie in Fällen, in denen die Schicht 402 eine mehrschichtige Struktur ist, die zum Bilden von Nanodrähten usw. geeignet ist.
  • 12A, 12B, 12C veranschaulichen eine Struktur 1201 zum Bilden von Finnen mit variierenden Breiten gemäß einer Ausführungsform der vorliegenden Offenbarung. 12A ist eine perspektivische Ansicht der Struktur 1201. Sechs Ecken B1, B2, B3, B4, B5 und B6 der Struktur 1201 sind in 12A veranschaulicht. 12B ist eine Seitenansicht der Struktur 1201, die entlang der Ebene von B1, B2, B3 und B4 gezeigt ist. 12C ist eine Draufsicht der Struktur 1201, die entlang der Ebene von B1, B4, B6 und B5 gezeigt ist.
  • Unter Bezugnahme auf 12A-12C umfasst die Struktur 1201 eine Schicht 1202, die z. B. der Schicht 402 aus 4A-4C ähnlich ist. Wie hier später beschrieben wird, kann die Schicht 1202 verwendet werden, um die Finnen zu bilden und entsprechend kann das Material der Schicht 1202 das Material sein, das für die Finnen verwendet wird. Obwohl dies in 12A-12C nicht veranschaulicht ist, kann sich die Schicht 1202 bei manchen Ausführungsformen über einem Substrat, wie etwa dem Substrat 100 aus 1A, befinden.
  • Eine oder mehrere Hartmaskenschichten, wie etwa die Schichten 1204 und 1206 (die z. B. jeweils den Schichten 404, 406 aus 4A-4C ähnlich sind), können sich oberhalb der Schicht 1202 befinden, wie z. B. mit Bezug auf 4A-4C ausführlicher besprochen ist. Die Schichten 1210a und 1212a können oberhalb der Schicht 1206 gebildet werden und die Schichten 1210b und 1212b können oberhalb der Schicht 1206 gebildet werden. Zum Beispiel befindet sich die Schicht 1210a oberhalb der Schicht 1206 und maskiert die Schicht 1212a die Schicht 1210a. Gleichermaßen befindet sich die Schicht 1210b oberhalb der Schicht 1206 und maskiert die Schicht 1212b die Schicht 1210b. Die Schichten 1210a, 1212a können jeweils den Schichten 410, 412 aus 4A-4C ähnlich sein und die Schichten 1210b, 1212b können auch jeweils den Schichten 410, 412 aus 4A-4C ähnlich sein. Bei einem Beispiel kann eine Breite jeder der Schichten 1212a, 1212b kleiner als die Breite D1 der Schicht 412 aus 4A-4C sein. Lediglich als ein Beispiel kann die Breite jeder der Schichten 1212a, 1212b höchstens 20 nm, 10 nm, 8 nm, 5 nm, 4 nm oder 3 nm betragen.
  • Die zwei Abstandshalter 1220a und 1220b können konform auf zwei Seiten der Schichten 1212a, 1210a gebildet werden. Gleichermaßen können die zwei Abstandshalter 1221a und 1221b konform auf zwei Seiten der Schichten 1212b, 1210b gebildet werden. Die Abstandshalter 1220a, 1220b, 1221a, 1221b können den Abstandshaltern 420, 421 aus 4A-4C ähnlich sein.
  • 13 veranschaulicht eine Draufsicht der Struktur 1201, nachdem Abschnitte der Abstandshalter 1220a, 1220b, 1221a, 1221b aus 12A-12C entfernt wurden, gemäß einer Ausführungsform der vorliegenden Offenbarung. Zum Beispiel sind Abschnitte der Abstandshalter 1221a, 1221b, 1220a, 1220b durch Maskierungsschichten bedeckt und werden freigelegte Abschnitte der Abstandshalter 1221a, 1221b, 1220a, 1220b (die z. B. nicht durch die Maskierungsschichten bedeckt sind) geätzt und dann werden die Maskierungsschichten entfernt, wie z. B. zuvor ausführlicher mit Bezug auf 4D, 5A-5C besprochen wurde. Anisotropes Ätzen von Abschnitten der Abstandshalter 1221a, 1221b, 1220a, 1220b legt die Seitenwände der Schichten 1221a, 1221b, 1210a, 1210b frei. Die zum Ätzen ausgewählten Abschnitte basieren auf einem finalen gewünschten Profil der Finnen. Das Ätzen ist selektiv, so dass z. B. die Schichten 1212a, 1212b, 1206 nicht geätzt werden oder wenigstens nicht signifikant geätzt werden. Bei einem Beispiel weisen die verbleibenden Abschnitte der Schichten 1220a, 1220b, 1221a, 1221b eine Breite von X1 auf.
  • 14 veranschaulicht eine Draufsicht der Struktur 1201 nach einer konformen Abscheidung von zusätzlichem Abstandshaltermaterial auf den Abstandshaltern 1220a, 1220b, 1221a, 1221b aus 13 gemäß einer Ausführungsform der vorliegenden Offenbarung. Die Abstandshaltermaterialabscheidung kann zum Beispiel unter Verwendung von CVD, ALD und/oder durch eine beliebige angemessene Abstandshalterabscheidungstechnik durchgeführt werden. Aufgrund der konformen Abscheidung des Abstandshaltermaterials würden Abschnitte, in denen Abstandshalter zuvor entfernt wurden (wie z. B. mit Bezug auf 13 besprochen), gemäß manchen Ausführungsformen eine z. B. im Vergleich zu einer Breite X2 der Abschnitte, in denen die Abstandshalter nicht entfernt wurden, relativ kleinere Breite X3 aufweisen.
  • 15 veranschaulicht die Struktur 1201, nachdem Abschnitte der Abstandshalter 1220a, 1220b, 1221a, 1221b von der Struktur 1201 aus 14 entfernt wurden, gemäß einer Ausführungsform der vorliegenden Offenbarung. 15 veranschaulicht zum Beispiel die Draufsicht der Struktur 1201. Zum Beispiel sind, obwohl dies nicht veranschaulicht ist, Abschnitte der Abstandshalter 1221a, 1221b, 1220a, 1220b durch Maskierungsschichten bedeckt und werden freigelegte Abschnitte der Abstandshalter 1221a, 1221b, 1220a, 1220b (die z. B. nicht durch die Maskierungsschichten bedeckt sind) geätzt und dann werden die Maskierungsschichten entfernt, wie z. B. zuvor ausführlicher mit Bezug auf 4D, 5A-5C besprochen wurde. Die zum Ätzen ausgewählten Abschnitte basieren auf einem finalen gewünschten Profil der Finnen. Das Ätzen ist selektiv, so dass z. B. die Schichten 1212a, 1212b, 1206 nicht geätzt werden oder wenigstens nicht signifikant geätzt werden. Bei einem Beispiel weisen die verbleibenden Abschnitte der Schichten 1220a, 1220b, 1221a, 1221b Breiten von X3 und X2 auf, z. B. ähnlich zu 14.
  • 16 veranschaulicht eine Draufsicht der Struktur 1201 nach einer konformen Abscheidung von zusätzlichem Abstandshaltermaterial auf den Abstandshaltern 1220a, 1220b, 1221a, 1221b der Struktur 1201 aus 15 gemäß einer Ausführungsform der vorliegenden Offenbarung. Die Abstandshaltermaterialabscheidung kann unter Verwendung von CVD, ALD und/oder durch eine beliebige angemessene Abstandshalterabscheidungstechnik durchgeführt werden. Aufgrund der konformen Abscheidung des Abstandshaltermaterials würden Abschnitte, in denen Abstandshalter zuvor entfernt wurden (wie z. B. mit Bezug auf 16 besprochen), eine relativ kleinere Breite X6 aufweisen. Abschnitte der Abstandshalter, die zuvor eine Breite von X3 aufwiesen, weisen nun eine Breite von X5 auf; und Abschnitte der Abstandshalter, die zuvor eine Breite von X2 aufwiesen, weisen nun eine Breite von X4 auf. Wie zu sehen ist, ist X4 größer als X5, was größer als X6 ist.
  • In 16 wird eine Struktur, die die Schichten 1212b und Abstandshalter 1221a, 1221b umfasst, in Kombination als Struktur 1621 bezeichnet; und wird eine Struktur, die die Schichten 1212a und Abstandshalter 1220a, 1220b umfasst, in Kombination als Struktur 1620 bezeichnet. Die Strukturen 1620, 1621 wirken in einer zukünftigen Verarbeitung als eine Hartmaske für die zu bildenden Finnen. Das heißt, die entsprechenden zu bildenden Finnen weisen jeweils eine Form und Abmessungen auf, die im Wesentlichen denjenigen der jeweiligen Strukturen 1620, 1621 ähnlich sind.
  • 17A-17C veranschaulichen die Struktur 1201 nach dem Entfernen der Schichten 1206, 1204 und dem Transferieren der Strukturen 1620, 1621 jeweils als Finnen 1720, 1721 in die Schicht 1202 gemäß einer Ausführungsform der vorliegenden Offenbarung. Zum Beispiel werden, ähnlich den mit Bezug auf 10A-10C, 11A-11C besprochenen Techniken, die Schichten 1206, 1204 strukturiert, während die Strukturen 1620, 1621 als Hartmasken wirken, wodurch die Strukturierung der Struktur 1620, 1621 auf die Schicht 1204 übertragen wird und dann die Strukturen 1620, 1621 entfernt werden. Anschließend wirken die in der Schicht 1204 gebildeten Strukturen als eine Hartmaske, während die darunterliegende Schicht 1202 strukturiert wird, wodurch die Strukturierung der Struktur 1620, 1621 auf die Schicht 1202 übertragen wird, und dann wird die strukturierte Schicht 1204 entfernt. Dies führt zu den Mehrfachbreitenfinnen 1720 und 1721, die die strukturierte Schicht 1202 umfassen, wie in der Draufsicht aus 17A, der Seitenansicht aus 17B und der Seitenperspektivansicht aus 17C veranschaulicht ist.
  • Jede der Finnen 1720 und 1721 ist um eine zentrale Achse symmetrisch, die entlang der Länge und in der Mitte der jeweiligen Finne hindurchläuft, wie mit Bezug auf 3 besprochen ist. Des Weiteren weist jede der Finnen variierende Breiten auf. Zum Beispiel weist die Finne 1720 eine erste Breite X9 entlang einer ersten Länge der Finne, eine zweite Breite X8 entlang einer zweiten Länge der Finne und eine dritte Breite X7 entlang einer dritten Länge der Finne auf. Zum Beispiel kann ein Kanalgebiet eines ersten Transistors auf oder innerhalb des Abschnitts der Finne 1720 mit der ersten Breite X9 gebildet werden, kann ein Kanalgebiet eines zweiten Transistors auf oder innerhalb des Abschnitts der Finne 1720 mit der zweiten Breite X8 gebildet werden und kann ein Kanalgebiet eines dritten Transistors auf oder innerhalb des Abschnitts der Finne 1720 mit der dritten Breite X7 gebildet werden, wie z. B. auch mit Bezug auf 3 besprochen ist. Dementsprechend sind die Kanalgebiete des ersten, zweiten und dritten Transistors entlang einer imaginären Linie m3-m4 ausgerichtet (wie z. B. auch mit Bezug auf 3 besprochen ist), wobei die Linie m3-m4 entlang einer Länge der Finne 1720 verläuft. Des Weiteren ist die Finne 1720 im Wesentlichen um die Linie m3-m4 symmetrisch, wie mit Bezug auf 3 besprochen ist. Die gleiche Erörterung gilt auch für die Finne 1721.
  • Wie zuvor angemerkt, kann ein selektiver Ätz- und Ersetzungsprozess zum Ersetzen von wenigstens einem Teil einer oder mehrerer der Finnen 1720, 1721 mit Finnen, die ein anderes Halbleitermaterial aufweisen, auf eine ähnliche Weise gemäß einer Ausführungsform der vorliegenden Offenbarung ausgeführt werden, wie etwa zuvor mit Bezug auf 11D, 11E, 11F beschrieben wurde.
  • Es ist anzumerken, dass der Übergang der Breiten in den Finnen 1720, 1721 (und/oder, falls vorhanden, ihrer Ersetzungsfinnen) in 17A, 17C relativ scharf sind, was ein ideales Szenario sein kann. Jedoch kann in der Praxis ein Übergang einer Finne mit einer ersten Finnenbreite zu einem Abschnitt der Finne mit einer zweiten Breite über eine Länge (z. B. die Länge L1) der Finne übergehen, wie z. B. mit Bezug auf 3 ausführlicher besprochen ist. Somit können zum Beispiel Übergänge gemäß manchen Ausführungsformen relativ abrupt stattfinden, so dass die Seitenwand an dem Punkt, an dem der Übergang beginnt, im Wesentlichen orthogonal zu der Seite der Finne ist, wie etwa circa 90 Grad +/-5 Grad, aber bei anderen Ausführungsformen kann der Übergang über eine längere Entfernung stattfinden, so dass ein Übergangswinkel von 80 bis 95 Grad bereitgestellt wird.
  • 18A-18B veranschaulichen eine beispielhafte Integrierter-Schaltkreis-Struktur 1801 von Nanodrahttransistoren mit mehreren Breiten von Nanodrähten gemäß einer Ausführungsform der vorliegenden Offenbarung. Diese Ausführungsform ist wenigstens teilweise einer Ausführungsform mit einer Volumenfinne ähnlich. Jedoch beinhaltet die Kanalstruktur unter der Gate-Struktur in diesem Beispielfall erste und zweite Nanodrähte (oder, je nach Fall, Nanobänder oder Nanoplatten) 1804a, 1804, 1805a, 1805b, wie am besten in 18B gezeigt ist (die die Kanalstruktur ohne die Gate-Struktur oder Source/Drain-Gebiete an ihrer Stelle zeigt). Während in 18A-18B zwei Drähte für einzelne Kanalgebiete gezeigt sind, können andere Ausführungsformen weniger Drähte (einen) oder mehr Drähte (z. B. drei, vier oder mehr) beinhalten. Die Drähte können zum Beispiel nativ zu einer mehrschichtigen Substratstruktur oder ein Ersetzungsmaterial (z. B. SiGe-Nanodrähte oberhalb eines Siliciumsubstrats) sein.
  • Wie weiter in 18B zu sehen ist, sind die Breiten der Nanodrähte der Kanalgebiete 1804a, 1804, 1805a, 1805b als Wp, Wq, Wr bzw. Ws beschriftet. Zum Beispiel weisen die zwei Nanodrähte für das Kanalgebiet 1804a jeweils die Breite Wp auf, weisen die zwei Nanodrähte für das Kanalgebiet 1804b jeweils die Breite Wq auf und so weiter. Wie zu sehen ist, können die Nanodrähte signifikant variierende Breiten aufweisen. Bei manchen Ausführungsformen können die Nanodrähte unter Verwendung der mit Bezug auf 4A-11F besprochenen Methodologien gebildet werden. Bei anderen Ausführungsformen können die Nanodrähte unter Verwendung der mit Bezug auf 12A-17C besprochenen Methodologien gebildet werden. Die Erörterung, die mit den Finnen durch diese Offenbarung hinweg assoziiert ist, ist auch auf die Nanodrähte der Struktur 1801 aus 18A-18B anwendbar, wie es sich versteht.
  • Beispielhaftes System
  • 19 veranschaulicht ein beispielhaftes Rechensystem 2000, das mit Integrierter-Schaltkreis-Strukturen oder -Vorrichtungen implementiert ist, die unter Verwendung der hier offenbarten Techniken gebildet sind, gemäß einer oder mehreren Ausführungsformen der vorliegenden Offenbarung. Wie zu sehen ist, beherbergt das Rechensystem 2000 eine Hauptplatine 2002. Die Hauptplatine 2002 kann eine Anzahl an Komponenten beinhalten, die unter anderem einen Prozessor 2004 und wenigstens einen Kommunikationschip 2006 beinhalten, die jeweils physisch und elektrisch mit der Hauptplatine 2002 gekoppelt oder anderweitig darin integriert sein können. Wie es sich versteht, kann die Hauptplatine 2002 zum Beispiel eine beliebige Leiterplatte sein, unabhängig davon, ob dies eine Hauptplatine, eine Zusatzplatine, die auf einer Hauptplatine montiert ist, oder die einzige Platine des Systems 2000 usw. ist.
  • In Abhängigkeit von ihren Anwendungen kann das Rechensystem 2000 eine oder mehrere andere Komponenten beinhalten, die mit der Hauptplatine 2002 physisch und elektrisch gekoppelt sein können oder auch nicht. Diese anderen Komponenten können unter anderem flüchtigen Speicher (z. B. DRAM), nichtflüchtigen Speicher (z. B. ROM), einen Grafikprozessor, einen digitalen Signalprozessor, einen Kryptoprozessor, einen Chipsatz, eine Antenne, eine Anzeige, eine Berührungsbildschirmanzeige, eine Berührungsbildschirmsteuerung, eine Batterie, einen Audiocodec, einen Videocodec, einen Leistungsverstärker, eine Globales-Positionierungssystem(GPS)-Vorrichtung, einen Kompass, einen Beschleunigungsmesser, ein Gyroskop, einen Lautsprecher, eine Kamera und eine Massenspeichervorrichtung (wie ein Festplattenlaufwerk, eine Compact-Disk (CD), eine Digital-Versatile-Disk (DVD) und so weiter) beinhalten. Beliebige der Komponenten, die in dem Rechensystem 2000 enthalten sind, können eine oder mehrere Integrierter-Schaltkreis-Strukturen oder -Vorrichtungen beinhalten, die unter Verwendung der offenbarten Techniken gemäß einem Ausführungsbeispiel gebildet sind. Bei manchen Ausführungsformen können mehrere Funktionen in einen oder mehrere Chips integriert sein (z. B. wird angemerkt, dass der Kommunikationschip 2006 beispielsweise Teil des Prozessors 2004 sein kann oder anderweitig in diesen integriert sein kann).
  • Der Kommunikationschip 2006 ermöglicht drahtlose Kommunikationen für die Übertragung von Daten zu und von dem Rechensystem 2000. Der Begriff „drahtlos“ und seine Ableitungen können verwendet werden, um Schaltkreise, Vorrichtungen, Systeme, Verfahren, Techniken, Kommunikationskanäle usw. zu beschreiben, die durch die Verwendung von modulierter elektromagnetischer Strahlung durch ein nichtfestes Medium Daten kommunizieren können. Der Ausdruck impliziert nicht, dass die assoziierten Vorrichtungen keinerlei Drähte enthalten, obwohl dies bei manchen Ausführungsformen der Fall sein kann. Der Kommunikationschip 2006 kann beliebige einer Anzahl an drahtlosen Standards oder Protokollen implementieren, einschließlich unter anderem Wi-Fi (IEEE-802.11-Familie), WiMAX (IEEE-802.16-Familie), IEEE-802.20, Long Term Evolution (LTE), Ev-DO, HSPA+, HSDPA+, HSUPA+, EDGE, GSM, GPRS, CDMA, TDMA, DECT, Bluetooth, Ableitungen derselben sowie beliebiger anderer Drahtlosprotokolle, die als 3G, 4G, 5G und darüber hinaus bezeichnet werden. Das Rechensystem 2000 kann mehrere Kommunikationschips 2006 beinhalten. Beispielsweise kann ein erster Kommunikationschip 2006 kürzerreichweitiger drahtloser Kommunikation, wie etwa Wi-Fi und Bluetooth, gewidmet sein und kann ein zweiter Kommunikationschip 2006 längerreichweitiger drahtloser Kommunikation, wie etwa GPS, EDGE, GPRS, CDMA, WiMAX, LTE, Ev-DO und anderen, gewidmet sein.
  • Der Prozessor 2004 des Rechensystems 2000 beinhaltet einen Integrierter-Schaltkreis-Die, der innerhalb des Prozessors 2004 gekapselt ist. Bei manchen Ausführungsformen beinhaltet der integrierte Schaltkreis-Die des Prozessors eine Onboard-Schaltungsanordnung, die mit einer oder mehreren integrierten Schaltkreisstrukturen oder -vorrichtungen implementiert ist, die unter Verwendung der offenbarten Techniken gebildet sind, wie hier verschiedentlich beschrieben. Der Ausdruck „Prozessor“ kann auf eine beliebige Vorrichtung oder einen beliebigen Teil einer Vorrichtung verweisen, die bzw. der beispielsweise elektronische Daten aus Registern und/oder einem Speicher verarbeitet, um diese elektronischen Daten in andere elektronische Daten zu transformieren, die in Registern und/oder einem Speicher gespeichert werden können.
  • Der Kommunikationschip 2006 kann auch einen Integrierter-Schaltkreis-Die beinhalten, der innerhalb des Kommunikationschips 2006 gekapselt ist. Gemäß manchen solchen Ausführungsbeispielen beinhaltet der Integrierter-Schaltkreis-Die des Kommunikationschips eine oder mehrere Integrierter-Schaltkreis-Strukturen oder -vorrichtungen, die unter Verwendung der offenbarten Techniken gebildet sind, wie hier verschiedentlich beschrieben. Wie es sich in Anbetracht dieser Offenbarung versteht, wird angemerkt, dass eine Mehrfachstandard-Drahtlosfähigkeit direkt in den Prozessor 2004 integriert werden kann (z. B. wenn die Funktionalität beliebiger Chips 2006 in den Prozessor 2004 integriert wird, anstatt separate Kommunikationschips aufzuweisen). Ferner wird angemerkt, dass der Prozessor 2004 ein Chipsatz mit einer solchen Drahtlosfähigkeit sein kann. Kurzgefasst können eine beliebige Anzahl an Prozessoren 2004 und/oder Kommunikationschips 2006 verwendet werden. Gleichermaßen kann ein beliebiger Chip oder Chipsatz mehrere darin integrierte Funktionen aufweisen.
  • Bei verschiedenen Implementierungen kann die Rechenvorrichtung 2000 ein Laptop, ein Netbook, ein Notebook, ein Smartphone, ein Tablet, ein persönlicher digitaler Assistent (PDA), ein ultramobiler PC, ein Mobiltelefon, ein Desktop-Computer, ein Server, ein Drucker, ein Scanner, ein Monitor, eine Settop-Box, eine Unterhaltungssteuereinheit, eine Digitalkamera, ein tragbarer Musikspieler, ein digitaler Videorecorder oder eine beliebige andere elektronische Vorrichtung sein, die Daten verarbeitet oder eine oder mehrere integrierte Schaltkreisstrukturen oder -vorrichtungen einsetzt, die unter Verwendung der offenbarten Techniken, wie hier verschiedentlich beschrieben, gebildet sind.
  • Weitere Ausführungsbeispiele
  • Die folgenden Beispiele betreffen weitere Ausführungsformen, aus denen zahlreiche Permutationen und Konfigurationen ersichtlich werden.
  • Beispiel 1 ist eine Halbleitervorrichtung, die Folgendes umfasst: einen Körper, der ein Halbleitermaterial umfasst und eine Länge aufweist, wobei der Körper ferner eine erste Breite bei einem ersten Teil der Länge aufweist und eine zweite Breite bei einem zweiten Teil der Länge aufweist, wobei die erste und zweite Breite senkrecht zu der Länge sind, wobei die erste Breite um 2 nm oder mehr von der zweiten Breite verschieden ist, eine erste Gate-Struktur wenigstens auf einer Oberseite und Seitenwänden des ersten Teils des Körpers; und eine zweite Gate-Struktur wenigstens auf einer Oberseite und Seitenwänden des zweiten Teils des Körpers.
  • Beispiel 2 beinhaltet den Gegenstand aus Beispiel 1, wobei die erste Breite weniger als 10 nm beträgt und die zweite Breite mehr als 12 nm beträgt.
  • Beispiel 3 beinhaltet den Gegenstand aus einem der Beispiele 1-2, wobei der Körper ein erster Körper ist, wobei die Vorrichtung ferner Folgendes umfasst: einen zweiten Körper, der ein Halbleitermaterial umfasst und eine Länge aufweist, wobei der zweite Körper ferner eine dritte Breite bei einem ersten Teil seiner Länge aufweist und eine vierte Breite bei einem zweiten Teil seiner Länge aufweist, wobei die dritte und vierte Breite senkrecht zu der Länge des zweiten Körpers sind und um 2 nm oder mehr voneinander verschieden sind; eine dritte Gate-Struktur wenigstens auf einer Oberseite und Seitenwänden des ersten Teils des zweiten Körpers; und eine vierte Gate-Struktur wenigstens auf einer Oberseite und Seitenwänden des zweiten Teils des zweiten Körpers.
  • Beispiel 4 beinhaltet den Gegenstand aus Beispiel 3, wobei sowohl der erste Körper als auch der zweite Körper eine erste Seite und eine gegenüberliegende zweite Seite aufweisen, wobei die erste Seite des ersten Körpers der ersten Seite des zweiten Körpers zugewandt ist, wobei die ersten Seiten des ersten und zweiten Körpers eine Entfernung zwischen ihnen aufweisen, die entlang ihrer jeweiligen Längen um weniger als 1 nm variiert.
  • Beispiel 5 beinhaltet den Gegenstand aus Beispiel 3, wobei sowohl der erste Körper als auch der zweite Körper eine erste Seite und eine gegenüberliegende zweite Seite aufweisen, wobei die erste Seite des ersten Körpers der ersten Seite des zweiten Körpers zugewandt ist, wobei die ersten Seiten eine Entfernung zwischen ihnen aufweisen, die entlang ihrer jeweiligen Längen aufgrund von Änderungen der Breite der jeweiligen Körper um mehr als 1 nm variiert.
  • Beispiel 6 beinhaltet den Gegenstand aus einem der Beispiele 3-5, wobei die erste, zweite, dritte und/oder vierte Gate-Struktur sich um ihren jeweiligen Körperteil herumlegt, um eine Gate-All-Around-Konfiguration bereitzustellen.
  • Beispiel 7 beinhaltet den Gegenstand aus einem der Beispiele 3-5, wobei sich die erste, zweite, dritte und/oder vierte Gate-Struktur auf der Oberseite und den Seiten ihres jeweiligen Körperteils befindet, um eine Tri-Gate-Konfiguration bereitzustellen.
  • Beispiel 8 beinhaltet den Gegenstand aus einem der Beispiele 3-7, wobei das Halbleitermaterial des ersten Körpers bezüglich der Zusammensetzung von dem Halbleitermaterial des zweiten Körpers distinkt ist.
  • Beispiel 9 beinhaltet den Gegenstand aus einem der Beispiele 3-8, wobei das Halbleitermaterial des ersten Körpers ein monokristallines Gruppe-IV-Halbleitermaterial umfasst und das Halbleitermaterial des zweiten Körpers ein monokristallines Gruppe-III-V-Halbleitermaterial umfasst.
  • Beispiel 10 beinhaltet den Gegenstand aus einem der Beispiele 1-9, wobei eine Linienbreitenrauigkeit (LWR: Line Width Roughness) des ersten Teils der Länge des Körpers höchstens 7 Ängström beträgt.
  • Beispiel 11 beinhaltet den Gegenstand aus einem der Beispiele 1-10, wobei ein Übergang von der ersten Breite zu der zweiten Breite in dem Körper mit einem Übergangswinkel von 85 bis 90 Grad auftritt.
  • Beispiel 12 beinhaltet den Gegenstand aus einem der Beispiele 1-11, wobei ein Übergang von der ersten Breite zu der zweiten Breite in dem Körper innerhalb von weniger als 1 nm entlang einer Länge des Körpers auftritt.
  • Beispiel 13 beinhaltet den Gegenstand aus einem der Beispiele 1-12, wobei der Körper eine erste Seite und eine gegenüberliegende zweite Seite aufweist und der Übergang von der ersten Breite zu der zweiten Breite insofern auf eine symmetrische Weise erreicht wird, dass sowohl die erste als auch zweite Seite des Körpers sich relativ zu einer imaginären Mittelachse ändern, die entlang der Länge des Körpers verläuft.
  • Beispiel 14 beinhaltet den Gegenstand aus einem der Beispiele 1-13, wobei der Körper eine erste Seite und eine gegenüberliegende zweite Seite aufweist und der Übergang von der ersten Breite zu der zweiten Breite insofern auf eine asymmetrische Weise erreicht wird, dass die erste Seite oder die zweite Seite des Körpers variiert, um die Änderung der Breite bereitzustellen, und die andere der ersten und zweiten Seite des Körpers relativ konstant verbleibt.
  • Beispiel 15 beinhaltet den Gegenstand aus einem der Beispiele 1-14, wobei die erste und zweite Gate-Struktur jeweils eine Gate-Elektrode und ein Gate-Dielektrikum umfassen, wobei sich das Gate-Dielektrikum zwischen der Gate-Elektrode und dem Körper befindet.
  • Beispiel 16 beinhaltet den Gegenstand aus einem der Beispiele 1-15, wobei sich die erste Breite um wenigstens 8 nm von der zweiten Breite unterscheidet.
  • Beispiel 17 ist ein Rechensystem, das den Gegenstand aus einem der Beispiele 1-16 umfasst.
  • Beispiel 18 ist ein integrierter Schaltkreis, der Folgendes umfasst: einen ersten Körper und einen zweiten Körper, die ein Halbleitermaterial umfassen, wobei der erste Körper und der zweite Körper so ausgerichtet sind, dass eine erste imaginäre Linie, die durch ein Zentrum des ersten Körpers entlang einer Länge des ersten Körpers hindurchgeht, im Wesentlichen durch ein Zentrum des zweiten Körpers entlang einer Länge des zweiten Körpers hindurchgeht; einen dritten Körper und einen vierten Körper, die ein Halbleitermaterial umfassen, wobei der dritte Körper und der vierte Körper so ausgerichtet sind, dass eine zweite imaginäre Linie, die durch ein Zentrum des dritten Körpers entlang einer Länge des dritten Körpers hindurchgeht, im Wesentlichen durch ein Zentrum des vierten Körpers entlang einer Länge des vierten Körpers hindurchgeht; eine erste Gate-Struktur über dem ersten Körper und dem dritten Körper; und eine zweite Gate-Struktur über dem zweiten Körper und dem vierten Körper; wobei sich eine laterale Entfernung zwischen einer Seitenwand des ersten Körpers und einer Seitenwand des dritten Körpers wesentlich von einer lateralen Entfernung zwischen einer Seitenwand des zweiten Körpers und einer Seitenwand des vierten Körpers unterscheidet, wobei die Seitenwand des ersten Körpers der Seitenwand des dritten Körpers zugewandt ist und die Seitenwand des zweiten Körpers der Seitenwand des vierten Körpers zugewandt ist.
  • Beispiel 19 beinhaltet den Gegenstand aus Beispiel 18, wobei der integrierte Schaltkreis ferner Folgendes umfasst: ein erstes Source- oder Drain-Gebiet zwischen dem ersten Körper und dem zweiten Körper; und ein zweites Source- oder Drain-Gebiet zwischen dem dritten Körper und dem vierten Körper.
  • Beispiel 20 beinhaltet den Gegenstand aus einem der Beispiele 18-19, wobei: eine erste Breite des ersten Körpers um wenigstens 6 Nanometer von wenigstens einem von Folgendem abweicht: einer zweiten Breite des zweiten Körpers, einer dritten Breite des dritten Körpers oder einer vierten Breite des vierten Körpers; die erste Breite und die zweite Breite entlang einer Richtung senkrecht zu der ersten imaginären Linie sind, die durch das Zentrum des ersten Körpers hindurchgeht; und die dritte Breite und die vierte Breite entlang einer Richtung senkrecht zu der zweiten imaginären Linie sind, die durch das Zentrum des dritten Körpers hindurchgeht.
  • Beispiel 21 beinhaltet den Gegenstand aus einem der Beispiele 18-20, wobei sich die erste und/oder zweite Gate-Struktur um einen oder mehrere ihrer jeweiligen Körper herumlegt, um eine Gate-All-Around-Konfiguration bereitzustellen.
  • Beispiel 22 beinhaltet den Gegenstand aus einem der Beispiele 18-20, wobei sich die erste und/oder zweite Gate-Struktur auf der Oberseite und den Seiten eines oder mehrerer ihrer jeweiligen Körper befindet, um eine Tri-Gate-Konfiguration bereitzustellen.
  • Beispiel 23 beinhaltet den Gegenstand aus einem der Beispiele 18-22, wobei das Halbleitermaterial des ersten und zweiten Körpers bezüglich der Zusammensetzung von dem Halbleitermaterial des dritten und vierten Körpers distinkt ist.
  • Beispiel 24 beinhaltet den Gegenstand aus einem der Beispiele 18-23, wobei das Halbleitermaterial des ersten und zweiten Körpers ein monokristallines Gruppe-IV-Halbleitermaterial umfasst und das Halbleitermaterial des dritten und vierten Körpers ein monokristallines Gruppe-III-V-Halbleitermaterial umfasst.
  • Beispiel 25 beinhaltet den Gegenstand aus einem der Beispiele 18-24, wobei die erste und zweite Gate-Struktur jeweils eine Gate-Elektrode und ein Gate-Dielektrikum umfassen, wobei sich das Gate-Dielektrikum zwischen der Gate-Elektrode und dem entsprechenden Körper befindet.
  • Beispiel 26 ist ein integrierter Schaltkreis, der Folgendes umfasst: einen ersten Körper und einen zweiten Körper, die ein Halbleitermaterial umfassen, wobei der erste Körper eine erste Seitenwand aufweist und der zweite Körper eine zweite Seitenwand aufweist, die kollinear zu der ersten Seitenwand ist; einen dritten Körper und einen vierten Körper, die ein Halbleitermaterial umfassen, wobei der dritte Körper eine dritte Seitenwand aufweist und der vierte Körper eine vierte Seitenwand aufweist, die kollinear zu der dritten Seitenwand ist; und eine erste Gate-Struktur über dem ersten Körper und dem dritten Körper; und eine zweite Gate-Struktur über dem zweiten Körper und dem vierten Körper; wobei eine laterale Entfernung zwischen der ersten Seitenwand des ersten Körpers und der dritten Seitenwand des dritten Körpers im Wesentlichen gleich einer lateralen Entfernung zwischen der zweiten Seitenwand des zweiten Körpers und der vierten Seitenwand des vierten Körpers ist, wobei die erste Seitenwand des ersten Körpers der dritten Seitenwand des dritten Körpers zugewandt ist und die zweite Seitenwand des zweiten Körpers der vierten Seitenwand des vierten Körpers zugewandt ist, und wobei eine erste Breite des ersten Körpers um wenigstens 6 Nanometer von wenigstens einem von Folgendem abweicht: einer zweiten Breite des zweiten Körpers, einer dritten Breite des dritten Körpers oder einer vierten Breite des vierten Körpers, wobei die erste Breite und die zweite Breite entlang einer Richtung senkrecht zu der ersten Seitenwand sind und die dritte Breite und die vierte Breite entlang einer Richtung senkrecht zu der dritten Seitenwand sind.
  • Beispiel 27 beinhaltet den Gegenstand aus Beispiel 26, wobei der integrierte Schaltkreis ferner Folgendes umfasst: ein erstes Source- oder Drain-Gebiet zwischen dem ersten Körper und dem zweiten Körper; und ein zweites Source- oder Drain-Gebiet zwischen dem dritten Körper und dem vierten Körper.
  • Beispiel 28 beinhaltet den Gegenstand aus einem der Beispiele 26-27, wobei sich die erste und/oder zweite Gate-Struktur um einen oder mehrere ihrer jeweiligen Körper herumlegt, um eine Gate-All-Around-Konfiguration bereitzustellen.
  • Beispiel 29 beinhaltet den Gegenstand aus einem der Beispiele 26-27, wobei sich die erste und/oder zweite Gate-Struktur auf der Oberseite und den Seiten eines oder mehrerer ihrer jeweiligen Körper befindet, um eine Tri-Gate-Konfiguration bereitzustellen.
  • Beispiel 30 beinhaltet den Gegenstand aus einem der Beispiele 26-29, wobei das Halbleitermaterial des ersten und zweiten Körpers bezüglich der Zusammensetzung von dem Halbleitermaterial des dritten und vierten Körpers distinkt ist.
  • Beispiel 31 beinhaltet den Gegenstand aus einem der Beispiele 26-30, wobei das Halbleitermaterial des ersten und zweiten Körpers ein monokristallines Gruppe-IV-Halbleitermaterial umfasst und das Halbleitermaterial des dritten und vierten Körpers ein monokristallines Gruppe-III-V-Halbleitermaterial umfasst.
  • Beispiel 32 beinhaltet den Gegenstand aus einem der Beispiele 26-31, wobei die erste und zweite Gate-Struktur jeweils eine Gate-Elektrode und ein Gate-Dielektrikum umfassen, wobei sich das Gate-Dielektrikum zwischen der Gate-Elektrode und dem entsprechenden Körper befindet.
  • Beispiel 33 beinhaltet den Gegenstand aus einem der Beispiele 26-32, wobei eine Linienbreitenrauigkeit (LWR: Line Width Roughness) des ersten Körpers höchstens 7 Ängström beträgt.
  • Beispiel 34 ist ein Verfahren zum Bilden einer Finne für zwei oder mehr Transistoren, wobei das Verfahren Folgendes umfasst: Bereitstellen einer ersten Schicht, die ein Material für die Finne umfasst; Bilden einer oder mehrerer Schichten, die ein Hartmaskenmaterial umfassen, oberhalb der ersten Schicht; Bilden einer ersten Struktur oberhalb der einen oder der mehreren Schichten, wobei die erste Struktur wenigstens einen ersten Abschnitt und einen zweiten Abschnitt aufweist, wobei eine Breite des ersten Abschnitts verschieden von einer Breite des zweiten Abschnitts ist und wobei die Breiten des ersten und zweiten Abschnitts entlang einer Richtung sind, die senkrecht zu einer Länge der ersten Struktur ist; Strukturieren der einen oder mehreren Schichten mit der ersten Struktur als eine Maskierungsschicht und anschließendes Entfernen der ersten Struktur, so dass die erste Struktur als eine zweite Struktur in die eine oder die mehreren Schichten transferiert wird; und Strukturieren der ersten Schicht mit der zweiten Struktur als eine Maskierungsschicht und anschließendes Entfernen der zweiten Struktur, so dass die zweite Struktur als eine Finne in die erste Schicht transferiert wird.
  • Beispiel 34 beinhaltet den Gegenstand aus Beispiel 34, wobei das Bilden der ersten Struktur Folgendes umfasst: Entfernen der ersten Struktur bei dem ersten Abschnitt, ohne die erste Struktur bei dem zweiten Abschnitt zu entfernen; und konformes Abscheiden von Material der ersten Struktur, um die erste Struktur zu erweitern, so dass die Breite des zweiten Abschnitts größer als die Breite des ersten Abschnitts ist.
  • Beispiel 36 beinhaltet den Gegenstand aus einem der Beispiele 34-35, wobei die Struktur einen ersten Teil, der auf einer ersten Seite einer zweiten Schicht gebildet ist, die ein Hartmaskenmaterial umfasst, und einen zweiten Teil, der auf einer zweiten Seite der zweiten Schicht gebildet wird, umfasst und wobei das Bilden der ersten Struktur Folgendes umfasst: Entfernen der zweiten Schicht, um einen Spalt zwischen dem ersten Teil und dem zweiten Teil zu bilden, wobei eine laterale Entfernung des Spalts entlang der Länge der Struktur im Wesentlichen konstant ist.
  • Die vorangehende Beschreibung von Ausführungsbeispielen wurde zum Zwecke der Veranschaulichung und Beschreibung präsentiert. Sie bezweckt nicht, erschöpfend zu sein oder die vorliegende Offenbarung auf die offenbarten präzisen Formen zu beschränken. Viele Modifikationen und Variationen sind in Anbetracht dieser Offenbarung möglich. Es wird bezweckt, dass der Schutzbereich der vorliegenden Offenbarung nicht durch diese ausführliche Beschreibung, sondern vielmehr durch die hier anliegenden Ansprüche beschränkt ist. Zukünftige eingereichte Anmeldungen, die Priorität gegenüber dieser Anmeldung beanspruchen, können den offenbarten Gegenstand auf eine andere Art und Weise beanspruchen und können allgemein einen beliebigen Satz von einer oder mehreren Einschränkungen, wie vorliegend verschiedenartig offenbart oder anderweitig aufgezeigt, beinhalten.
  • ZITATE ENTHALTEN IN DER BESCHREIBUNG
  • Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.
  • Zitierte Patentliteratur
    • US 2014/0027860 [0022]
    • US 2016/0260802 [0022]

Claims (25)

  1. Halbleitervorrichtung, die Folgendes umfasst: einen Körper, der ein Halbleitermaterial umfasst und eine Länge aufweist, wobei der Körper ferner eine erste Breite bei einem ersten Teil der Länge aufweist und eine zweite Breite bei einem zweiten Teil der Länge aufweist, wobei die erste und zweite Breite senkrecht zu der Länge sind, wobei die erste Breite um 2 nm oder mehr von der zweiten Breite verschieden ist; eine erste Gate-Struktur wenigstens auf einer Oberseite und Seitenwänden des ersten Teils des Körpers; und eine zweite Gate-Struktur wenigstens auf einer Oberseite und Seitenwänden des zweiten Teils des Körpers.
  2. Vorrichtung nach Anspruch 1, wobei die erste Breite weniger als 10 nm beträgt und die zweite Breite mehr als 12 nm beträgt.
  3. Vorrichtung nach einem der Ansprüche 1-2, wobei der Körper ein erster Körper ist, wobei die Vorrichtung ferner Folgendes umfasst: einen zweiten Körper, der ein Halbleitermaterial umfasst und eine Länge aufweist, wobei der zweite Körper ferner eine dritte Breite bei einem ersten Teil seiner Länge aufweist und eine vierte Breite bei einem zweiten Teil seiner Länge aufweist, wobei die dritte und vierte Breite senkrecht zu der Länge des zweiten Körpers sind und um 2 nm oder mehr voneinander verschieden sind; eine dritte Gate-Struktur wenigstens auf einer Oberseite und Seitenwänden des ersten Teils des zweiten Körpers; und eine vierte Gate-Struktur wenigstens auf einer Oberseite und Seitenwänden des zweiten Teils des zweiten Körpers.
  4. Vorrichtung nach Anspruch 3, wobei sowohl der erste Körper als auch der zweite Körper eine erste Seite und eine gegenüberliegende zweite Seite aufweisen, wobei die erste Seite des ersten Körpers der ersten Seite des zweiten Körpers zugewandt ist, wobei die ersten Seiten des ersten und zweiten Körpers eine Entfernung zwischen ihnen aufweisen, die entlang ihrer jeweiligen Längen um weniger als 1 nm variiert.
  5. Vorrichtung nach Anspruch 3, wobei sowohl der erste Körper als auch der zweite Körper eine erste Seite und eine gegenüberliegende zweite Seite aufweisen, wobei die erste Seite des ersten Körpers der ersten Seite des zweiten Körpers zugewandt ist, wobei die ersten Seiten eine Entfernung zwischen ihnen aufweisen, die entlang ihrer jeweiligen Längen aufgrund von Änderungen der Breite der jeweiligen Körper um mehr als 1 nm variiert.
  6. Vorrichtung nach einem der Ansprüche 3-5, wobei die erste, zweite, dritte und/oder vierte Gate-Struktur sich um ihren jeweiligen Körperteil herumlegt, um eine Gate-All-Around-Konfiguration bereitzustellen.
  7. Vorrichtung nach einem der Ansprüche 3-5, wobei sich die erste, zweite, dritte und/oder vierte Gate-Struktur auf der Oberseite und den Seiten ihres jeweiligen Körperteils befindet, um eine Tri-Gate-Konfiguration bereitzustellen.
  8. Vorrichtung nach einem der Ansprüche 3-7, wobei das Halbleitermaterial des ersten Körpers bezüglich der Zusammensetzung von dem Halbleitermaterial des zweiten Körpers distinkt ist.
  9. Vorrichtung nach einem der Ansprüche 1-8, wobei eine Linienbreitenrauigkeit (LWR: Line Width Roughness) des ersten Teils der Länge des Körpers höchstens 7 Ängström beträgt.
  10. Vorrichtung nach einem der Ansprüche 1-9, wobei ein Übergang von der ersten Breite zu der zweiten Breite in dem Körper mit einem Übergangswinkel von 85 bis 90 Grad auftritt.
  11. Vorrichtung nach einem der Ansprüche 1-10, wobei ein Übergang von der ersten Breite zu der zweiten Breite in dem Körper innerhalb von weniger als 1 nm entlang einer Länge des Körpers auftritt.
  12. Vorrichtung nach einem der Ansprüche 1-11, wobei der Körper eine erste Seite und eine gegenüberliegende zweite Seite aufweist und der Übergang von der ersten Breite zu der zweiten Breite insofern auf eine symmetrische Weise erreicht wird, dass sowohl die erste als auch zweite Seite des Körpers sich relativ zu einer imaginären Mittelachse ändern, die entlang der Länge des Körpers verläuft.
  13. Vorrichtung nach einem der Ansprüche 1-11, wobei der Körper eine erste Seite und eine gegenüberliegende zweite Seite aufweist und der Übergang von der ersten Breite zu der zweiten Breite insofern auf eine asymmetrische Weise erreicht wird, dass die erste Seite oder die zweite Seite des Körpers variiert, um die Änderung der Breite bereitzustellen, und die andere der ersten und zweiten Seite des Körpers relativ konstant verbleibt.
  14. Vorrichtung nach einem der Ansprüche 1-13, wobei sich die erste Breite um wenigstens 8 nm von der zweiten Breite unterscheidet.
  15. Rechensystem, das die Vorrichtung nach einem der Ansprüche 1-14 umfasst.
  16. Integrierter Schaltkreis, der Folgendes umfasst: einen ersten Körper und einen zweiten Körper, die ein Halbleitermaterial umfassen, wobei der erste Körper und der zweite Körper so ausgerichtet sind, dass eine erste imaginäre Linie, die durch ein Zentrum des ersten Körpers entlang einer Länge des ersten Körpers hindurchgeht, im Wesentlichen durch ein Zentrum des zweiten Körpers entlang einer Länge des zweiten Körpers hindurchgeht; einen dritten Körper und einen vierten Körper, die ein Halbleitermaterial umfassen, wobei der dritte Körper und der vierte Körper so ausgerichtet sind, dass eine zweite imaginäre Linie, die durch ein Zentrum des dritten Körpers entlang einer Länge des dritten Körpers hindurchgeht, im Wesentlichen durch ein Zentrum des vierten Körpers entlang einer Länge des vierten Körpers hindurchgeht; eine erste Gate-Struktur über dem ersten Körper und dem dritten Körper; und eine zweite Gate-Struktur über dem zweiten Körper und dem vierten Körper; wobei sich eine laterale Entfernung zwischen einer Seitenwand des ersten Körpers und einer Seitenwand des dritten Körpers wesentlich von einer lateralen Entfernung zwischen einer Seitenwand des zweiten Körpers und einer Seitenwand des vierten Körpers unterscheidet, wobei die Seitenwand des ersten Körpers der Seitenwand des dritten Körpers zugewandt ist und die Seitenwand des zweiten Körpers der Seitenwand des vierten Körpers zugewandt ist.
  17. Integrierte Schaltung nach Anspruch 16, die ferner Folgendes umfasst: ein erstes Source- oder Drain-Gebiet zwischen dem ersten Körper und dem zweiten Körper; und ein zweites Source- oder Drain-Gebiet zwischen dem dritten Körper und dem vierten Körper.
  18. Integrierter Schaltkreis nach einem der Ansprüche 16-17, wobei: eine erste Breite des ersten Körpers um wenigstens 6 Nanometer von wenigstens einem von Folgendem abweicht: einer zweiten Breite des zweiten Körpers, einer dritten Breite des dritten Körpers oder einer vierten Breite des vierten Körpers; die erste Breite und die zweite Breite entlang einer Richtung senkrecht zu der ersten imaginären Linie sind, die durch das Zentrum des ersten Körpers hindurchgeht; und die dritte Breite und die vierte Breite entlang einer Richtung senkrecht zu der zweiten imaginären Linie sind, die durch das Zentrum des dritten Körpers hindurchgeht.
  19. Integrierte Schaltung, die Folgendes umfasst: einen ersten Körper und einen zweiten Körper, die ein Halbleitermaterial umfassen, wobei der erste Körper eine erste Seitenwand aufweist und der zweite Körper eine zweite Seitenwand aufweist, die kollinear zu der ersten Seitenwand ist; einen dritten Körper und einen vierten Körper, die ein Halbleitermaterial umfassen, wobei der dritte Körper eine dritte Seitenwand aufweist und der vierte Körper eine vierte Seitenwand aufweist, die kollinear zu der dritten Seitenwand ist; und eine erste Gate-Struktur über dem ersten Körper und dem dritten Körper; und eine zweite Gate-Struktur über dem zweiten Körper und dem vierten Körper; wobei eine laterale Entfernung zwischen der ersten Seitenwand des ersten Körpers und der dritten Seitenwand des dritten Körpers im Wesentlichen gleich einer lateralen Entfernung zwischen der zweiten Seitenwand des zweiten Körpers und der vierten Seitenwand des vierten Körpers ist, wobei die erste Seitenwand des ersten Körpers der dritten Seitenwand des dritten Körpers zugewandt ist und die zweite Seitenwand des zweiten Körpers der vierten Seitenwand des vierten Körpers zugewandt ist, und wobei eine erste Breite des ersten Körpers um wenigstens 6 Nanometer von wenigstens einem von Folgendem abweicht: einer zweiten Breite des zweiten Körpers, einer dritten Breite des dritten Körpers oder einer vierten Breite des vierten Körpers, wobei die erste Breite und die zweite Breite entlang einer Richtung senkrecht zu der ersten Seitenwand sind und die dritte Breite und die vierte Breite entlang einer Richtung senkrecht zu der dritten Seitenwand sind.
  20. Integrierter Schaltkreis nach Anspruch 19, der ferner Folgendes umfasst: ein erstes Source- oder Drain-Gebiet zwischen dem ersten Körper und dem zweiten Körper; und ein zweites Source- oder Drain-Gebiet zwischen dem dritten Körper und dem vierten Körper.
  21. Integrierter Schaltkreis nach einem der Ansprüche 19-20, wobei sich die erste und/oder zweite Gate-Struktur um einen oder mehrere ihrer jeweiligen Körper herumlegt, um eine Gate-All-Around-Konfiguration bereitzustellen.
  22. Integrierter Schaltkreis nach einem der Ansprüche 19-21, wobei das Halbleitermaterial des ersten und zweiten Körpers ein monokristallines Gruppe-IV-Halbleitermaterial umfasst und das Halbleitermaterial des dritten und vierten Körpers ein monokristallines Gruppe-III-V-Halbleitermaterial umfasst.
  23. Verfahren zum Bilden einer Finne für zwei oder mehr Transistoren, wobei das Verfahren Folgendes umfasst: Bereitstellen einer ersten Schicht, die ein Material für die Finne umfasst; Bilden einer oder mehrerer Schichten, die ein Hartmaskenmaterial umfassen, oberhalb der ersten Schicht; Bilden einer ersten Struktur oberhalb der einen oder der mehreren Schichten, wobei die erste Struktur wenigstens einen ersten Abschnitt und einen zweiten Abschnitt aufweist, wobei eine Breite des ersten Abschnitts verschieden von einer Breite des zweiten Abschnitts ist und wobei die Breiten des ersten und zweiten Abschnitts entlang einer Richtung sind, die senkrecht zu einer Länge der ersten Struktur ist; Strukturieren der einen oder mehreren Schichten mit der ersten Struktur als eine Maskierungsschicht und anschließendes Entfernen der ersten Struktur, so dass die erste Struktur als eine zweite Struktur in die eine oder die mehreren Schichten transferiert wird; und Strukturieren der ersten Schicht mit der zweiten Struktur als eine Maskierungsschicht und anschließendes Entfernen der zweiten Struktur, so dass die zweite Struktur als eine Finne in die erste Schicht transferiert wird.
  24. Verfahren nach Anspruch 23, wobei das Bilden der ersten Struktur Folgendes umfasst: Entfernen der ersten Struktur bei dem ersten Abschnitt, ohne die erste Struktur bei dem zweiten Abschnitt zu entfernen; und konformes Abscheiden von Material der ersten Struktur, um die erste Struktur zu erweitern, so dass die Breite des zweiten Abschnitts größer als die Breite des ersten Abschnitts ist.
  25. Verfahren nach einem der Ansprüche 23-24, wobei die Struktur einen ersten Teil, der auf einer ersten Seite einer zweiten Schicht gebildet ist, die ein Hartmaskenmaterial umfasst, und einen zweiten Teil, der auf einer zweiten Seite der zweiten Schicht gebildet wird, umfasst und wobei das Bilden der ersten Struktur Folgendes umfasst: Entfernen der zweiten Schicht, um einen Spalt zwischen dem ersten Teil und dem zweiten Teil zu bilden, wobei eine laterale Entfernung des Spalts entlang der Länge der Struktur im Wesentlichen konstant ist.
DE102020103736.8A 2019-03-15 2020-02-13 Nichtplanare transistoren mit kanalgebieten, die variierende breiten aufweisen Pending DE102020103736A1 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/354,669 2019-03-15
US16/354,669 US11569231B2 (en) 2019-03-15 2019-03-15 Non-planar transistors with channel regions having varying widths

Publications (1)

Publication Number Publication Date
DE102020103736A1 true DE102020103736A1 (de) 2020-09-17

Family

ID=72289551

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102020103736.8A Pending DE102020103736A1 (de) 2019-03-15 2020-02-13 Nichtplanare transistoren mit kanalgebieten, die variierende breiten aufweisen

Country Status (2)

Country Link
US (1) US11569231B2 (de)
DE (1) DE102020103736A1 (de)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11393815B2 (en) * 2019-08-30 2022-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. Transistors with varying width nanosheet
KR20220022576A (ko) * 2020-08-19 2022-02-28 삼성전자주식회사 반도체 장치 및 그 제조 방법
US20220285561A1 (en) * 2021-03-05 2022-09-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Devices With Modified Source/Drain Feature And Methods Thereof
US11705177B2 (en) * 2021-03-12 2023-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor memory devices and methods of manufacturing thereof
US20230170422A1 (en) * 2021-12-01 2023-06-01 International Business Machines Corporation Nanowire source/drain formation for nanosheet device

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7214991B2 (en) * 2002-12-06 2007-05-08 Taiwan Semiconductor Manufacturing Co., Ltd. CMOS inverters configured using multiple-gate transistors
US8293616B2 (en) 2009-02-24 2012-10-23 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of fabrication of semiconductor devices with low capacitance
US8519479B2 (en) * 2010-05-12 2013-08-27 International Business Machines Corporation Generation of multiple diameter nanowire field effect transistors
US8610176B2 (en) 2011-01-11 2013-12-17 Qualcomm Incorporated Standard cell architecture using double poly patterning for multi VT devices
US8460569B2 (en) * 2011-04-07 2013-06-11 Varian Semiconductor Equipment Associates, Inc. Method and system for post-etch treatment of patterned substrate features
US8759904B2 (en) 2011-08-24 2014-06-24 GlobalFoundries, Inc. Electronic device having plural FIN-FETs with different FIN heights and planar FETs on the same substrate
KR101823105B1 (ko) 2012-03-19 2018-01-30 삼성전자주식회사 전계 효과 트랜지스터의 형성 방법
US11037923B2 (en) * 2012-06-29 2021-06-15 Intel Corporation Through gate fin isolation
KR20150058597A (ko) * 2013-11-18 2015-05-29 삼성전자주식회사 반도체 장치 및 그 제조 방법
KR20210109651A (ko) 2014-03-24 2021-09-06 인텔 코포레이션 단일 다이 상에 다수의 트랜지스터 핀 치수들을 얻기 위한 기술들
SG11201606392UA (en) 2014-03-27 2016-09-29 Intel Corp High mobility strained channels for fin-based nmos transistors
KR102449901B1 (ko) * 2015-06-23 2022-09-30 삼성전자주식회사 집적회로 소자 및 그 제조 방법
US9680017B2 (en) * 2015-09-16 2017-06-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including Fin FET and manufacturing method thereof

Also Published As

Publication number Publication date
US11569231B2 (en) 2023-01-31
US20200295002A1 (en) 2020-09-17

Similar Documents

Publication Publication Date Title
DE102020103710A1 (de) Rückseitenkontakte für Halbleitervorrichtungen
DE102019121928A1 (de) Gestapelte nanodrahttransistorstruktur mit unterschiedlichen kanalgeometrien zur belastung
DE112011106004B4 (de) Halbleiterstruktur und Verfahren zum Herstellen einer CMOS-Nanodraht-Halbleiterstruktur
DE102017122830B4 (de) Verfahren zur herstellung eines halbleiter-bauelements
DE112011106006B4 (de) Nanodrahtstrukturen mit Rundumkontakten und zugehöriges Herstellungsverfahren
DE102020103736A1 (de) Nichtplanare transistoren mit kanalgebieten, die variierende breiten aufweisen
DE112011106033B4 (de) Halbleiterbauelemente mit einer aktiven Germaniumschicht mit darunterliegender Diffusionssperrschicht
DE112016007299T5 (de) Rückseiten-source/drain-austausch für halbleiterbauelemente mit metallisierung auf beiden seiten
DE102020105121A1 (de) Integrierte gate-all-around-schaltungsstrukturen mit germanium-nanodraht-kanalstrukturen
DE102016115986A1 (de) Halbleiter-bauelement und verfahren zu dessen herstellung
DE112015006959T5 (de) Verfahren zum bilden rückseitiger selbstausgerichteter durchkontaktierungen und dadurch gebildete strukturen
DE112011106031T5 (de) Einaxial gespannte Nanodrahtstrukturen
DE112011105996T5 (de) Halbleiterbauelement mit einem verengten Halbleiterkörper und Verfahren zum Ausbilden von Halbleiterkörpern variierender Breite
DE102020104178A1 (de) Integrierte gate-all-around-schaltungsstrukturen mit eingebetteten gesnb-source- oder drainstrukturen
DE112015006962T5 (de) Hybride tri-gate- und nanodraht-cmos-vorrichtungsarchitektur
DE102020103517A1 (de) Gate-All-Around-Strukturen für integrierte Schaltungen mit Source- oder Drain-Strukturen mit epitaktischen Noppen
DE102017124637A1 (de) Herstellungsverfahren für ein Halbleiter-Bauelement und ein Halbleiter-Bauelement
DE102020113776A1 (de) Depop unter verwendung zyklischer selektiver abstandhalter-ätzung
DE112017008312T5 (de) Heterogene ge/iii-v-cmos-transistorstrukturen
DE112017007838T5 (de) Transistoren mit kanal- und unterkanalregionen mit unterschiedlichen zusammensetzungen und abmessungen
DE102019108021A1 (de) Wrap-Around-Kontaktstrukturen für Halbleiterfinnen
DE112015007222T5 (de) Transistor mit einem zugbelasteten Germanium Kanal
DE102020134411A1 (de) Integrierte gate-all-around-strukturen mit germaniumdotierten nanoband-kanalstrukturen
DE102021121273A1 (de) Herstellung von gate-all-around-integrierte-schaltung-strukturen mit vor-abstandshalter-abscheidung-geschnittenen gates
DE102019122644A1 (de) Integrierte gate-all-around-schaltungsstrkturen mit dezimierten kanalstrukturen unter verwendung eines von unten nach oben wirkenden ansatzes

Legal Events

Date Code Title Description
R081 Change of applicant/patentee

Owner name: INTEL CORPORATION, SANTA CLARA, US

Free format text: FORMER OWNER: INTEL CORPORATION, SANTA CLARA, CALIF., US

R082 Change of representative

Representative=s name: BOEHMERT & BOEHMERT ANWALTSPARTNERSCHAFT MBB -, DE