DE102019118368A1 - Finnen-fet-schaltungsvorrichtungen mit muldenisolation - Google Patents

Finnen-fet-schaltungsvorrichtungen mit muldenisolation Download PDF

Info

Publication number
DE102019118368A1
DE102019118368A1 DE102019118368.5A DE102019118368A DE102019118368A1 DE 102019118368 A1 DE102019118368 A1 DE 102019118368A1 DE 102019118368 A DE102019118368 A DE 102019118368A DE 102019118368 A1 DE102019118368 A1 DE 102019118368A1
Authority
DE
Germany
Prior art keywords
fin
substrate
well region
fins
recess
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102019118368.5A
Other languages
English (en)
Inventor
Chih-Chuan Yang
Chang-Ta Yang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102019118368A1 publication Critical patent/DE102019118368A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7855Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with at least two independent gates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76232Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials of trenches having a shape other than rectangular or V-shape, e.g. rounded corners, oblique or rounded trench walls
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823892Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the wells or tubs, e.g. twin tubs, high energy well implants, buried implanted layers for lateral isolation [BILLI]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • H10B10/12Static random access memory [SRAM] devices comprising a MOSFET load element

Abstract

Ein Verfahren umfasst Erhalten einer Struktur, welche ein Substrat umfasst, umfassend einen ersten Muldenbereich mit einem ersten Dotierungstyp und einen zweiten Muldenbereich mit einem zweiten Dotierungstyp, welcher dem ersten Dotierungstyp entgegengesetzt ist; und Finnen, welche aus dem Substrat hervorstehen. Das Verfahren umfasst weiterhin Ausbilden einer strukturierten Ätzmaske auf der Struktur, wobei die strukturierte Ätzmaske eine Öffnung bereitstellt, welche unmittelbar über einer ersten Finne der Finnen ist, wobei die erste Finne unmittelbar über dem ersten Muldenbereich ist. Das Verfahren umfasst weiterhin Ätzen der Struktur durch die strukturierte Ätzmaske, wobei das Ätzen die erste Finne entfernt und eine Vertiefung in dem Substrat ausbildet, welche von dem ersten Muldenbereich in den zweiten Muldenbereich misst; und Ausbilden eines dielektrischen Materials zwischen verbleibenden Abschnitten der Finnen und innerhalb der Vertiefung.

Description

  • PRIORITÄTSANSPRUCH
  • Diese Anmeldung beansprucht die Priorität der vorläufigen US-Patentanmeldung Nr. 62/772,658 , eingereicht am 29. November 2018, deren gesamte Offenbarung durch Nennung als hierin aufgenommen gilt.
  • HINTERGRUND
  • Die Branche integrierter Halbleiterschaltungen (IS) hat ein schnelles Wachstum erfahren. Im Verlauf der Evolution von IS hat sich eine funktionale Dichte (d. h. die Anzahl miteinander verbundener Vorrichtungen pro Chip-Fläche) im Allgemeinen erhöht, während sich eine Geometriegröße (d. h. die kleinste Komponente (oder Linie), welche unter Verwendung eines Herstellungsprozesses erzeugt werden kann) vermindert hat. Dieser Prozess der Maßstabsverkleinerung stellt im Allgemeinen durch Erhöhen einer Herstellungseffizienz und durch Senken dazugehöriger Kosten Vorteile bereit. Eine derartige Maßstabsverkleinerung wird jedoch auch begleitet durch erhöhte Komplexität beim Entwurf und beim Herstellen von Vorrichtungen, welche diese IS enthalten. Parallele Fortschritte beim Herstellen haben ermöglicht, dass zunehmend komplexere Entwürfe mit Präzision und Zuverlässigkeit angefertigt werden.
  • Beispielsweise haben Fortschritte bei der Herstellung dreidimensionale Entwürfe ermöglicht, wie beispielsweise Finnen-artige Feldeffekttransistoren (FinFETs). FinFETs stellen reduzierte Kurzkanaleffekte, einen reduzierten Leckverlust und einen höheren Stromfluss im Vergleich zu ebeneren FETs bereit. Aufgrund dieser Vorteile werden FinFETs eingesetzt, um IS im Maßstab weiter zu verkleinern. Bestimmte Bereiche der gegenwärtigen FinFET-Herstellung können jedoch weiter verbessert werden. Beispielsweise kann bei FinFET-CMOS-Entwürfen aufgrund von Leckverlust zwischen einer benachbarten N-Mulde und P-Mulde ein Latch-up auftreten.
  • Figurenliste
  • Die vorliegende Offenbarung wird aus der folgenden ausführlichen Beschreibung am besten verstanden, wenn sie mit den begleitenden Figuren gelesen wird. Es ist hervorzuheben, dass gemäß der normalen Branchenpraxis verschiedene Merkmale nicht maßstabsgetreu gezeichnet sind und nur zu Darstellungszwecken verwendet werden. Tatsächlich können die Abmessungen der verschiedenen Merkmale zur Klarheit der Beschreibung willkürlich erhöht oder reduziert sein.
    • 1 ist ein Ablaufdiagramm eines Verfahrens gemäß verschiedenen Gesichtspunkten der vorliegenden Offenbarung.
    • 2 bis 3 sind perspektivische Darstellungen eines Werkstücks gemäß verschiedenen Gesichtspunkten der vorliegenden Offenbarung.
    • 4 bis 11 sind Querschnittsdarstellungen eines Werkstücks gemäß verschiedenen Gesichtspunkten der vorliegenden Offenbarung, bei welcher die Querschnittsansicht entlang der Linie A-A in 3 genommen wird.
    • 12 illustriert ein schematisches Diagramm und einen Anordnungsplan einer IS gemäß verschiedenen Gesichtspunkten der vorliegenden Offenbarung.
  • AUSFÜHRLICHE BESCHREIBUNG
  • Die folgende Offenbarung stellt viele verschiedene Ausführungsformen oder Beispiele zum Implementieren verschiedener Merkmale der Offenbarung bereit. Spezifische Beispiele von Komponenten und Anordnungen sind nachfolgend beschrieben, um die vorliegende Offenbarung zu vereinfachen. Diese sind natürlich nur Beispiele und sind nicht als einschränkend vorgesehen. Beispielsweise kann die Ausbildung eines ersten Merkmals über oder auf einem zweiten Merkmal in der nachfolgenden Beschreibung Ausführungsformen umfassen, bei welchen das erste und das zweite Merkmal in unmittelbarem Kontakt ausgebildet sind, und sie kann auch Ausführungsformen umfassen, bei welchen zusätzliche Merkmale zwischen dem ersten und dem zweiten Merkmal derartig ausgebildet sein können, dass das erste und das zweite Merkmal nicht in unmittelbarem Kontakt stehen müssen. Ferner kann die Ausbildung eines Merkmals, welches mit einem anderen Merkmal in der vorliegenden Offenbarung verbunden ist und/oder damit gekoppelt ist, Ausführungsformen umfassen, bei welchen die Merkmale in unmittelbarem Kontakt ausgebildet sind, und sie kann auch Ausführungsformen umfassen, bei welchen zusätzliche Merkmale, welche zwischen den Merkmalen gelagert sind, derartig ausgebildet sein können, dass die Merkmale nicht in unmittelbarem Kontakt stehen müssen.
  • Zusätzlich werden relative räumliche Begriffe, wie beispielsweise „niedriger“, „höher“, „horizontal“, „vertikal“, „oberhalb“, „über“, „unterhalb“, „unter“, „auf“, „ab“, „oben“, „unten“ usw. sowie Derivate davon (z. B. „waagerecht“, „abwärts“, „aufwärts“ usw.) zur Vereinfachung der vorliegenden Offenbarung hinsichtlich einer Beziehung eines Merkmals zu einem anderen Merkmal verwendet. Die relativen räumlichen Begriffe sind vorgesehen, verschiedene Orientierungen der Vorrichtung, welche die Merkmale umfasst, abzudecken. Zusätzlich kann die vorliegende Offenbarung Bezugszahlen und/oder Bezugszeichen bei den verschiedenen Beispielen wiederholen. Diese Wiederholung dient dem Zweck der Einfachheit und Klarheit und diktiert in sich keine Beziehung zwischen den verschiedenen diskutierten Ausführungsformen und/oder Konfigurationen über das beschriebene Ausmaß hinaus. Wenn außerdem eine Zahl oder ein Bereich von Zahlen mit „ungefähr“, „etwa“ und dergleichen beschrieben ist, ist der Begriff vorgesehen, Zahlen zu umfassen, welche innerhalb eines angemessenen Bereichs liegen, welcher die beschriebene Zahl umfasst, wie beispielsweise innerhalb von +/-10 % der beschriebenen Zahl oder andere Werte, wie von Durchschnittsfachleuten verstanden wird. Beispielsweise umfasst der Begriff „ungefähr 5 nm“ den Abmessungsbereich von 4,5 nm bis 5,5 nm.
  • Mit dem fortgesetzten Verkleinern der Vorrichtungen wird ein Leckstrom zwischen entgegengesetzt dotierten Muldenbereichen auf einer IS zu einer Aufgabe, weil er ein Latch-up in der Schaltung auslösen kann. Dies ist von besonderem Interesse für heutige SRAM Entwürfe, bei welchen NMOS- und PMOS-Transistoren (welche NMOS-FinFET und PMOS-FinFET umfassen) nahe zusammen angeordnet sind. 12 erklärt ein Beispiel eines Latch-up. 12 illustriert auf der rechten Seite einen Anordnungsplan einer Halbleitervorrichtung 100, umfassend eine 1-Bit-SRAM-Zelle mit CMOS Schaltungen, und auf der linken Seite einen Schaltplan, welcher intrinsische Bipolartransistoren einer CMOS-Schaltung der 1-Bit-SRAM-Zelle darstellt. Wenn einer der beiden Bipolartransistoren in Durchlassrichtung vorgespannt wird (aufgrund eines Leckstroms, welcher durch die Mulde oder das Substrat fließt, wie als „N+/NW →“ und „P+/PW →“ illustriert), speist er die Basis des anderen Transistors. Diese positive Rückkoppelung erhöht den Strom, bis die Schaltung versagt oder durchbrennt. Dies wird als „Latch-up“ bezeichnet. Eine Aufgabe der vorliegenden Offenbarung ist es, einen Latch-up durch Bereitstellen von Muldenisolationsmerkmalen zu verhindern, welche Muldenbereiche der verschiedenen Dotierungstypen trennen. Beispielsweise kann das Muldenisolationsmerkmal zwischen einem n-leitend dotierten Muldenbereich und einem p-leitend dotierten Muldenbereich bereitgestellt sein, um einen Leckstrom zwischen den beiden Muldenbereichen wesentlich zu reduzieren.
  • Manche Ausführungsformen der vorliegenden Offenbarung sind unter Bezugnahme auf 1 bis 12 beschrieben. 1 ist ein Ablaufdiagramm eines Verfahrens 10 des Herstellens einer Halbleitervorrichtung mit dem Muldenisolationsmerkmal gemäß der vorliegenden Offenbarung. Das Verfahren 10 ist bloß ein Beispiel und ist nicht vorgesehen, die vorliegende Offenbarung über das hinaus zu begrenzen, was in den Ansprüchen explizit beschrieben ist. Zusätzliche Operationen können vor, während und nach dem Verfahren 10 bereitgestellt werden und manche beschriebene Operationen können für zusätzliche Ausführungsformen des Verfahrens ersetzt, beseitigt oder umher bewegt werden. Das Verfahren 10 ist nachfolgend in Verbindung mit 2 bis 11 beschrieben, welche verschiedene perspektivische Ansichten und Querschnittsansichten der Halbleitervorrichtung 100 während den Herstellungsschritten gemäß dem Verfahren 10 illustrieren. Weiterhin illustriert 12 eine beispielhafte IS schematisch sowie Anordnungspläne, welche gemäß der vorliegenden Offenbarung angefertigt sind.
  • Unter Bezugnahme auf 1, erhält das Verfahren 10 bei Operation 12 eine Struktur (oder ein Werkstück) 100, welche ein Substrat mit Muldenbereichen und Halbleiterfinnen aufweist, welche aus dem Substrat hervorstehen. Ein Beispiel der Struktur 100 ist in 2 gezeigt.
  • Unter Bezugnahme auf 2 umfasst die Struktur 100 ein Substrat 102, welches eine beliebige Struktur repräsentiert, auf welcher Schaltungsvorrichtungen ausgebildet sein können. Bei verschiedenen Beispielen umfasst das Substrat 102 einen elementaren (Einzelelement-) Halbleiter, wie beispielsweise Silizium oder Germanium in einer Kristallstruktur; einen Verbindungshalbleiter, wie beispielsweise Siliziumcarbid, Galliumarsenid, Galliumphosphid, Indiumphosphid, Indiumarsenid und/oder Indiumantimonid; einen Legierungshalbleiter wie beispielsweise SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP und/oder GaInAsP; ein Nicht-Halbleitermaterial, wie beispielsweise Natronkalkglas, Silikaglas, Quarzglas und/oder Calciumfluorid (CaF2); und/oder Kombinationen davon.
  • Das Substrat 102 kann eine gleichmäßige Zusammensetzung aufweisen oder es kann verschiedene Schichten umfassen. Die Schichten können ähnliche oder verschiedene Zusammensetzungen aufweisen, und bei verschiedenen Ausführungsformen weisen einige Substratschichten nicht einheitliche Zusammensetzungen auf, um eine Belastung der Vorrichtung hervorzurufen und dadurch das Leistungsvermögen der Vorrichtung abzustimmen. Beispiele geschichteter Substrate umfassen Silizium-auf-Isolator-(SOI)-Substrate 102. Bei manchen derartigen Beispielen kann eine Schicht des Substrats 102 einen Isolator, wie beispielsweise ein Halbleiter-Oxid, ein Halbleiter-Nitrid, ein Halbleiter Oxynitrid, ein Halbleiter-Carbid und/oder andere geeignete Isolatormaterialien umfassen; und eine andere Schicht des Substrats 102 umfasst Halbleitermaterialien. Bei manchen Beispielen ist das Substrat 102 ein Volumenhalbleitersubstrat, wie beispielsweise ein Volumen-Silizium-Wafer.
  • Dotierte Bereiche, wie beispielsweise Mulden, können auf dem Substrat 102 ausgebildet sein. In dieser Hinsicht können einige Abschnitte des Substrats 102 mit p-leitenden Dotierungsstoffen, wie beispielsweise Bor, BF2 oder Indium, dotiert sein, während andere Abschnitte des Substrats 102 mit n-leitenden Dotierungsstoffen, wie beispielsweise Phosphor oder Arsen, dotiert sein können; und/oder mit anderen geeigneten Dotierungsstoffen und Kombinationen davon. Bei den illustrierten Beispielen weist ein Muldenbereich 104A einen ersten Dotierungstyp (z. B. n-leitend) auf, weist ein Muldenbereich 104B einen zweiten Dotierungstyp (z. B. p-leitend) auf, welcher dem ersten Dotierungstyp entgegengesetzt ist, und weist ein Muldenbereich 104C den ersten Dotierungstyp auf. Dementsprechend können pn-Dotiergebiete an den Schnittstellen zwischen diesen Muldenbereichen 104 ausgebildet sein. Der Erfinder dieser Anmeldung hat entdeckt, dass diese pn-Dotiergebiete zu Leckströmen und Latch-up-Problemen, insbesondere bei SRAM Entwürfen, beitragen, bei welchen die Geometrien der Vorrichtungen wirklich klein sind. Wieder sind die drei Muldenbereiche 104A bis C bloß Beispiele. Bei verschiedenen Ausführungsformen kann die Struktur 100 mindestens zwei entgegengesetzt dotierte Muldenbereiche umfassen.
  • Bei manchen Beispielen können die Vorrichtungen, welche auf dem Substrat 102 ausgebildet werden sollen, aus dem Substrat 102 hervorstehen. Beispielsweise können FinFETs und/oder andere nicht planare Vorrichtungen auf Finnenstrukturen (oder Finnen) 106 ausgebildet sein, welche auf dem Substrat 102 angeordnet sind. Die Finnen 106 sind repräsentativ für jedes beliebige erhabene Merkmal zum Ausbilden von FinFET-Vorrichtungen sowie zum Ausbilden anderer erhabener aktiver und passiver Vorrichtungen auf dem Substrat 102. Die Finnen 106 können eine ähnliche Zusammensetzung wie das Substrat 102 aufweisen, oder sie können verschieden davon sein. Beispielsweise kann das Substrat 102 bei manchen Ausführungsformen primär Silizium umfassen, während die Finnen 106 eine oder mehrere Schichten umfassen, welche primär Germanium oder ein SiGe-Halbleiter sind. Bei manchen Ausführungsformen umfasst das Substrat 102 einen SiGe-Halbleiter, und die Finnen 106 umfassen einen SiGe-Halbleiter mit einem verschiedenen Verhältnis von Silizium zu Germanium als das Substrat 102. Bei manchen Ausführungsformen umfassen sowohl die Finnen 106 als auch das Substrat 102 primär Silizium. 2 illustriert sechs Finnen 106a, 106b, 106c, 106d, 106e und 106f bloß als Beispiele. Die Struktur 100 kann bei verschiedenen Ausführungsformen eine beliebige Anzahl Finnen 106 umfassen. In der folgenden-Beschreibung bedeutet die „Finne 106“ eine beliebige der Finnen 106a bis f oder eine andere Finne, welche in den Figuren nicht gezeigt ist, und die „Finnen 106“ bedeutet mindestens zwei beliebige der Finnen 106a bis f oder anderer Finnen, welche in den Figuren nicht gezeigt sind. Die Finnen 106 sind der Länge nach entlang einer „Y“-Richtung orientiert und entlang einer „X“-Richtung voneinander beabstandet. Die Muldenbereiche 104 sind auch der Länge nach entlang der „Y“-Richtung orientiert.
  • Abschnitte der Finnen 106 können verschieden von den Abschnitten des Substrats 102 dotiert sein, aus welchem sie hervorstehen. Bei manchen Beispielen weist jede Finne 106 einen unteren Abschnitt 108 (auch als Halbleiterbereich 108 bezeichnet), welcher den gleichen Dotierungstyp wie der Muldenbereich 104 enthält, aus welchem er hervorsteht, und einen oberen Abschnitt 110 auf (auch als Halbleiterbereich 110 bezeichnet), welcher den entgegengesetzten Dotierungstyp enthält. Bei einem spezifischen Beispiel sind die Muldenbereiche 104A und 104C n-leitend dotiert (d. h. eine N-Mulde), sind die Halbleiterbereiche 108 der Finnen 106a, 106b, 106e und 106f auch n-leitend dotiert und sind die Halbleiterbereiche 110 der Finnen 106a, 106b, 106e und 106f p-leitend dotiert; der Muldenbereich 104B ist p-leitend dotiert (d. h. eine P-Mulde), die Halbleiterbereiche 108 der Finnen 106c und 106d sind auch p-leitend dotiert und die Halbleiterbereiche 110 der Finnen 106c und 106d sind n-leitend dotiert.
  • Die Finnen 106 können durch Ätzen von Abschnitten des Substrats 102, durch Abscheiden verschiedener Schichten auf dem Substrat 102 und Ätzen der Schichten und/oder durch andere geeignete Verfahren ausgebildet werden. Beispielsweise können die Finnen 106 unter Verwendung eines oder mehrerer Fotolithografieprozesse strukturiert werden, umfassend Doppelstrukturier- oder Mehrfachstrukturierprozesse. Im Allgemeinen kombinieren Doppelstrukturier- oder Mehrfachstrukturierprozesse Fotolithografie und selbstausgerichtete Prozesse, welcher ermöglichen, dass Strukturen erzeugt werden, welche beispielsweise Rastermaße aufweisen, welcher kleiner sind als was andernfalls unter Verwendung eines einzelnen unmittelbaren Fotolithografieprozesses erhältlich ist. Beispielsweise wird bei einer Ausführungsform eine Opferschicht über dem Substrat 102 und einer oder mehreren harten Maskenschichten ausgebildet (d. h. die Schichten, aus welchen harte Maskenstrukturen 112 und 114 über den Finnen ausgebildet sind). Die Opferschicht wird unter Verwendung eines Fotolithografieprozesses strukturiert. Abstandshalter werden entlang der strukturierten Opferschicht unter Verwendung eines selbstausgerichteten Prozesses ausgebildet. Die Opferschicht wird dann entfernt, und die verbleibenden Abstandshalter werden verwendet, um das Substrat 102 und die harten Maskenschichten durch Entfernen von Material zu strukturieren, welches nicht durch die Abstandshalter abgedeckt ist. Das verbleibende Material wird zu den Finnen 106, welche bei der vorliegenden Ausführungsform die harten Maskenstrukturen 112 und 114 über den Finnen umfassen.
  • Die harten Maskenstrukturen 112 und 114 über den Finnen können verwendet werden, um den Ätzprozess zu steuern, welcher die Finnen 106 definiert, und können die Finnen 106 während nachfolgender Verarbeitung schützen. Dementsprechend können die harten Maskenstrukturen 112 und 114 über den Finnen ausgewählt werden, eine Ätzselektivität aufzuweisen, welche von der des(der) Materials(Materialien) anderer Abschnitte der Finnen 106 und voneinander verschieden ist. Die harten Maskenstrukturen 112 und 114 über den Finnen können ein dielektrisches Material, wie beispielsweise ein Halbleiter-Oxid, ein Halbleiter-Nitrid, ein Halbleiter-Oxynitrid, ein Halbleiter-Carbid, ein Halbleiter-Carbonitrid, ein Halbleiter-Oxycarbonitrid, und/oder ein Metalloxid umfassen.
  • Bei manchen Beispielen sind die Finnen 106 in einer sich wiederholenden Struktur angeordnet, um den Strukturierungsprozess zu erleichtern, und diese Finnen 106, welche kein Teil des abschließenden Schaltungsentwurfs sind, können nachfolgend entfernt werden, wie später diskutiert wird.
  • Unter Bezugnahme auf 1 bildet das Verfahren 10 bei Operation 14 eine dielektrische Auskleidungsschicht 116 über der Struktur aus. Unter Bezugnahme auf 3 wird die dielektrische Auskleidungsschicht 116 über einer oberen Oberfläche 102U des Substrats 102 und auf einer Deckfläche und Seitenwänden der Finnen 106 ausgebildet. Bei der vorliegenden Ausführungsform wird die dielektrische Auskleidungsschicht 116 in einer im Wesentlichen konformen Weise ausgebildet (d. h. ihre Dicke ist im Wesentlichen gleichmäßig). Die dielektrische Auskleidungsschicht 116 kann Siliziumnitrid (z. B. Si3N4) umfassen und kann unter Verwendung von chemischer Dampfabscheidung (CVD), wie beispielsweise Niederdruck-CVD (LPCVD) oder plasmagestützter CVD (PECVD), Atomlagenabscheidung (ALD) oder anderen geeigneten Verfahren abgeschieden werden. Die dielektrische Auskleidungsschicht 116 kann bei verschiedenen Ausführungsformen eine Dicke von ungefähr 1 nm bis ungefähr 5 nm aufweisen. Die Operation 14 ist optional und kann bei manchen Ausführungsformen weggelassen werden.
  • Die Operationen 16, 18 und 20 der 1 beschreiben den Prozess zum Entfernen einiger der Finnen 106. In einem kurzen Überblick bildet Operation 16 eine strukturierte harte Maske über der Struktur 100 aus, wobei die strukturierte harte Maske, welche eine Öffnung aufweist, welche unmittelbar über einer der Finnen 106 und über zwei Muldenbereiche 104 ist; ätzt Operation 18 die Struktur 100 durch die Öffnung in der strukturierten harten Maske, um eine Vertiefung auszubilden, welche sich in das Substrat 102 ausdehnt; und entfernt Operation 20 die strukturierte harte Maske. Die Operationen 16, 18 und 20 sind nachfolgend weiter beschrieben.
  • Unter Bezugnahme auf 1 bildet das Verfahren 10 bei Operation 16 eine strukturierte harte Maske über der Struktur 100 aus, und die strukturierte harte Maske stellt Öffnungen 206 unmittelbar über Abschnitten der Finnen 106 bereit, welche entfernt werden sollen, wie in 4 gezeigt. Bei der vorliegenden Ausführungsform bezieht Operation 16 mehrere Verfahrensschritte ein, umfassend Abscheiden einer harten Maskenschicht (oder einer Füllschicht) 202 über dem Substrat 102 und Füllen der Zwischenräume zwischen den Finnen 106, Schleuderbeschichten einer Fotoresistschicht 204 über der harten Maskenschicht 202 und Durchführen eines Fotolithografieprozesses, um die Fotoresistschicht 204 zu strukturieren, um die Öffnungen 206 auszubilden. Die strukturierte harte Maske kann auch unter Verwendung anderer Verfahren ausgebildet werden.
  • Unter Bezugnahme auf 4 umgibt die harte Maskenschicht 202 die Finnen 106 und kann oben auf den harten Masken 112 und 114 über den Finnen angeordnet sein. Geeignete Materialien für die harte Maskenschicht 202 umfassen Dielektrika, Polysilizium und/oder andere geeignete Materialien, und das Material der harten Maskenschicht 202 kann ausgewählt werden, eine Ätzmittelempfindlichkeit aufzuweisen, welche von der des Substrats 102 verschieden ist, und die Finnen 106 umfassen die harten Masken 112 und 114 über den Finnen. Bei manchen Beispielen umfasst die harte Maskenschicht 202 ein aufgeschleudertes dielektrisches Material. Die harte Maskenschicht 202 kann durch jeden geeigneten Prozess ausgebildet werden, umfassend chemische Dampfabscheidung (CVD), plasmagestützte CVD (PECVD), CVD (HDP-CVD) mit hoher Plasmadichte, Atomlagenabscheidung (ALD), plasmagestützter ALD (PEALD), fließfähiger CVD (FCVD), Aufschleudern und/oder andere geeignete Abscheidungsverfahren.
  • Eine Fotoresistschicht 204 wird auf der harten Maskenschicht 202 ausgebildet, z. B. durch Schleuderbeschichten, und wird strukturiert, um Öffnungen 206 darin bereitzustellen. Die Fotoresistschicht 204 kann unter Verwendung eines beliebigen geeigneten Fotolithografieprozesses strukturiert werden, wie beispielsweise Tauchlithografie, Elektronenstrahllithografie und EUV-Lithografie. Bei einer Ausführungsform belichtet ein fotolithografisches System den Fotoresist 204 in einer bestimmten Struktur, welche durch eine Maske bestimmt wird, mit Strahlung. Licht, welches durch die Maske passiert oder durch sie reflektiert wird, trifft den Fotoresist 204, wodurch eine Struktur, welche auf der Maske ausgebildet ist, auf den Fotoresist 204 übertragen wird. Bei einer anderen Ausführungsform wird der Fotoresist 204 unter Verwendung eines Direktschreibverfahrens oder eines maskenlosen Lithografieverfahrens belichtet, wie beispielsweise Laserstrukturieren, e-Strahlstrukturieren, und/oder Ionenstrahlstrukturieren. Einmal belichtet wird der Fotoresist 204 entwickelt, wobei die belichteten Abschnitte des Resists zurückbleiben, oder bei alternativen Beispielen die nicht belichteten Abschnitte des Resists zurückbleiben. Ein beispielhafter Strukturierungsprozess umfasst sanftes Einbrennen des Fotoresists 204, Maskenausrichten, Belichtung, Einbrennen nach der Belichtung, Entwickeln des Fotoresists 204, Klarspülen und Trocknen (z. B. hartes Einbrennen). Der strukturierte Fotoresist 204 legt Abschnitte der harten Maskenschicht 202 frei, welche durch die Öffnungen 206 geätzt werden sollen.
  • Bei der vorliegenden Ausführungsform sind die Öffnungen 206 (eine ist in 4 gezeigt) unmittelbar über Abschnitten der Finnen 106, welche entfernt werden sollen (was bei diesem Beispiel ein Abschnitt der Finne 106b ist). Um Muldenisolationsmerkmale gemäß der vorliegenden Ausführungsform auszubilden, ist die Öffnung 206 breit genug, um sich über einen Übergangsbereich (oder Grenze) zwischen zwei Muldenbereichen mit entgegengesetzten Dotierungstypen (wie beispielsweise Muldenbereich 104A und Muldenbereich 104B) auszudehnen. Bei dem in 4 gezeigten Beispiel ist eine Entfernung von der Grenze der Muldenbereiche 104A und 104B zu der Seitenwand der Finne 106c (welche die nächste Finne zu dem Muldenbereich 104A unter den Finnen auf dem Muldenbereich 104B ist) entlang der X-Richtung (der Finnenbreitenrichtung) W1, dehnt sich die Öffnung 206 eine Entfernung W2 von der Grenze der Muldenbereiche 104A und 104B in Richtung auf die Finne 106c entlang der X-Richtung aus und ist W2 kleiner als Wi. Bei manchen Ausführungsformen ist die Entfernung W2 so gesteuert, dass sie ungefähr die Hälfte von W1 ist, wie beispielsweise 40 % bis 60 % von W1. Dies dient dazu, einer Prozessvariation Rechnung zu tragen und noch ausreichende Isolationswirkungen zwischen den Muldenbereichen 104A und 104B bereitzustellen (wie später unter Bezugnahme auf das Muldenisolationsmerkmal 404 in den 8 bis 11 beschrieben ist). Wenn die Entfernung W2 zu groß ist (d. h. der Rand der Öffnung 206 sehr nahe an der Finne 106c ist), können nachfolgende Ätzprozesse die Finne 106c beschädigen. Wenn die Entfernung W2 zu klein ist (d. h. der Rand der Öffnung 206 sehr nahe an der Grenze der Muldenbereiche 104A und 104B ist oder die Öffnung 206 den Muldenbereich 104B nicht einmal erreicht), gehen die Isolationswirkungen des Isolationsmerkmals 404 verloren. Zusätzlich ist die Öffnung 206 unmittelbar über der Finne 106b mit ausreichendem Spielraum auf beiden Seiten von ihr, um zu gewährleisten, dass der Abschnitt der Finne 106b vollständig entfernt wird. Bei der vorliegenden Ausführungsform dehnt sich die Öffnung 206 eine Entfernung W3 von der Grenze der Muldenbereiche 104A und 104B in Richtung der Finne 106b (welche die nächste Finne zu dem Muldenbereich 104B unter den Finnen auf dem Muldenbereich 104A ist) und darüber hinaus entlang der X-Richtung aus und ist W3 größer als W2. Obwohl in 4 eine Öffnung 206 illustriert ist, kann die Operation 16 jede Anzahl von Öffnungen 206 auf der Grundlage eines Schaltplans ausbilden. Bei dem in 12 gezeigten Beispiel sind zwei Öffnungen 206 zum Entfernen von Abschnitten der Finnen 106a und 106b bereitgestellt.
  • Unter Bezugnahme auf 1 führt das Verfahren 10 bei Operation 18 einen oder mehrere Ätzprozesse durch, um die freiliegenden Abschnitte der harten Maskenschicht 202 und die unterliegenden Finnen 106 zu entfernen, welche die harten Masken 112 und 114 über den Finnen umfassen, wenn vorhanden. Bei manchen Beispielen umfasst dies einen ersten Ätzprozess, um den freiliegenden Abschnitt der harten Maskenschicht 202 zu entfernen, gefolgt von einem zweiten Ätzprozess, welcher auf den Abschnitten der Finnen 106 durchgeführt wird. Die ersten Ätzprozesse können jedes geeignete Ätzverfahren umfassen, wie beispielsweise Nassätzen, Trockenätzen, reaktives Ionenätzen (RIE), Veraschen und/oder andere Ätzverfahren. Bei manchen Beispielen wird das Ätzmittel ausgewählt, um die harte Maskenschicht 202 zu ätzen, ohne das Substrat 102 und die Finnen 106 deutlich zu ätzen. Im Ergebnis wird ein Abschnitt der Finne 106b in der Öffnung 206 freigelegt, so wie in 5 gezeigt. Wenn die Struktur die optionale Auskleidungsschicht 116 umfasst, dann wird ein Abschnitt der Auskleidungsschicht 116 auf einer Deckfläche und Seitenwänden der Finne 106b und auf der oberen Oberfläche des Substrats 102 in der Öffnung 206 freigelegt, so wie in 5 gezeigt. Nach Ätzen der harten Maskenschicht 202 kann der Fotoresist 204 entfernt werden.
  • Nachfolgend wird der zweite Ätzprozess auf den Abschnitten der Finnen 106 (welche durch die optionale Auskleidungsschicht 116 abgedeckt sein können) innerhalb der Öffnung 206 durchgeführt. Bei manchen Ausführungsformen umfasst der zweite Ätzprozess einen RIE-Ätzprozess, bei welchem Fluor-Ionen und/oder andere Ionen-Spezies auf die optionale Auskleidungsschicht 116, die harten Masken 112 und 114 über den Finnen und die Halbleiterbereiche 108 und 110, welche geätzt werden sollen, gerichtet werden. Die Ionen können Material aus diesen Merkmalen aufgrund der Kraft des Aufpralls (Sputter-Ätzen) entfernen und/oder mit den Materialien der Merkmale reagieren, um eine Verbindung zu erzeugen, welche empfindlich für ein nachfolgendes Nass- oder Trockenätzmittel ist. Bei einer Ausführungsform verwendet der zweite Ätzprozess ein Fluor-haltiges Ätzmittel, welches eine oder mehrere Verbindungen aus CF2, CH2F2, F2, SF6 und CH3F umfasst. Beispielhafte Ätzbedingungen umfassen eine Ätzleistung von ungefähr 300 W bis 600 W und eine Ätzvorspannung von ungefähr 400 V bis 600 V. Zusätzlich oder als Alternative kann der Ätzprozess Nassätzen, Trockenätzen, einen anderen RIE-Prozess und/oder andere geeignete Ätzverfahren unter Verwendung eines Sauerstoff-basierten Ätzmittels, eines Fluor-basierten Ätzmittels, eines Chlor-basierten Ätzmittels, eines Brom-basierten Ätzmittels, eines Iodbasierten Ätzmittels, anderer geeigneter Ätzmittelgase oder -Plasmen und/oder Kombinationen davon umfassen.
  • Zusätzlich zum Entfernen des Abschnitts der Finnen 106 (z. B. 106b) schneidet das Ätzen auch in das Substrat 102 ein und erzeugt darin Vertiefungen 302 (dies wird in manchen Fällen als „schwerere Ätzung“ bezeichnet, weil es tiefer ätzt als bloßes Entfernen der Finnen), so wie in 6 gezeigt, wo eine Vertiefung 302 illustriert ist. Die Vertiefungen 302 werden nachfolgend mit dielektrischem(n) Material(ien) gefüllt, um Muldenisolationsmerkmale zu erzeugen (wie beispielsweise die Merkmale 404 in 9 bis 11), welche den Fluss von Leckstrom zwischen den Muldenbereichen (wie beispielsweise den Muldenbereichen 104A und 104B) reduzieren. Dies stellt eine Anzahl von Vorteilen bereit. Beispielsweise kann Reduzieren von Leckstrom selbst vorteilhaft sein, da eine reduzierte Leckmenge eine Effizienz verbessert und Abwärme reduziert. Als ein anderes Beispiel können die Muldenisolationsmerkmale 404 einen Latch-up verhindern, bei welchem ein leitender Transistor bewirkt, dass ein anderer Transistor ungeachtet der Gate-Spannung leitet. Mit kleiner werdenden Vorrichtungsabständen kann Latch-up üblicher werden. Durch Reduzieren des Flusses von Strom zwischen den Muldenbereichen ermöglichen die Muldenisolationsmerkmale 404 jedoch einen engeren Vorrichtungsabstand bei reduzierten Latch-up-Fällen.
  • Die Vertiefung 302 misst über die Grenze der Muldenbereiche 104A und 104B hinweg. Wie in 6 gezeigt, dehnt sich die Vertiefung 302 mit einer Entfernung W2' von der Grenze der Muldenbereiche 104A und 104B in den Muldenbereich 104B aus und dehnt sich mit einer Entfernung W3' von der Grenze der Muldenbereiche 104A und 104B in den Muldenbereich 104A aus. Bei der vorliegenden Ausführungsform ist W3' größer als W2'. Weiterhin ist W2' ungefähr 40 % bis 60 % von W1. Die Abmessungen W2' und W3' sind im Wesentlichen die gleichen wie die Abmessungen W2 bzw. W3, wobei alle Differenzen berücksichtigt werden, welche durch die Ätzprozesse bewirkt werden.
  • Die Vertiefungen 302 können auf jede geeignete Tiefe 304 geätzt werden, und bei Beispielen, bei welchen sich die Finnen 106 zwischen ungefähr 100 nm und ungefähr 500 nm über die obere Oberfläche 102U des Substrats 102 ausdehnen, kann sich die Vertiefung 302 mindestens 25 nm unter die obere Oberfläche 102U des Substrats 102 ausdehnen, welches zwischen den Finnen 106a und 106c und unmittelbar benachbart zu der Vertiefung 302 ist. Bei manchen Ausführungsformen liegt die Tiefe 304 zwischen ungefähr 25 nm und ungefähr 75 nm unter der oberen Oberfläche 102U des Substrats 102. Die Tiefe 304 ist derartig entworfen, dass der relativ stärker dotierte Abschnitt der Muldenbereiche 104A und 104B aus den Vertiefungen entfernt wird, um die Leckströme durch die Muldenbereiche wesentlich zu reduzieren. Wie an wirklichen Proben und aus Simulationsdaten beobachtet wurde, neigen die Dotierungsstoffe in den Muldenbereichen 104 (wie beispielsweise 104A und 104B) dazu, sich bei dem oberen Abschnitt der Muldenbereiche zu konzentrieren, beispielsweise innerhalb der oberen 25 nm bis 75 nm Dicke von der oberen Oberfläche des Substrats 102. Durch Entfernen dieses Abschnitts des Muldenbereichs und sein Ersetzen durch ein dielektrisches Material (als Merkmal 404 in 8 bis 11 gezeigt) werden die Leckströme durch die Muldenbereiche stark reduziert. Der Abschnitt der Muldenbereiche 104 unter der Vertiefung 302 ist leichter dotiert als der entfernte Abschnitt und weist einen relativ hohen elektrischen Widerstand auf. Folglich verursacht es keine bedeutsamen Leckströme. Bei einer Ausführungsform dehnt sich die Vertiefung 302 mindestens 40 nm unter die obere Oberfläche des Substrats 102 aus (d. h. die Tiefe 304 ist 40 nm oder mehr), um zu gewährleisten, dass der stärker dotierte Abschnitt der Muldenbereiche 104A und 104B entfernt wird. Bei verschiedenen Ausführungsformen ist das Substrat 102 mindestens ein paar hundert Nanometer oder ein paar Mikrometer dick.
  • Bei verschiedenen Ausführungsformen kann die Operation 18 einen Zeitgeber und/oder andere Verfahren verwenden, um die Ätztiefe 304 zu steuern Beispielsweise kann die Operation 18 den Ätzrückstand überwachen, um zu bestimmen, wann der zweite Ätzprozess begonnen hat, den Muldenbereich 104 zu ätzen, und dann die Ätztiefe 304 auf der Grundlage der Ätzdauer und der Ätzrate zu steuern. Die Ätzrate wird durch den Typ, die Dichte und/oder Flussrate des(der) Ätzmittels(Ätzmittel), der Ätzleistung, der Ätzvorspannung, des Materials des Muldenbereichs 104 sowie durch andere Faktoren beeinflusst. Die Ätzrate kann aus Experimenten und/oder vergangenen Prozessdaten bestimmt werden. Bei manchen Ausführungsformen können der erste und der zweite Ätzprozess, welche obenstehend beschrieben sind, kontinuierlich oder als ein Ätzprozess durchgeführt (z. B. in der gleichen Ätzkammer durchgeführt) werden.
  • Die Vertiefungen 302 können so geätzt werden, dass sie verschiedene Profile aufweisen. Bei dem in 6 gezeigten Beispiel weist die Vertiefung 302 ein im Wesentlichen rechteckiges Profil auf. Dies kann aus einem sehr gerichteten Ätzprozess resultieren. Bei einem anderen Beispiel kann die Vertiefung 302 geätzt werden, dass sie ein konisch zulaufendes Profil aufweist, wie beispielsweise mit einer oberen Öffnung, welche breiter ist als die untere Öffnung. Ein derartiges Beispiel ist in 11 illustriert, wo die konisch zulaufende Kontur des Muldenisolationsmerkmals 404 das Profil der Vertiefung 302 repräsentiert. Bei diesem Beispiel weist die Vertiefung 302 (sowie das Muldenisolationsmerkmal 404) gerundete Ecken auf (gerundete obere Ecken und/oder gerundete untere Ecken), welche aus dem Ätzprozess resultieren. Außerdem ist obere Öffnung der Vertiefung 302 bei diesem Beispiel breiter als ihre untere Öffnung. Mit einem konisch zulaufenden Profil in der Vertiefung 302 ist es leichter, das dielektrische Material ohne Hohlräume einzufüllen, wodurch die Zuverlässigkeit der Schaltung erhöht wird.
  • Unter Bezugnahme auf 1 entfernt das Verfahren 10 bei Operation 20 nachfolgend dem Ätzen der Finnen 106 und der Muldenbereiche 104 die harte Maskenschicht 202. Die Operation 20 kann jedes geeignete Ätzverfahren (wie beispielsweise Nassätzen, Trockenätzen und RIE) verwenden, welches selektiv für das(die) Material(ien) in der harten Maskenschicht 202 ist. Die resultierende Struktur 100 ist in 7 gezeigt, welche im Wesentlichen die gleiche wie die Struktur 100 ist, welche in 3 gezeigt ist, aber mit entfernten Abschnitten der Finnen 106 und der Muldenbereiche 104.
  • Unter Bezugnahme auf 1 bildet das Verfahren 10 bei Operation 22 Isolationsmerkmale 402 über der Struktur 100 aus, welche insbesondere die Vertiefungen 302 füllen. Unter Bezugnahme auf 8 werden Isolationsmerkmale 402, wie beispielsweise flache Isolationsgrabenmerkmale (STIs), durch Abscheiden eines oder mehrerer dielektrischer Materialien, wie beispielsweise Halbleiter-Oxide, Halbleiter-Nitride, Halbleiter-Carbide, Fluorsilikat-Glas (FSG), dielektrische Materialien mit geringem k-Wert und/oder andere geeignete dielektrische Materialien, auf der Struktur 100 zwischen den Finnen 106, einschließlich in den Vertiefungen 302, ausgebildet. Der Abschnitt der Isolationsmerkmale 402 innerhalb der Vertiefung 302 wird ein Muldenisolationsmerkmal 404. Das Material der Isolationsmerkmale 402 kann durch jeden geeigneten Prozess ausgebildet werden, umfassend CVD-, PECVD-, HDP-CVD-, ALD-, PEALD-, PVD-, FCVD-, Aufschleuder- und/oder andere geeignete Abscheidungsverfahren. Bei manchen Ausführungsformen kann die Operation 22 einen chemisch-mechanischen Polier-(CMP)-Prozess umfassen, um die obere Oberfläche der Isolationsmerkmale 402 zu planarisieren. Die harte Maske 114 über den Finnen kann als die Ätzstoppschicht für den CMP-Prozess dienen.
  • Unter Bezugnahme auf 1 vertieft das Verfahren 10 bei Operation 24 die Isolationsmerkmale 402 (oder ätzt sie zurück). Bei einer Ausführungsform werden die Isolationsmerkmale 402 auf eine Stufe vertieft, welche auf gleicher Höhe ist mit dem Übergangsbereich zwischen dem Halbleiterbereich 110 und dem Halbleiterbereich 108, so wie in 9 gezeigt. Unter Bezugnahme auf 9 stehen die Finnen 106 aus einer oberen Oberfläche der Isolationsmerkmale 402 hervor, und das Muldenisolationsmerkmal 404 (welches ein Abschnitt der Isolationsmerkmale 402 ist) dehnt sich in das Substrat 102 aus. Eine untere Oberfläche des Muldenisolationsmerkmals 404 liegt unter der oberen Oberfläche 102U des Substrats 102. Insbesondere das Muldenisolationsmerkmal 404 misst über die Grenze zwischen den Muldenbereichen 104A und 104B hinweg. Das Muldenisolationsmerkmal 404 dehnt sich mit einer Entfernung W2' von der Grenze der Muldenbereiche 104A und 104B in den Muldenbereich 104B aus und dehnt sich mit einer Entfernung W3' von der Grenze der Muldenbereiche 104A und 104B in den Muldenbereich 104A aus. Bei der vorliegenden Ausführungsform ist W3' größer als W2'. Weiterhin ist W2' ungefähr 40 % bis 60 % von W1. Das Profil des Muldenisolationsmerkmals 404 stimmt im Wesentlichen mit dem der Vertiefung 302 überein. Wenn die Vertiefung 302 ein im Wesentlichen rechteckiges Profil aufweist (so wie in 6 gezeigt), weist auch das Muldenisolationsmerkmal 404 ein im Wesentlichen rechteckiges Profil auf (so wie in 9 gezeigt). Wenn die Vertiefung 302 ein konisch zulaufendes Profil aufweist, weist auch das Muldenisolationsmerkmal 404 ein konisch zulaufendes Profil auf, so wie in 11 gezeigt, bei welchem der obere Abschnitt des Muldenisolationsmerkmals 404 breiter ist als der untere Abschnitt des Muldenisolationsmerkmals 404. Außerdem kann das Muldenisolationsmerkmal 404 bei manchen Ausführungsformen gerundete Ecken (gerundete obere Ecken und/oder gerundete untere Ecken) aufweisen.
  • 10 illustriert einige der Vorteile der Muldenisolationsmerkmale 404. Unter Bezugnahme auf 10 ist eine beispielhafte PNPN-Struktur mit einer unterbrochenen Linie zwischen den Finnen 106a und 106c illustriert. Insbesondere ist der Halbleiterbereich 110 der Finne 106a p-leitend dotiert, sind der Halbleiterbereich 108 der Finne 106a und der Muldenbereich 104A n-leitend dotiert, sind der Halbleiterbereich 108 der Finne 106c und der Muldenbereich 104B p-leitend dotiert und ist der Halbleiterbereich 110 der Finne 106c n-leitend dotiert. Diese PNPN-Struktur kann einen Latch-up in der Schaltung auslösen, wenn es eine ausreichende Leckmenge zwischen den Muldenbereichen 104A und 104B gibt (wie beispielsweise in dem Schaltplan der 12 illustriert ist). Da bei der vorliegenden Ausführungsform ein oberer Abschnitt der Muldenbereiche 104A und 104B entfernt ist und mit dem Muldenisolationsmerkmal 404 ersetzt ist, wird der Leckstrom zwischen den Muldenbereichen 104A und 104B stark reduziert und wird auch die Wahrscheinlichkeit dieser PNPN-Struktur, einen Latch-up auszulösen, stark reduziert. Die Erfinder haben eine Reduktion von bis zu 2 Größenordnungen (d. h. 100-fach) des Leckstroms und eine Verbesserung von bis zu 10 % bei einer Latch-up-Auslösespannung beobachtet (d. h. der Versorgungsspannung, bei welcher ein Latch-up auftritt). Bei einer anderen Ausführungsform können die Dotierungstypen in den Bereichen 110, 108 und 104 umgekehrt sein, um eine NPNP-Struktur zu erzeugen. Beispielsweise ist der Halbleiterbereich 110 der Finne 106a n-leitend dotiert, sind der Halbleiterbereich 108 der Finne 106a und der Muldenbereich 104A p-leitend dotiert, sind der Halbleiterbereich 108 der Finne 106c und der Muldenbereich 104B n-leitend dotiert und ist der Halbleiterbereich 110 der Finne 106c p-leitend dotiert. Bei diesem Beispiel reduziert das Muldenisolationsmerkmal 404 auch die Wahrscheinlichkeit, dass die NPNP-Struktur einen Latch-up in der Schaltung auslöst.
  • Unter Bezugnahme auf 1 führt das Verfahren 10 bei Operation 26 weitere Prozesse an der Struktur 100 durch. Beispielsweise kann die Struktur 100 verarbeitet werden, um aktive und passive Vorrichtungen darauf auszubilden. Bei manchen Beispielen wird ein Transistor (z. B. FinFET) auf einer Finne 106 durch Ausbilden eines Paars von Source-/Drain-Merkmalen ausgebildet, welche durch einen Kanalbereich getrennt sind. Die Source-/Drain-Merkmale können einen Halbleiter (z. B. Si, Ge, SiGe usw.) und einen oder mehrere Dotierungsstoffe umfassen, wie beispielsweise p-leitende Dotierungsstoffe oder n-leitende Dotierungsstoffe. Genauso kann der Kanalbereich einen Halbleiter und einen oder mehrere Dotierungsstoffe des entgegengesetzten Typs von denen der Source-/Drain-Merkmale umfassen oder einfach undotiert sein. Bei manchen Beispielen ist ein Gate-Stapel benachbart zu dem Kanalbereich und um den Kanalbereich herumgewickelt ausgebildet, um den Fluss von Ladungsträgern (Elektronen für einen n-Kanal-FinFET und Löcher für einen p-Kanal-FinFET) durch den Kanalbereich zu steuern. Eine Zwischenschichtdielektrikum-(ILD)-Schicht kann auf der Struktur 100 ausgebildet sein. Die ILD-Schicht fungiert als ein Isolator, welcher leitfähige Spuren einer elektrischen Mehrstufen-Verbindungswegestruktur unterstützt und isoliert, welche Elemente der Struktur 100 elektrisch verbindet, wie beispielsweise die Source-/Drain-Merkmale und den Gate-Stapel. Die ILD-Schicht kann ein dielektrisches Material (z. B. ein Halbleiter-Oxid, ein Halbleiter-Nitrid, ein Halbleiter-Oxynitrid, ein Halbleiter-Carbid usw.), aufgeschleudertes Glas (SOG), FSG, Phosphorsilikat-Glas (PSG), Bor-Phosphorsilikatglas (BPSG), Black Diamond® (Applied Materials, Santa Clara, Kalifornien), Xerogel, Aerogel, amorphen fluorierten Kohlenstoff, Parylen, BCB, SiLK® (Dow Chemical, Midland, Michigan) und/oder Kombinationen davon umfassen. Die ILD-Schicht kann durch jeden geeigneten Prozess ausgebildet werden, umfassend CVD, PVD, Aufschleuderabscheidung und/oder andere geeignete Prozesse.
  • 12 illustriert auf der rechten Seite einen Anordnungsplan einer Halbleitervorrichtung 100, umfassend eine 1-Bit-SRAM-Zelle, und auf der linken Seite einen Schaltplan, welcher einen Teil der 1-Bit-SRAM-Zelle darstellt. Unter Bezugnahme auf 12 umfasst die Vorrichtung 100 Finnen 106 (umfassend Finnen 106a bis d, 106e' und 106f), welche der Länge nach entlang der „Y“-Richtung orientiert sind, und Gate-Stapel 500, welche der Länge nach entlang der „X“-Richtung senkrecht zu der „Y“-Richtung orientiert sind. Die Linie A-A der 12 ist die gleiche wie die Linie A-A der 3. Die eingeschnittene Struktur 206 markiert die Bereiche der Finnen 106 und der Muldenbereiche 104, welche geätzt werden sollen (entsprechend der Öffnung 206 in 4). Bei dieser Ausführungsform dehnt sich die eingeschnittene Struktur 206 von einem Rand eines Gate-Stapels 500 zu einem Rand eines anderen Gate-Stapels 500 aus. Es ist anzumerken, dass der „Einschneide“-Prozess (d. h. Ätzen der Finnen 106 und der Muldenbereiche 104) auftritt, bevor die Gate-Stapel 500 ausgebildet werden. Deshalb beschädigt der „Einschneide“-Prozess die später ausgebildeten Gate-Stapel 500 nicht. Weiterhin fungieren die Gate-Stapel 500 auf beiden Seiten der eingeschnittenen Struktur 206 in dem PMOS-Bereich nicht als ein Gate, da es keinen Source oder Drain auf einer Seite des Gates gibt, aber sie können bei manchen Ausführungsformen als ein Verbindungsweg fungieren. Die Finnen 106e' und 106f sind den Finnen 106e bzw. 106f der 2 bis 11 äquivalent, aber sie sind auf der linken Seite der Finne 106a angeordnet. Die Finnen 106e und 106f der 2 bis 11 sind Teil der SRAM-Zelle rechts der in 12 gezeigten und sind in dieser Figur nicht illustriert. Die Muldenisolationsmerkmale 404 nehmen einen Raum in den Muldenbereichen 104 ein, welcher der eingeschnittenen Struktur 206 entspricht.
  • Obwohl sie nicht als einschränkend vorgesehen sind, stellen eine oder mehrere Ausführungsformen der vorliegenden Offenbarung viele Vorteile für eine Halbleitervorrichtung und ihre Ausbildung bereit. Beispielsweise stellen Ausführungsformen der vorliegenden Offenbarung Muldenisolationsmerkmale in einer FinFET-Schaltung, insbesondere FinFET-SRAM-Zellen, bereit. Die Muldenisolationsmerkmale reduzieren eine Leckmenge zwischen zwei einander angrenzenden und entgegengesetzt dotierten Muldenbereichen, wodurch die Wahrscheinlichkeit reduziert wird, einen Latch-up durch PNPN- oder NPNP-Strukturen in der Schaltung auszulösen.
  • Bei einem beispielhaften Gesichtspunkt betrifft die vorliegende Offenbarung ein Verfahren. Das Verfahren umfasst Erhalten einer Struktur, welche ein Substrat umfasst, umfassend einen ersten Muldenbereich mit einem ersten Dotierungstyp und einen zweiten Muldenbereich mit einem zweiten Dotierungstyp, welcher dem ersten Dotierungstyp entgegengesetzt ist; und Finnen, welche aus dem Substrat hervorstehen. Das Verfahren umfasst weiterhin Ausbilden einer strukturierten Ätzmaske auf der Struktur, wobei die strukturierte Ätzmaske eine Öffnung bereitstellt, welche unmittelbar über einer ersten Finne der Finnen ist, wobei die erste Finne unmittelbar über dem ersten Muldenbereich ist. Das Verfahren umfasst weiterhin Ätzen der Struktur durch die strukturierte Ätzmaske, wobei das Ätzen die erste Finne entfernt und eine Vertiefung in dem Substrat ausbildet, welche von dem ersten Muldenbereich in den zweiten Muldenbereich misst; und Ausbilden eines dielektrischen Materials zwischen verbleibenden Abschnitten der Finnen und innerhalb der Vertiefung.
  • Bei einer Ausführungsform umfasst das Verfahren vor dem Ausbilden der strukturierten Ätzmaske weiterhin Ausbilden einer dielektrischen Auskleidung über dem Substrat und über Deckflächen und Seitenwänden der Finnen, wobei die Öffnung die dielektrische Auskleidung freilegt, welche über den Deckflächen und Seitenwänden der ersten Finne angeordnet ist.
  • Bei einer Ausführungsform des Verfahrens ist eine zweite Finne der Finnen unmittelbar über dem zweiten Muldenbereich und ist am nächsten der ersten Finne entlang einer Finnenbreitenrichtung, und ist die Öffnung unmittelbar über einem ersten Abschnitt des zweiten Muldenbereichs zwischen der ersten Finne und der zweiten Finne. Bei einer weiteren Ausführungsform ist eine Breite des ersten Abschnitts 40 % bis 60 % einer Breite des zweiten Muldenbereichs zwischen der ersten Finne und der zweiten Finne entlang der Finnenbreitenrichtung.
  • Bei einer weiteren Ausführungsform des Verfahrens ist die Vertiefung mindestens 40 nm tief von einer oberen Oberfläche des Substrats in dem Substrat. Bei einer weiteren Ausführungsform wird eine Tiefe der Vertiefung unter Verwendung eines Zeitgebers während des Ätzens der Struktur gesteuert. Bei einer weiteren Ausführungsform ist ein erster Abschnitt des ersten Muldenbereichs und des zweiten Muldenbereichs, welcher durch das Ätzen entfernt wird, stärker dotiert als ein zweiter Abschnitt des ersten Muldenbereichs und des zweiten Muldenbereichs, welcher unter der Vertiefung verbleibt.
  • Bei einer Ausführungsform des Verfahrens ist ein oberer Abschnitt der Vertiefung breiter als ein unterer Abschnitt der Vertiefung. Bei einer anderen Ausführungsform, ist der erste Dotierungstyp n-leitend und ist der zweite Dotierungstyp p-leitend. Bei wieder einer anderen Ausführungsform umfasst das Verfahren weiterhin Entfernen der strukturierten Ätzmaske nach dem Ätzen der Struktur und vor dem Ausbilden des dielektrischen Materials.
  • Bei einem anderen beispielhaften Gesichtspunkt betrifft die vorliegende Offenbarung ein Verfahren. Das Verfahren umfasst Erhalten einer Struktur, welche ein Substrat umfasst, umfassend einen N-Muldenbereich und einen P-Muldenbereich, welcher an den N-Muldenbereich angrenzt; und Finnenstrukturen, welche aus dem Substrat hervorstehen, wobei das Verfahren weiterhin Ausbilden einer dielektrischen Auskleidung über einer oberen Oberfläche des Substrats und über Deckflächen und Seitenwänden der Finnenstrukturen umfasst. Das Verfahren umfasst weiterhin Ausbilden einer strukturierten Ätzmaske über der Struktur, wobei die strukturierte Ätzmaske eine Öffnung aufweist, wobei eine erste Finnenstruktur der Finnenstrukturen in der Öffnung steht, wobei die erste Finnenstruktur unmittelbar über dem N-Muldenbereich ist. Das Verfahren umfasst weiterhin Ätzen der ersten Finnenstruktur und des Substrats durch die Öffnung, wobei das Ätzen eine Vertiefung in dem Substrat ausbildet, welche eine Grenze zwischen dem N-Muldenbereich und dem P-Muldenbereich kreuzt; und Ausbilden eines dielektrischen Materials zwischen verbleibenden Abschnitten der Finnenstrukturen und innerhalb der Vertiefung.
  • Bei einer Ausführungsform des Verfahrens umfasst das Ausbilden der strukturierten Ätzmaske Ausbilden einer Füllschicht über der dielektrischen Auskleidung und um die Finnenstrukturen herum; Ausbilden einer Fotoresistschicht auf der Füllschicht; Strukturieren der Fotoresistschicht, um zu einer strukturierten Fotoresistschicht zu gelangen; und Ätzen der Füllschicht durch die strukturierte Fotoresistschicht, um die Öffnung bereitzustellen.
  • Bei einer anderen Ausführungsform des Verfahrens umfasst jede der Finnenstrukturen eine Halbleiterfinne, welche mit dem Substrat und einer harten Maske über den Finnen verbunden ist, welche über der Halbleiterfinne angeordnet ist. Bei wieder einer anderen Ausführungsform legt die Öffnung einen Abschnitt der dielektrischen Auskleidung unmittelbar über dem P-Muldenbereich frei.
  • Bei einer Ausführungsform des Verfahrens ist eine Entfernung von einer oberen Oberfläche des Substrats bis zu einer unteren Oberfläche der Vertiefung mindestens 25 nm. Bei einer anderen Ausführungsform weist die Vertiefung ein konisch zulaufendes Profil auf, wobei eine Deckfläche der Vertiefung breiter ist als eine Bodenfläche der Vertiefung.
  • Bei noch einem anderen beispielhaften Gesichtspunkt betrifft die vorliegende Offenbarung eine Schaltungsvorrichtung. Die Schaltungsvorrichtung umfasst ein Substrat, welches einen ersten Muldenbereich mit einem ersten Dotierungstyp und einen zweiten Muldenbereich mit einem zweiten Dotierungstyp umfasst, welcher von dem ersten Dotierungstyp verschieden ist; Finnen, welche aus dem Substrat hervorstehen; ein dielektrisches Material, welches derartig zwischen den Finnen angeordnet ist, dass die Finnen über eine obere Oberfläche des dielektrischen Materials hervorstehen; und ein Muldenisolationsmerkmal, welches einen Abschnitt des dielektrischen Materials umfasst, welches sich in das Substrat ausdehnt, wobei eine untere Oberfläche des Muldenisolationsmerkmals unter einer oberen Oberfläche des Substrats ist, welche sich zwischen dem Muldenisolationsmerkmal und einer ersten Finne der Finnen ausdehnt.
  • Bei einer Ausführungsform der Schaltungsvorrichtung ist die untere Oberfläche des Muldenisolationsmerkmals mindestens 40 nm unter der oberen Oberfläche des Substrats. Bei einer anderen Ausführungsform weist das Muldenisolationsmerkmal gerundete untere Ecken auf. Bei wieder einer anderen Ausführungsform ist das Muldenisolationsmerkmal sowohl über dem ersten Muldenbereich als auch über dem zweiten Muldenbereich angeordnet, wobei ein größerer Abschnitt des Muldenisolationsmerkmals über dem ersten Muldenbereich angeordnet ist als über dem zweiten Muldenbereich.
  • Die obenstehende Beschreibung skizziert Merkmale von mehreren Ausführungsformen, so dass Durchschnittsfachleute die Gesichtspunkte der vorliegenden Offenbarung besser verstehen können. Durchschnittsfachleute sollten erkennen, dass sie die vorliegende Offenbarung als eine Basis zum Entwerfen oder Modifizieren anderer Prozesse und Strukturen zum Ausführen der gleichen Zwecke und/oder zum Erzielen der gleichen Vorteile der hier eingeführten Ausführungsformen unmittelbar verwenden können. Durchschnittsfachleute sollten auch realisieren, dass derartige äquivalente Konstruktionen den Gedanken und Schutzumfang der vorliegenden Offenbarung nicht verlassen und dass sie hier verschiedene Veränderungen, Substitutionen und Abänderungen anfertigen können, ohne den Gedanken und Schutzumfang der vorliegenden Offenbarung zu verlassen.
  • ZITATE ENTHALTEN IN DER BESCHREIBUNG
  • Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.
  • Zitierte Patentliteratur
    • US 62772658 [0001]

Claims (20)

  1. Verfahren, Folgendes umfassend: Erhalten einer Struktur, welche Folgendes umfasst: ein Substrat, umfassend einen ersten Muldenbereich mit einem ersten Dotierungstyp und einen zweiten Muldenbereich mit einem zweiten Dotierungstyp, welcher dem ersten Dotierungstyp entgegengesetzt ist; und Finnen, welche aus dem Substrat hervorstehen; Ausbilden einer strukturierten Ätzmaske auf der Struktur, wobei die strukturierte Ätzmaske eine Öffnung bereitstellt, welche unmittelbar über einer ersten Finne der Finnen ist, wobei die erste Finne unmittelbar über dem ersten Muldenbereich ist; Ätzen der Struktur durch die strukturierte Ätzmaske, wobei das Ätzen die erste Finne entfernt und eine Vertiefung in dem Substrat ausbildet, welche von dem ersten Muldenbereich in den zweiten Muldenbereich misst; und Ausbilden eines dielektrischen Materials zwischen verbleibenden Abschnitten der Finnen und innerhalb der Vertiefung.
  2. Verfahren nach Anspruch 1, vor dem Ausbilden der strukturierten Ätzmaske weiterhin Folgendes umfassend: Ausbilden einer dielektrischen Auskleidung über dem Substrat und über Deckflächen und Seitenwänden der Finnen, wobei die Öffnung die dielektrische Auskleidung freilegt, welche über den Deckflächen und Seitenwänden der ersten Finne angeordnet ist.
  3. Verfahren nach Anspruch 1 oder 2, wobei eine zweite Finne der Finnen unmittelbar über dem zweiten Muldenbereich ist und der ersten Finne entlang einer Finnenbreitenrichtung am nächsten ist und die Öffnung unmittelbar über einem ersten Abschnitt des zweiten Muldenbereichs zwischen der ersten Finne und der zweiten Finne ist.
  4. Verfahren nach Anspruch 3, wobei eine Breite des ersten Abschnitts 40 % bis 60 % einer Breite des zweiten Muldenbereichs zwischen der ersten Finne und der zweiten Finne entlang der Finnenbreitenrichtung ist.
  5. Verfahren nach einem der vorhergehenden Ansprüche, wobei die Vertiefung von einer oberen Oberfläche des Substrats mindestens 40 nm tief in dem Substrat ist.
  6. Verfahren nach einem der vorhergehenden Ansprüche, wobei eine Tiefe der Vertiefung unter Verwendung eines Zeitgebers während des Ätzens der Struktur gesteuert wird.
  7. Verfahren nach einem der vorhergehenden Ansprüche, wobei ein erster Abschnitt des ersten Muldenbereichs und des zweiten Muldenbereichs, welcher durch das Ätzen entfernt wird, stärker dotiert ist als ein zweiter Abschnitt des ersten Muldenbereichs und des zweiten Muldenbereichs, welcher unter der Vertiefung verbleibt.
  8. Verfahren nach einem der vorhergehenden Ansprüche, wobei ein oberer Abschnitt der Vertiefung breiter ist als ein unterer Abschnitt der Vertiefung.
  9. Verfahren nach einem der vorhergehenden Ansprüche, wobei der erste Dotierungstyp n-leitend ist und der zweite Dotierungstyp p-leitend ist.
  10. Verfahren nach einem der vorhergehenden Ansprüche, weiterhin Folgendes umfassend: Entfernen der strukturierten Ätzmaske nach dem Ätzen der Struktur und vor dem Ausbilden des dielektrischen Materials.
  11. Verfahren, Folgendes umfassend: Erhalten einer Struktur, welche Folgendes umfasst: ein Substrat, umfassend einen N-Muldenbereich und einen P-Muldenbereich, welcher an den N-Muldenbereich angrenzt; und Finnenstrukturen, welche aus dem Substrat hervorstehen; Ausbilden einer dielektrischen Auskleidung über einer oberen Oberfläche des Substrats und über einer Deckfläche und Seitenwänden der Finnenstrukturen; Ausbilden einer strukturierten Ätzmaske über der Struktur, wobei die strukturierte Ätzmaske eine Öffnung aufweist, wobei eine erste Finnenstruktur der Finnenstrukturen in der Öffnung steht, wobei die erste Finnenstruktur unmittelbar über dem N-Muldenbereich ist; Ätzen der ersten Finnenstruktur und des Substrats durch die Öffnung, wobei das Ätzen eine Vertiefung in dem Substrat ausbildet, welche eine Begrenzung zwischen dem N-Muldenbereich und dem P-Muldenbereich kreuzt; und Ausbilden eines dielektrischen Materials zwischen verbleibenden Abschnitten der Finnenstrukturen und innerhalb der Vertiefung.
  12. Verfahren nach Anspruch 11, wobei das Ausbilden der strukturierten Ätzmaske Folgendes umfasst: Ausbilden einer Füllschicht über der dielektrischen Auskleidung und um die Finnenstrukturen herum; Ausbilden einer Fotoresistschicht auf der Füllschicht; Strukturieren der Fotoresistschicht, um zu einer strukturierten Fotoresistschicht zu gelangen; und Ätzen der Füllschicht durch die strukturierte Fotoresistschicht, um die Öffnung bereitzustellen.
  13. Verfahren nach Anspruch 11 oder 12, wobei jede der Finnenstrukturen eine Halbleiterfinne umfasst, welche mit dem Substrat und einer harten Maske über den Finnen verbunden ist, welche über der Halbleiterfinne angeordnet ist.
  14. Verfahren nach einem der vorhergehenden Ansprüche 11 bis 13, wobei die Öffnung einen Abschnitt der dielektrischen Auskleidung unmittelbar über dem P-Muldenbereich freilegt.
  15. Verfahren nach einem der vorhergehenden Ansprüche 11 bis 14, wobei eine Entfernung von einer oberen Oberfläche des Substrats bis zu einer unteren Oberfläche der Vertiefung mindestens 25 nm ist.
  16. Verfahren nach einem der vorhergehenden Ansprüche 11 bis 15, wobei die Vertiefung ein konisch zulaufendes Profil aufweist, wobei eine Deckfläche der Vertiefung breiter ist als eine Bodenfläche der Vertiefung.
  17. Schaltungsvorrichtung, Folgendes umfassend: ein Substrat, welches einen ersten Muldenbereich mit einem ersten Dotierungstyp und einen zweiten Muldenbereich mit einem zweiten Dotierungstyp umfasst, welcher von dem ersten Dotierungstyp verschieden ist; Finnen, welche aus dem Substrat hervorstehen; ein dielektrisches Material, welches derartig zwischen den Finnen angeordnet ist, dass die Finnen über eine obere Oberfläche des dielektrischen Materials hervorstehen; und ein Muldenisolationsmerkmal, welches einen Abschnitt des dielektrischen Materials umfasst, welches sich in das Substrat ausdehnt, wobei eine untere Oberfläche des Muldenisolationsmerkmals unter einer oberen Oberfläche des Substrats ist, welche sich zwischen dem Muldenisolationsmerkmal und einer ersten Finne der Finnen ausdehnt.
  18. Schaltungsvorrichtung nach Anspruch 17, wobei die untere Oberfläche des Muldenisolationsmerkmals mindestens 40 nm unter der oberen Oberfläche des Substrats ist.
  19. Schaltungsvorrichtung nach Anspruch 17 oder 18, wobei das Muldenisolationsmerkmal gerundete untere Ecken aufweist.
  20. Schaltungsvorrichtung nach einem der vorhergehenden Ansprüche 17 bis 19, wobei das Muldenisolationsmerkmal sowohl über dem ersten Muldenbereich als auch über dem zweiten Muldenbereich angeordnet ist und wobei ein größerer Abschnitt des Muldenisolationsmerkmals über dem ersten Muldenbereich angeordnet ist als über dem zweiten Muldenbereich.
DE102019118368.5A 2018-11-29 2019-07-08 Finnen-fet-schaltungsvorrichtungen mit muldenisolation Pending DE102019118368A1 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862772658P 2018-11-29 2018-11-29
US62/772,658 2018-11-29
US16/450,278 US11264268B2 (en) 2018-11-29 2019-06-24 FinFET circuit devices with well isolation
US16/450,278 2019-06-24

Publications (1)

Publication Number Publication Date
DE102019118368A1 true DE102019118368A1 (de) 2020-06-04

Family

ID=70680918

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102019118368.5A Pending DE102019118368A1 (de) 2018-11-29 2019-07-08 Finnen-fet-schaltungsvorrichtungen mit muldenisolation

Country Status (3)

Country Link
US (2) US11264268B2 (de)
KR (1) KR102370293B1 (de)
DE (1) DE102019118368A1 (de)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP4109510A1 (de) * 2021-06-25 2022-12-28 INTEL Corporation Extrem-ultraviolett-lithographie-musterung mit hilfsmerkmalen

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111430241B (zh) * 2019-01-09 2023-06-13 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US11688610B2 (en) * 2020-09-30 2023-06-27 Taiwan Semiconductor Manufacturing Co., Ltd. Feature patterning using pitch relaxation and directional end-pushing with ion bombardment

Family Cites Families (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100415085B1 (ko) 2001-06-28 2004-01-13 주식회사 하이닉스반도체 래치업을 방지할 수 있는 반도체장치의 제조방법
US8816444B2 (en) 2011-04-29 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. System and methods for converting planar design to FinFET design
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US8785285B2 (en) 2012-03-08 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US8860148B2 (en) 2012-04-11 2014-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET integrated with capacitor
US9368388B2 (en) * 2012-04-13 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus for FinFETs
US9583398B2 (en) * 2012-06-29 2017-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit having FinFETS with different fin profiles
US9105490B2 (en) 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8823065B2 (en) 2012-11-08 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8772109B2 (en) 2012-10-24 2014-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for forming semiconductor contacts
US9236300B2 (en) 2012-11-30 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs in SRAM cells and the method of forming the same
KR102013842B1 (ko) * 2013-02-08 2019-08-26 삼성전자주식회사 반도체 소자의 제조 방법
US9349730B2 (en) * 2013-07-18 2016-05-24 Globalfoundries Inc. Fin transformation process and isolation structures facilitating different Fin isolation schemes
US9425296B2 (en) 2013-09-09 2016-08-23 Qualcomm Incorporated Vertical tunnel field effect transistor
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9147730B2 (en) * 2014-03-03 2015-09-29 Globalfoundries Inc. Methods of forming fins for FinFET semiconductor devices and selectively removing some of the fins by performing a cyclical fin cutting process
US9412838B2 (en) * 2014-09-30 2016-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Ion implantation methods and structures thereof
CN105575878A (zh) * 2014-10-17 2016-05-11 中芯国际集成电路制造(上海)有限公司 一种浅沟槽隔离结构及其制作方法
US9324827B1 (en) * 2014-10-28 2016-04-26 Globalfoundries Inc. Non-planar schottky diode and method of fabrication
US9455198B1 (en) * 2014-12-08 2016-09-27 Globalfoundries Inc. Methods of removing fins so as to form isolation structures on products that include FinFET semiconductor devices
US9537010B2 (en) * 2015-02-04 2017-01-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US9899489B2 (en) 2015-02-13 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical gate all around (VGAA) devices and methods of manufacturing the same
KR102312346B1 (ko) * 2015-02-23 2021-10-14 삼성전자주식회사 반도체 소자 형성 방법
CN106158628B (zh) * 2015-03-23 2020-10-16 联华电子股份有限公司 半导体结构及其制作工艺
KR102460718B1 (ko) 2015-05-28 2022-10-31 삼성전자주식회사 집적회로 소자
US9779960B2 (en) * 2015-06-01 2017-10-03 Globalfoundries Inc. Hybrid fin cutting processes for FinFET semiconductor devices
US9946827B2 (en) 2015-07-16 2018-04-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for mandrel and spacer patterning
CN106571341B (zh) * 2015-10-10 2020-03-10 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
JP6582972B2 (ja) 2015-12-25 2019-10-02 ブラザー工業株式会社 現像カートリッジ
US10038058B2 (en) * 2016-05-07 2018-07-31 Silicon Space Technology Corporation FinFET device structure and method for forming same
TWI707473B (zh) 2016-11-23 2020-10-11 聯華電子股份有限公司 半導體裝置以及其製作方法
US10522417B2 (en) * 2017-04-27 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device with different liners for PFET and NFET and method of fabricating thereof
JP6303122B1 (ja) 2017-07-11 2018-04-04 住友ゴム工業株式会社 シャフトセット
CN109300971B (zh) * 2017-07-24 2022-06-21 联华电子股份有限公司 半导体结构及其制造方法
US10727343B2 (en) * 2017-09-28 2020-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having fin structures
US10629497B2 (en) * 2017-11-02 2020-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device structure and method for enlarging gap-fill window
US10978351B2 (en) 2017-11-17 2021-04-13 Taiwan Semiconductor Manufacturing Co., Ltd. Etch stop layer between substrate and isolation structure
US10319581B1 (en) 2017-11-30 2019-06-11 Taiwan Semiconductor Manufacturing Co., Ltd. Cut metal gate process for reducing transistor spacing
US10665702B2 (en) * 2017-12-27 2020-05-26 Samsung Electronics Co., Ltd. Vertical bipolar transistors
US11616056B2 (en) * 2018-01-18 2023-03-28 Intel Corporation Vertical diode in stacked transistor architecture
US10461078B2 (en) 2018-02-26 2019-10-29 Taiwan Semiconductor Manufacturing Co., Ltd. Creating devices with multiple threshold voltage by cut-metal-gate process
CN110690218B (zh) * 2018-07-05 2022-07-05 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
US10790184B2 (en) * 2018-09-28 2020-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Isolation with multi-step structure for FinFET device and method of forming the same

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP4109510A1 (de) * 2021-06-25 2022-12-28 INTEL Corporation Extrem-ultraviolett-lithographie-musterung mit hilfsmerkmalen

Also Published As

Publication number Publication date
US11948829B2 (en) 2024-04-02
KR20200066160A (ko) 2020-06-09
US20200176303A1 (en) 2020-06-04
US11264268B2 (en) 2022-03-01
US20220246465A1 (en) 2022-08-04
KR102370293B1 (ko) 2022-03-04

Similar Documents

Publication Publication Date Title
DE102019106654B4 (de) Integrierte Schaltkreise mit vergrabenen Verbindungsleitern und Verfahren zu deren Herstellung
DE102017012299B3 (de) Von dielektrischen finnen und abstandshaltern begrenzte epitaxlale strukturelemente und verfahren zu ihrer herstellung
DE102015112267B4 (de) Verfahren und struktur für finfet
DE102015113081B4 (de) Feldeffekttransistorstruktur mit mehreren Schwellenspannungen und Herstellungsverfahren dafür
DE102017117942A1 (de) Multi-Gate-Vorrichtung und Herstellungsverfahren dafür
DE102016116001B4 (de) Lokale verbindung in einem halbleiter-bauelement und verfahren zur herstellung einer solchen
DE102019110116A1 (de) SRAM-Struktur und Verfahren zu ihrer Herstellung
DE102017113681A1 (de) Halbleiter-bauelement mit luft-abstandshalter
DE102019126565B4 (de) Mehrfachgatevorrichtung und zugehörige verfahren
DE102019121117A1 (de) Luftspacer für eine gatestruktur eines transistors
DE102019118368A1 (de) Finnen-fet-schaltungsvorrichtungen mit muldenisolation
DE102020130964A1 (de) Vertikal ausgerichteter komplementärer transistor
DE102019107491B4 (de) Einstellen der Schwellenspannung durch metastabile Plasmabehandlung
DE102020110870A1 (de) Metall-source-/drainmerkmale
DE102017120267A1 (de) Verfahren zur herstellung von source/drain-kontakt in halbleitervorrichtungen
DE102021101178A1 (de) Integrierte-schaltkreis-struktur mit rückseitiger dielektrischer schicht mit luftspalt
DE102019121750B4 (de) Metallgatestrukturschneidverfahren und damit hergestellte halbleitervorrichtung
DE102021109770B4 (de) Hybrid-halbleitervorrichtung
DE102017127154B4 (de) Finnenstrukturierung für halbleitervorrichtungen
CN111244175B (zh) 电路器件及其形成方法
DE102020127426A1 (de) Ein-/Ausgabevorrichtungen
DE102020120265A1 (de) Bilden von Isolationsregionen zum Trennen von Finnen und Gate-Stapeln
DE102017117865A1 (de) Verbindungsstruktur und zugehörige Verfahren
DE102017126850A1 (de) Dotierungsverfahren mit konformer Einbringung für einen Fin-Feldeffekttransistor
DE102021110572A1 (de) Halbleiterbauelement mit kriechstromunterdrückung und verfahren zur herstellung davon

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication