DE102017124097A1 - Stromnetzstrukturen und verfahren zu ihrer herstellung - Google Patents

Stromnetzstrukturen und verfahren zu ihrer herstellung Download PDF

Info

Publication number
DE102017124097A1
DE102017124097A1 DE102017124097.7A DE102017124097A DE102017124097A1 DE 102017124097 A1 DE102017124097 A1 DE 102017124097A1 DE 102017124097 A DE102017124097 A DE 102017124097A DE 102017124097 A1 DE102017124097 A1 DE 102017124097A1
Authority
DE
Germany
Prior art keywords
metal
segments
level
segment
metal segments
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE102017124097.7A
Other languages
English (en)
Other versions
DE102017124097B4 (de
Inventor
Hiranmay BISWAS
Chi-Yeh Yu
Chung-Hsing Wang
Kuo-Nan Yang
Stefan Rusu
Chin-Shen LIN
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102017124097A1 publication Critical patent/DE102017124097A1/de
Application granted granted Critical
Publication of DE102017124097B4 publication Critical patent/DE102017124097B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0611Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5286Arrangements of power or ground buses
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/50Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor for integrated circuit devices, e.g. power bus, number of leads
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76847Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned within the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/394Routing

Abstract

Eine integrierte Schaltungs-(IC)-Struktur weist eine Stromschiene auf, die in eine Stromschienenrichtung ausgerichtet ist, und erste Metallsegmente über der Stromschiene, die in eine erste Metallniveaurichtung senkrecht zu der Stromschienenrichtung ausgerichtet sind. Erste Durchkontaktierungen, die zwischen der Stromschiene und den ersten Metallsegmenten positioniert sind, sind an Stellen positioniert, an welchen die ersten Metallsegmente die Stromschiene überlappen. Ein zweites Metallsegment ist über den ersten Metallsegmenten positioniert, überlappt die Stromschiene und ist in die Stromschienenrichtung ausgerichtet. Zweite Durchkontaktierungen sind über den ersten Durchkontaktierungen zwischen den ersten Metallsegmenten und den zweiten Metallsegmenten positioniert, und ein Strom-Strap ist über dem zweiten Metallsegment positioniert. Der Strom-Strap ist mit der Stromschiene elektrisch verbunden, jedes erste Metallsegment der Vielzahl erster Metallsegmente hat eine Mindestbreite, und der Strom-Strap hat eine Breite, die größer ist als eine Mindestbreite.

Description

  • STAND DER TECHNIK
  • In vielen integrierten Schaltungen (ICs) werden Stromschienen verwendet, um Strom zu funktionalen Schaltungselementen, die in einem Substrat gebildet sind, zu verteilen. Strom wird oft zu Stromschienen geliefert, die Metallschichten zwischen den Stromschienen und Strom-Straps auf einem Niveau über dem Niveau der Stromschienen verwenden.
  • Der Widerstand einer IC-Struktur, die solche Metallschichten aufweist, kann sich auf die Effizienz der Stromzufuhr, Wärmeerzeugung und Anfälligkeit für Elektromigration (EM) auswirken. Das Verlegen der Metallschichten kann auch das Verlegen zusätzlicher elektrischer Verbindungen zu den funktionalen Schaltungselementen beeinflussen.
  • Figurenliste
  • Aspekte der vorliegenden Offenbarung versteht man am besten aus der folgenden ausführlichen Beschreibung unter Heranziehung der begleitenden Figuren. Es wird betont, dass in Übereinstimmung mit der Standardpraxis der Industrie diverse Elemente nicht maßstabgerecht gezeichnet sind. Die Maße der diversen Elemente können nämlich zur Klarheit der Besprechung willkürlich vergrößert oder verkleinert werden.
    • Die 1A, 1B und 1C sind Skizzen einer IC-Struktur in Übereinstimmung mit einigen Ausführungsformen.
    • Die 2A, 2B und 2C sind Skizzen einer IC-Struktur in Übereinstimmung mit einigen Ausführungsformen.
    • Die 3A, 3B und 3C sind Skizzen einer IC-Struktur in Übereinstimmung mit einigen Ausführungsformen.
    • Die 4A und 4B sind Skizzen einer IC-Struktur in Übereinstimmung mit einigen Ausführungsformen.
    • Die 5A und 5B sind Skizzen einer IC-Struktur in Übereinstimmung mit einigen Ausführungsformen.
    • 6 ist ein Ablaufdiagramm eines Verfahrens zum Herstellen einer IC-Struktur in Übereinstimmung mit einigen Ausführungsformen.
    • 7 ist ein Blockschaltbild einer IC-Struktur in Übereinstimmung mit mindestens einer Ausführungsform der vorliegenden Offenbarung.
    • 8A ist eine Layoutskizze einer leitfähigen Leitungsstruktur einer IC-Struktur in Übereinstimmung mit mindestens einer Ausführungsform der vorliegenden Offenbarung.
    • 8B ist eine Querschnittskizze einer leitfähigen Leitungsstruktur einer IC-Struktur in Zusammenhang mit dem Layout der 8A in Übereinstimmung mit mindestens einer Ausführungsform der vorliegenden Offenbarung.
    • 9A ist eine Layoutskizze einer leitfähigen Leitungsstruktur einer IC-Struktur in Übereinstimmung mit mindestens einer Ausführungsform der vorliegenden Offenbarung.
    • 9B ist eine Querschnittskizze einer leitfähigen Leitungsstruktur einer IC-Struktur in Zusammenhang mit dem Layout der 9A in Übereinstimmung mit mindestens einer Ausführungsform der vorliegenden Offenbarung.
    • 10A ist ein Ablaufdiagramm eines Verfahrens zum Erzeugen eines Layouts einer IC-Struktur in Übereinstimmung mit einigen Ausführungsformen.
    • 10B ist ein High-Level-Prozessablauf eines Verfahrens zum Verlagern mindestens einer Säule einer IC-Struktur in einem Layout in Übereinstimmung mit mindestens einer Ausführungsform der vorliegenden Offenbarung.
    • 11 ist ein Blockschaltbild eines elektronischen Designautomatisierungs- (Electronic Design Automation - EDA)-Systems in Übereinstimmung mit mindestens einer Ausführungsform der vorliegenden Offenbarung.
    • 12 ist ein Blockschaltbild eines Systems zur Herstellung einer integrierten Schaltung (IC), und ein IC-Herstellungsablauf, der damit verbunden ist, in Übereinstimmung mit mindestens einer Ausführungsform der vorliegenden Offenbarung.
    • 0019] Die 13A-13B sind Layoutskizzen eines Abschnitts einer verlagerten Säule einer IC-Struktur in Übereinstimmung mit mindestens einer Ausführungsform der vorliegenden Offenbarung.
    • [0020] Die 14A-14B sind Layoutskizzen eines Abschnitts von zwei verlagerten Säulen einer IC-Struktur in Übereinstimmung mit mindestens einer Ausführungsform der vorliegenden Offenbarung.
    • [0021] 15 ist ein High-Level-Prozessablauf eines anderen Verfahrens zum Verlagern mindestens einer Säule einer IC-Struktur in einem Layout in Übereinstimmung mit mindestens einer Ausführungsform der vorliegenden Offenbarung.
  • AUSFÜHRLICHE BESCHREIBUNG
  • Die folgende Offenbarung stellt viele unterschiedliche Ausführungsformen oder Beispiele zum Umsetzen unterschiedlicher Elemente des bereitgestellten Gegenstands bereit. Spezifische Beispiele von Bauteilen, Werten, Vorgängen, Materialien, Anordnungen usw. sind unten beschrieben, um die vorliegende Offenbarung zu vereinfachen. Es sind dies natürlich nur Beispiele, die nicht bezwecken, einschränkend zu sein. Andere Bauteile, Werte, Vorgänge, Materialien, Anordnungen usw. werden in Betracht gezogen. Das Ausbilden eines ersten Elements über oder auf einem zweiten Element in der folgenden Beschreibung kann zum Beispiel Ausführungsformen aufweisen, bei welchen das erste und das zweite Element in direktem Kontakt ausgebildet sind, und kann auch Ausführungsformen aufweisen, bei welchen zusätzliche Elemente zwischen dem ersten und dem zweiten Element ausgebildet werden können, so dass das erste und das zweite Element eventuell nicht in direktem Kontakt sind. Zusätzlich kann die vorliegende Offenbarung Bezugszeichen und/oder Bezugsbuchstaben in diversen Beispielen wiederholen. Diese Wiederholung soll der Einfachheit und der Klarheit dienen und schreibt selbst keine Beziehung zwischen den diversen besprochenen Ausführungsformen und/oder Konfigurationen vor.
  • Ferner können räumliche Bezugsbegriffe, wie zum Beispiel „unterhalb“, „unter“, „niedriger“, „oberhalb“, „ober“ und dergleichen hier zur Erleichterung der Beschreibung verwendet werden, um die Beziehung eines Elements oder Merkmals zu einem oder mehreren anderen Elementen oder Elementen, wie sie in den FIG. veranschaulicht sind, zu beschreiben. Die räumlichen Bezugsbegriffe können bezwecken, unterschiedliche Ausrichtungen der Vorrichtung beim Gebrauch oder Betrieb zusätzlich zu der Ausrichtung, die in den FIG. abgebildet ist, einzuschließen. Das Gerät kann anders ausgerichtet sein (um 90 Grad gedreht oder an andere Ausrichtungen), und die räumlichen Bezugsdeskriptoren, die hier verwendet werden, werden entsprechend ausgelegt.
  • Eine IC-Struktur weist eine Stromschiene und erste Metallsegmente über der Stromschiene auf. Die ersten Metallsegmente sind in eine erste Metallniveaurichtung senkrecht zu einer Stromschienenrichtung ausgerichtet, und erste Durchkontaktierungen sind zwischen der Stromschiene und den ersten Metallsegmenten an Stellen, an welchen erste Metallsegmente die Stromschiene überlappen, positioniert. Ein zweites Metallsegment ist über den ersten Metallsegmenten positioniert, überlappt die Stromschiene und ist in die Stromschienenrichtung ausgerichtet. Zweite Durchkontaktierungen sind über den ersten Durchkontaktierungen zwischen den ersten Metallsegmenten und den zweiten Metallsegmenten positioniert. Ein Strom-Strap ist über dem zweiten Metallsegment positioniert und mit der Stromschiene durch die ersten und zweiten Metallsegmente und die ersten und zweiten Durchkontaktierungen elektrisch verbunden. Jedes erste Metallsegment der Vielzahl erster Metallsegmente hat eine Mindestbreite, und der Strom-Strap hat eine Breite größer als eine Mindestbreite. Bei einigen Ausführungsformen ist ein zweiter Strom-Strap mit einer zweiten Stromschiene elektrisch verbunden.
  • Die 1A, 1B und 1C sind Skizzen einer IC-Struktur 100 in Übereinstimmung mit einigen Ausführungsformen. 1A ist eine Skizze, die auf einer Draufsicht eines unteren Abschnitts der IC-Struktur 100 basiert, 1B ist eine Skizze, die auf einer Draufsicht eines oberen Abschnitts der IC-Struktur 100 basiert, und 1C ist eine Skizze, die auf einer Querschnittansicht der IC-Struktur 100, wie sie von einer Ebene A-A' geschnitten wird, basiert.
  • Wie in 1A gezeigt, weist die IC-Struktur 100 Stromschienen 100A und 100B, erste Metallsegmente 110A, 110B, 110C, 110D, 110E, 110F, 110G und 110H und zweite Metallsegmente 120A, 120B, 120C und 120D auf. Eine erste Richtung X und eine zweite Richtung Y, die zu der ersten Richtung X senkrecht ist, sind in 1A angegeben. Wie in 1B gezeigt, weist die IC-Struktur 100 auch dritte Metallsegmente 130A, 130B, 130C, 130D, 130E, 130F, 130G und 130H auf. Der Einfachheit halber wurden die ersten Metallsegmente 110A bis 110H in 1B weggelassen.
  • Wie in 1C (teilweise) gezeigt, sind die Stromschienen 100A und 100B auf einem Stromschienenniveau 100L positioniert, die ersten Metallsegmente 110A, 110B, 110C, 110D, 110E, 110F, 110G und 110H sind auf einem ersten Metallniveau 110L über dem Stromschienenniveau 100L positioniert, zweite Metallsegmente 120A, 120B, 120C und 120D sind auf einem zweiten Metallniveau 120L über dem ersten Metallniveau 110L positioniert, und dritte Metallsegmente 130A, 130B, 130C, 130D, 130E, 130F, 130G und 130H sind auf einem dritten Metallniveau 130L über dem zweiten Metallniveau 120L positioniert.
  • Bei einigen Ausführungsformen liegt das erste Metallniveau 110L unmittelbar über dem Stromschienenniveau 100L. Bei einigen Ausführungsformen trennen ein oder mehrere Metallniveaus (nicht gezeigt) das erste Metallniveau 110L von dem Stromschienenniveau 100L, und das erste Metallniveau 110L liegt nicht unmittelbar über dem Stromschienenniveau 100L.
  • Bei einigen Ausführungsformen liegt das zweite Metallniveau 120L unmittelbar über dem ersten Metallniveau 110L. Bei einigen Ausführungsformen trennen ein oder mehrere Metallniveaus (nicht gezeigt) das zweite Metallniveau 120L von dem ersten Metallniveau 110L, und das zweite Metallniveau 120L liegt nicht unmittelbar über dem ersten Metallniveau 110L.
  • Bei einigen Ausführungsformen liegt ein drittes Metallniveau 130L unmittelbar über dem zweiten Metallniveau 120L. Bei einigen Ausführungsformen trennen ein oder mehrere Metallniveaus (nicht gezeigt) das dritte Metallniveau 130L von dem zweiten Metallniveau 120L, und das dritte Metallniveau 130L liegt nicht unmittelbar über dem zweiten Metallniveau 120L.
  • Bei einigen Ausführungsformen ist das Stromschienenniveau 100L eine Metall-Null-Schicht eines IC-Prozesses, das erste Metallniveau 110 ist eine Metall-Eins-Schicht des EC-Prozesses, das zweite Metallniveau 120L ist eine Metall-Zwei-Schicht des IC-Prozesses, und das dritte Metallniveau 130L ist eine Metall-Drei-Schicht des IC-Prozesses.
  • Jede der Stromschienen 100A und 100B, jedes der ersten Metallsegmente 110A, 110B, 110C, 110D, 110E, 110F, 110G und 110H, jedes der zweiten Metallsegmente 120A, 120B, 120C und 120D und jedes der dritten Metallsegmente 130A, 130B, 130C, 130D, 130E, 130F, 130G und 130H umfasst ein leitfähiges Material, wie zum Beispiel ein Metall, eine Metallzusammensetzung oder ein anderes geeignetes Material, das in einer oder mehreren Metallisierungsschichten durch einen physikalischen Gasphasenabscheidungs- und/oder einen chemischen Gasphasenabscheidungs- und/oder einen Plattierungsprozess oder einen anderen geeigneten Prozess gebildet wird.
  • Die Stromschienen 100A und 100B sind elektrisch leitfähige Leitungssegmente, die parallel auf dem Stromschienenniveau 100L positioniert und in die erste Richtung X ausgerichtet sind. Die erste Richtung X wird auch als eine Stromschienenrichtung beschrieben. Bei einigen Ausführungsformen weist die IC-Struktur 100 nur eine der Stromschienen 100A oder 100B auf.
  • Bei einigen Ausführungsformen sind die Stromschienen 100A und 100B als ausgehende Stromschienen für VDD und VSS eines oder mehrerer funktionaler Schaltungselemente (nicht gezeigt), die an und/oder unter dem Stromschienenniveau 100 L positioniert ist/sind, konfiguriert. Bei einigen Ausführungsformen sind ein oder mehrere funktionale Schaltungselemente als Zellen (nicht gezeigt), die zwischen den Leistungsschienen 100A und 100B positioniert sind, konfiguriert. Das eine oder die mehreren funktionalen Schaltungselemente weisen einen Transistor, eine Diode, einen Widerstand, einen Induktor, einen Kondensator oder eine andere geeignete Vorrichtung oder eine Kombination aus einer oder mehreren solchen Vorrichtungen, die in einem Substrat gebildet ist, auf.
  • Die ersten Metallsegmente 110A, 110B, 110C, 110D, 110E, 110F, 110G und 110H sind elektrisch leitfähige Leitungssegmente, die parallel auf dem ersten Metallniveau 110L positioniert und in eine zweite Richtung Y ausgerichtet sind. Die zweite Richtung Y wird auch als eine erste Metallniveaurichtung beschrieben.
  • Jedes der ersten Metallsegmente 110A, 110B, 110C, 110D, 110E, 110F, 110G und 110H überlappt sowohl die Stromschiene 100A als auch die Stromschiene 100B, und wird auch als ein Metall-Strap beschrieben. Die ersten Metallsegmente 110A, 110B, 110C, 110D, 110E, 110F, 110G und 110H werden auch als eine erste Vielzahl von Metallsegmenten oder eine Vielzahl von Metall-Straps beschrieben. Bei einigen Ausführungsformen entspricht das erste Metallniveau 110L einem Metall-Strap-Niveau. Bei einigen Ausführungsformen entspricht die zweite Richtung Y einer Metall-Strap-Richtung.
  • Bei den Ausführungsformen, die in den 1A, 1B und 1C gezeigt sind, weist eine Vielzahl erster Metallsegmente acht erste Metallsegmente auf. Bei einigen Ausführungsformen weist eine Vielzahl erster Metallsegmente erste Metallsegmente (nicht gezeigt) zusätzlich zu den ersten Metallsegmenten 110A, 110B, 110C, 110D, 110E, 110F, 110G auf. Bei einigen Ausführungsformen weist eine erste Vielzahl erster Metallsegmente eine Untermenge erster Metallsegmente 110A, 110B, 110C, 110D, 110E, 110F, 110G auf.
  • Bei einigen Ausführungsformen hat jedes erste Metallsegment einer Vielzahl erster Metallsegmente eine Breite, die einer vorbestimmten Mindestbreite für das erste Metallniveau 110L entspricht. Bei einigen Ausführungsformen hat jedes erste Metallsegment einer Vielzahl erster Metallsegmente eine Breite, die einer vorbestimmten Mindestbreite für eine Metall-Eins-Schicht eines IC-Prozesses entspricht. Aufgrund von Prozessvariationen, hat eine Breite, die einer vorbestimmten Mindestbreite entspricht, einen Wert innerhalb eines Toleranzbereichs um die vorbestimmte Mindestbreite.
  • Erste Metallsegmente 110A, 110B, 110C, 110D, 110E, 110F, 110G und 110H sind jeweils entlang von Bahnen 112A, 112B, 112C, 112D, 112E, 112F, 112G und 112H positioniert. Erste Metallsegmente 110A und 110B sind ein Paar erster Metallsegmente, die einen Abstand 114 haben, der als die Distanz zwischen Bahnen 112A und 112B definiert ist. Ähnlich sind erste Metallsegmente 110C und 110D, 110E und 110F und 110G und 110H Paare erster Metallsegmente, die einen Abstand 114 (nicht bezeichnet) haben. Die Beabstandung von Paaren erster Metallsegmente ist in 1A als Abstand 116, die Distanz zwischen Bahnen 112A und 112C gezeigt,
  • Bei einigen Ausführungsformen entspricht der Abstand 114 einem Poly-Abstand eines IC-Prozesses. Bei einigen Ausführungsformen entspricht der Abstand 114 einem Metall-Eins-Abstand eines IC-Prozesses, der derselbe ist wie ein Poly-Abstand des IC-Prozesses. Bei einigen Ausführungsformen entspricht der Abstand 114 einem Metall-Eins-Abstand eines IC-Prozesses, der von einem Poly-Abstand des IC-Prozesses unterschiedlich ist. Bei einigen Ausführungsformen entspricht der Abstand 114 einem Vielfachen eines Metall-Eins-Abstands eines IC-Prozesses.
  • Bei einigen Ausführungsformen ist der Abstand 116 ein Vielfaches des Abstands 114. Bei einigen Ausführungsformen ist der Abstand 116 ein Vielfaches des Abstands 114, der von acht bis 64 reicht. Bei einigen Ausführungsformen ist der Abstand 116 ein Vielfaches des Abstands 114, der gleich 16 ist. Bei einigen Ausführungsformen sind der Abstand 114 und der Abstand 116 beide Vielfache eines Metall-Eins-Abstands eines IC-Prozesses.
  • Die ersten Durchkontaktierungen 115 sind Durchkontaktierungen, die zwischen dem Stromschienenniveau 100L und einem ersten Metallniveau 110L an Stellen positioniert sind, an welchen erste Metallsegmente 110A, 110B, 110E und 110F die Stromschiene 100A überlappen, und an Stellen, an welchen die Metallsegmente 110C, 110D, 110G und 110H die erste Stromschiene 100B überlappen. Bei einigen Ausführungsformen sind die ersten Durchkontaktierungen 115 einzelne Durchkontaktierungen, die an den Stellen positioniert sind, an welchen die Metallsegmente 110C, 110D, 110G und 110H die erste Stromschiene 100B überlappen. Die ersten Durchkontaktierungen 115 sind nicht an Stellen positioniert, an welchen die ersten Metallsegmente 110A, 110B, 110E und 110F die Stromschiene 100B überlappen, oder an Stellen, an welchen die Metallsegmente 110C, 110D, 110G und 110H die erste Stromschiene 100A überlappen.
  • Anhand dieser Konfiguration, verbinden die ersten Durchkontaktierungen 115 elektrisch die ersten Metallsegmente 110A, 110B, 110E und 110F mit der Stromschiene 100A und sind frei von elektrischem Verbinden der ersten Metallsegmente 110A, 110B, 110E und 110F mit der Stromschiene 100B. Anhand dieser Konfiguration verbinden die ersten Durchkontaktierungen 115 elektrisch auch die ersten Metallsegmente 110C, 110D, 110G und 110H mit der Stromschiene 100B und sind frei von elektrischem Verbinden der ersten Metallsegmente 110C, 110D, 110G und 110H mit der Stromschiene 100A.
  • In Übereinstimmung mit dieser Konfiguration, sind die ersten Metallsegmente 110A, 110B, 110E und 110F als ein erster Satz von Paaren erster Metallsegmente beschrieben, und die ersten Metallsegmente 110C, 110D, 110G und 110H sind als ein zweiter Satz von Paaren erster Metallsegmente beschrieben. Bei der Ausführungsform, die in den 1A, 1B und 1C gezeigt ist, weist ein Satz von Paaren erster Metallsegmente zwei Paare erster Metallsegmente auf. Bei einigen Ausführungsformen weist ein Satz erster Metallsegmente Paare erste Metallsegmente (nicht gezeigt) zusätzlich zu den ersten Metallsegmenten 110A, 110B, 110C, 110D, 110E, 110F, 110G auf.
  • Zweite Metallsegmente 120A, 120B, 120C und 120D sind elektrisch leitfähige Leitungssegmente, die in die erste Richtung X ausgerichtet sind. Das zweite Metallsegment 120A überlappt die Stromschiene 100A an den Stellen, an welchen die ersten Metallsegmente 110A und 110B die Stromschiene 100A überlappen, und das zweite Metallsegment 120C überlappt die Stromschiene 100A an den Stellen, an welchen die ersten Metallsegmente 110E und 110F die Stromschiene 100A überlappen. Das zweite Metallsegment 120B überlappt die Stromschiene 100B an den Stellen, an welchen die ersten Metallsegmente 110C und 110D die Stromschiene 100B überlappen, und das zweite Metallsegment 120D überlappt die Stromschiene 100B an den Stellen, an welchen die ersten Metallsegmente 110G und 110H die Stromschiene 100B überlappen.
  • Jedes der zweiten Metallsegmente 120A und 120C ist frei von Überlappen der ersten Metallsegmente 110C, 110D, 110G oder 110H, und jedes der zweiten Metallsegmente 120B und 120D ist frei von Überlappen der ersten Metallsegmente 110A, 110B, 110E oder 110F.
  • Die zweiten Metallsegmente 120A, 120B, 120C und 120D werden auch als eine Vielzahl zweiter Metallsegmente beschrieben. Bei der Ausführungsform, die in den 1A, 1B und 1C gezeigt ist, weist eine Vielzahl zweiter Metallsegmente vier erste Metallsegmente auf. Bei einigen Ausführungsformen weist eine Vielzahl zweiter Metallsegmente zweite Metallsegmente (nicht gezeigt) zusätzlich zu den zweiten Metallsegmenten 120A, 120B, 120C, 120D auf. Bei einigen Ausführungsformen weist eine Vielzahl zweiter Metallsegmente eine Untermenge zweiter Metallsegmente 120A, 120B, 120C und 120D auf.
  • Bei einigen Ausführungsformen hat jedes zweite Metallsegment einer Vielzahl zweiter Metallsegmente eine Breite, die einer vorbestimmten Mindestbreite für das zweite Metallniveau 120L entspricht. Bei einigen Ausführungsformen hat jedes zweite Metallsegment einer Vielzahl zweiter Metallsegmente eine Breite, die einer vorbestimmten Mindestbreite für eine Metall-Zwei-Schicht eines IC-Prozesses entspricht.
  • Zweite Durchkontaktierungen 125 sind Durchkontaktierungen, die zwischen dem ersten Metallniveau 110L und dem zweiten Metallniveau 120L an Stellen über den Stellen, an welchen die ersten Durchkontaktierungen 115 positioniert sind, positioniert sind. Die zweiten Durchkontaktierungen sind daher an den Stellen positioniert, an welchen das zweite Metallsegment 120A die ersten Metallsegmente 110A und 110B überlappt, den Stellen, an welchen das zweite Metallsegment 120B die ersten Metallsegmente 110C und 110D überlappt, den Stellen, an welchen das zweite Metallsegment 120A die ersten Metallsegmente 110E überlappt, und an den Stellen, an welchen das zweite Metallsegment 120B die ersten Metallsegmente 110G und 110H überlappt. Bei einigen Ausführungsformen sind die zweiten Durchkontaktierungen 125 einzelne Durchkontaktierungen, die an den Stellen über den Stellen, an welchen die ersten Durchkontaktierungen 115 positioniert sind, positioniert sind.
  • Durch diese Konfiguration ist das zweite Metallsegment 120A mit den ersten Metallsegmenten 110A und 110B elektrisch verbunden, das zweite Metallsegment 120B ist mit den ersten Metallsegmenten 110C und 110D elektrisch verbunden, das zweite Metallsegment 120C ist mit den ersten Metallsegmenten 110E und 110F elektrisch verbunden, und das Metallsegment 120D ist mit den ersten Metallsegmenten 110G und 110H elektrisch verbunden.
  • Die dritten Metallsegmente 130A, 130B, 130C, 130D, 130E, 130F, 130G und 130H sind elektrisch leitfähige Leitungssegmente, die parallel auf dem dritten Metallniveau 130L positioniert und in die zweite Richtung Y ausgerichtet sind.
  • Jedes der dritten Metallsegmente 130A, 130B, 130C, 130D, 130E, 130F, 130G und 130H überlappt nur eine der Stromschienen 100A oder 100B und wird auch als ein Metallstummel beschrieben. Bei einigen Ausführungsformen entspricht das dritte Metallniveau 130L einem Metallstummelniveau.
  • Die dritten Metallsegmente 130A und 130B überlappen das zweite Metallsegment 120A und die Stromschiene 100A. Die dritten Metallsegmente 130C und 130D überlappen das zweite Metallsegment 120B und die Stromschiene 100B. Die dritten Metallsegmente 130E und 130F überlappen das zweite Metallsegment 120C und die Stromschiene 100A. Die dritten Metallsegmente 130G und 130H überlappen das zweite Metallsegment 120D und die Stromschiene 100B.
  • Die dritten Metallsegmente 130A, 130B, 130C, 130D, 130E, 130F, 130G und 130H werden auch als eine Vielzahl dritter Metallsegmente oder eine Vielzahl von Metallstummeln beschrieben. Bei den Ausführungsformen, die in den 1A, 1B und 1C gezeigt sind, weist eine Vielzahl dritter Metallsegmente acht dritte Metallsegmente auf. Bei einigen Ausführungsformen weist eine Vielzahl dritter Metallsegmente dritte Metallsegmente (nicht gezeigt) zusätzlich zu den dritten Metallsegmenten 130A, 130B, 130C, 130D, 130E, 130F, 130G und 130H auf. Bei einigen Ausführungsformen weist eine Vielzahl dritter Metallsegmente eine Untermenge dritter Metallsegmente 130A, 130B, 130C, 130D, 130E, 130F, 130G und 130H auf.
  • Die dritten Metallsegmente 130A, 130B, 130C, 130D, 130E, 130F, 130G und 130H sind jeweils entlang der Bahnen 132A, 132B, 132C, 132D, 132E, 132F, 132G und 132H positioniert.
  • Bei einigen Ausführungsformen sind die Bahnen 132A, 132B, 132C, 132D, 132E, 132F, 132G und 132H jeweils mit den Bahnen 112A, 112B, 112C, 112D, 112E, 112F, 112G und 112H ausgerichtet. Bei einigen Ausführungsformen ist jede der Bahnen 132A, 132B, 132C, 132D, 132E, 132F, 132G und 132H nicht mit einer entsprechenden Bahn der Bahnen 112A, 112B, 112C, 112D, 112E, 112F, 112G und 112H ausgerichtet, ist aber ausreichend nahe zu einer entsprechenden Bahn, so dass ein entsprechendes drittes Metallsegment der dritten Metallsegmente 130A, 130B, 130C, 130D, 130E, 130F, 130G und 130H ein entsprechendes erstes Metallsegment der ersten Metallsegmente 110A, 110B, 110C, 110D, 110E, 110F, 110G und 110H überlappt.
  • Bei einigen Ausführungsformen hat jedes dritte Metallsegment einer Vielzahl dritter Metallsegmente eine Breite, die einer vorbestimmten Mindestbreite für das dritte Metallniveau 130L entspricht. Bei einigen Ausführungsformen hat jedes dritte Metallsegment einer Vielzahl dritter Metallsegmente eine Breite, die einer vorbestimmten Mindestbreite für eine Metall-Drei-Schicht eines IC-Prozesses entspricht.
  • Die dritten Durchkontaktierungen 135 sind Durchkontaktierungen, die zwischen dem zweiten Metallniveau 120L und dem dritten Metallniveau 130L an Stellen über den Stellen, an welchen die zweiten Durchkontaktierungen 125 positioniert sind, positioniert sind. Daher sind dritte Durchkontaktierungen an den Stellen, an welchen die dritten Metallsegmente 130A und 130B das zweite Metallsegment 120A überlappen, positioniert, den Stellen, an welchen die dritten Metallsegmente 130C und 130D das zweite Metallsegment 120B überlappen, positioniert, den Stellen, an welchen die dritten Metallsegmente 130E und 130F das zweite Metallsegment 120C überlappen, positioniert und den Stellen, an welchen die dritten Metallsegmente 130G und 130H das zweite Metallsegment 120D überlappen, positioniert. Bei einigen Ausführungsformen sind die dritten Durchkontaktierungen 135 einzelne Durchkontaktierungen, die an den Stellen über den Stellen, an welchen die zweiten Durchkontaktierungen 125 positioniert sind, positioniert sind. Die dritten Durchkontaktierungen 135 sind in 1A mit einer dritten Durchkontaktierung 135 bezeichnet als ein Beispiel gezeigt.
  • Bei dieser Konfiguration sind die dritten Metallsegmente 130A und 130B mit dem zweiten Metallsegment 120A elektrisch verbunden, die dritten Metallsegmente 130C und 130D sind mit dem zweiten Metallsegment 120B elektrisch verbunden, die dritten Metallsegmente 130E und 130F sind mit dem zweiten Metallsegment 120C elektrisch verbunden, und die dritten Metallsegmente 130G und 130H sind mit dem zweiten Metallsegment 120D elektrisch verbunden.
  • Vierte Durchkontaktierungen 145 sind Durchkontaktierungen, die über dem dritten Metallniveau 130L an Stellen über den Stellen, an welchen die dritten Durchkontaktierungen 135 positioniert sind, positioniert sind. Wie unten unter Bezugnahme auf die IC-Struktur 500 besprochen, sind bei dieser Konfiguration die dritten Metallsegmente 130A und 130B mit einem vierten Metallsegment 540A elektrisch verbunden, die dritten Metallsegmente 130C und 130D sind mit einem vierten Metallsegment 540B elektrisch verbunden, die dritten Metallsegmente 130E und 130F sind mit einem vierten Metallsegment 540C elektrisch verbunden, und die dritten Metallsegmente 130G und 130H sind mit einem vierten Metallsegment 540D elektrisch verbunden. Bei einigen Ausführungsformen sind die vierten Durchkontaktierungen 145 einzelne Durchkontaktierungen, die an den Stellen über den Stellen, an welchen die dritten Durchkontaktierungen 135 positioniert sind, positioniert sind. Die vierten Durchkontaktierungen 145 sind in 1B mit einer vierten Durchkontaktierung 145 bezeichnet als ein Beispiel gezeigt.
  • Die Konfiguration der IC-Struktur 100 kombiniert mit der IC-Struktur 500 richtet dadurch elektrische Verbindungen zwischen Strom-Straps ein, die an oberen Metallschichten positioniert sind, und Stromschienen an unteren Schichten verwenden Metallelemente mit Mindestbreite, und, bei einigen Ausführungsformen, einzelne Durchkontaktierungen in den Schichten zwischen den Strom-Straps und den Stromschienen. Durch Bereitstellen paralleler Pfade, die niedrigen Widerstand haben, ermöglichen eine oder mehrere Ausführungsformen effiziente Stromzufuhr, begrenzte Wärmeerzeugung und niedrige Anfälligkeit für EM.
  • Bei mindestens einigen Ausführungsformen erleichtern der doppelte Strap und erweiterte Abstandskonfiguration von Sätzen von Paaren erster Metallsegmente auch das Verlegen diverser elektrischer Verbindungen zu funktionalen Schaltungen, die über die Stromschienen versorgt werden, zum Beispiel durch Verringern einer Bereichs/Flächenbedarfsauswirkung auf das Stromnetz (was den Raum erhöht, der für das Platzieren der anderen Struktur verfügbar ist).
  • Im Vergleich zu Ansätzen, die Metallelemente verwenden, die breiter sind als Metallelemente mit Mindestbreite und/oder mehreren Durchkontaktierungen an Stellen, an welchen Metallelemente überlappen, stellen eine oder mehrere Ausführungsformen dadurch Stromverteilung zu funktionalen Schaltungselementen mit weniger Auswirkung auf das Verlegen anderer Verbindungen zu diesen funktionalen Schaltungselementen bereit.
  • Die 2A, 2B und 2C sind Skizzen einer IC-Struktur 200 in Übereinstimmung mit einigen Ausführungsformen. 2A ist eine Skizze, die auf einer Draufsicht eines unteren Abschnitts der IC-Struktur 200 basiert, 2B ist eine Skizze, die auf einer Draufsicht eines oberen Abschnitts der IC-Struktur 200 basiert, und 2C ist eine Skizze, die auf einer Querschnittansicht der IC-Struktur 200, wie sie von einer Ebene B-B' geschnitten wird, basiert. Metallisierungsniveaus, die in der IC-Struktur 200 gezeigt sind, weisen das Stromschienenniveau 100L (auf dem sich die Stromschienen 100A und 100B befinden), das erste Metallniveau 110L, das zweite Metallniveau 120L und das dritte Metallniveau 130L auf, die oben unter Bezugnahme auf die IC-Struktur 100 und die 1A, 1B und 1C beschrieben sind. Die erste Richtung X und die zweite Richtung Y, die oben unter Bezugnahme auf die IC-Struktur 100 und 1A beschrieben sind, sind in 2A angegeben.
  • Zusätzlich zu den Elementen der IC-Struktur 100, weist die IC-Struktur 200 erste Metallsegmente 210A, 210B, 210C und 210D auf, die auf dem ersten Niveau 110L positioniert sind, zweite Metallsegmente 220A, 220B, 220C und 220D, die auf dem zweiten Metallniveau 120L positioniert sind, und dritte Metallsegmente 230A, 230B, 230C und 230D, die auf dem dritten Metallniveau 130L positioniert sind.
  • Jedes der ersten Metallsegmente 210A, 210B, 210C und 210D, der zweiten Metallsegmente 220A, 220B, 220C und 220D und der dritten Metallsegmente 230A, 230B, 230C und 230D umfasst ein leitfähiges Material, wie zum Beispiel ein Metall, eine Metallverbindung oder ein anderes geeignetes Material, das in einer oder mehreren Metallisierungsschichten durch einen physikalischen Gasphasenabscheidungs- und/oder einen chemischen Gasphasenabscheidungs- und/oder einen Plattierungsprozess oder einen anderen geeigneten Prozess gebildet wird.
  • Die ersten Metallsegmente 210A, 210B, 210C und 210D sind elektrisch leitfähige Leitungssegmente, die parallel auf dem ersten Metallniveau 110L positioniert und in eine zweite Richtung Y ausgerichtet sind. Die ersten Metallsegmente 210A und 210C überlappen die Stromschiene 100A, und die ersten Metallsegmente 210B und 210D überlappen die Stromschiene 100B. Jedes der ersten Metallsegmente 210A, 210B, 210C und 210D überlappt daher nur eine der Stromschienen 100A und 100B und wird auch als ein Metallstummel beschrieben. Bei einigen Ausführungsformen entspricht das erste Metallniveau 110L einem Metallstummelniveau.
  • Die ersten Metallsegmente 210A, 210B, 210C und 210D werden auch als eine erste Vielzahl erster Metallsegmente oder eine Vielzahl von Metallstummeln beschrieben. Bei den Ausführungsformen, die in den 2A, 2B und 2C gezeigt sind, weist eine Vielzahl erster Metallsegmente vier erste Metallsegmente auf. Bei einigen Ausführungsformen weist eine Vielzahl erster Metallsegmente erste Metallsegmente (nicht gezeigt) zusätzlich zu den ersten Metallsegmenten 210A, 210B, 210C und 210D auf. Bei einigen Ausführungsformen weist eine Vielzahl erster Metallsegmente eine Untermenge erster Metallsegmente 210A, 210B, 210C und 210D auf.
  • Bei einigen Ausführungsformen hat jedes erste Metallsegment einer Vielzahl erster Metallsegmente eine Breite, die einer vorbestimmten Mindestbreite für das erste Metallniveau 110L entspricht. Bei einigen Ausführungsformen hat jedes erste Metallsegment einer Vielzahl erster Metallsegmente eine Breite, die einer vorbestimmten Mindestbreite für eine Metall-Eins-Schicht eines IC-Prozesses entspricht.
  • Die ersten Metallsegmente 210A, 210B, 210C und 210D sind jeweils entlang von Bahnen 212A, 212B, 212C und 212D positioniert. Die Beabstandung der ersten Metallsegmente 210A, 210B, 210C und 210D ist in 2A als Abstand 216, dem Abstand zwischen den Bahnen 212A und 212B gezeigt. Bei einigen Ausführungsformen entspricht der Abstand 216 einem Abstand eines IC-Prozesses, der derselbe ist wie ein Poly-Abstand des IC-Prozesses. Bei einigen Ausführungsformen ist der Abstand 216 ein Vielfaches eines Metall-Eins-Abstands, der von einem Poly-Abstand des IC-Prozesses unterschiedlich ist. Bei einigen Ausführungsformen ist der Abstand 216 ein Vielfaches eines Metall-Eins-Abstands eines IC-Prozesses, der von vier bis 16 reicht. Bei einigen Ausführungsformen ist der Abstand 216 ein Vielfaches eines Metall-Eins-Abstands eines IC-Prozesses, der gleich acht ist.
  • Die ersten Durchkontaktierungen 215 sind Durchkontaktierungen, die zwischen dem Stromschienenniveau 100L und dem ersten Metallniveau 110L an Stellen positioniert sind, an welchen erste Metallsegmente 210A und 210C die Stromschiene 100A überlappen, und an Stellen, an welchen die Metallsegmente 210B und 210D die erste Stromschiene 100B überlappen. Bei einigen Ausführungsformen sind die ersten Durchkontaktierungen 215 Durchkontaktierungen, die an den Stellen positioniert sind, an welchen die ersten Metallsegmente 210A und 210C die Stromschiene 100A überlappen, und den Stellen, an welchen die Metallsegmente 210B und 210D die erste Stromschiene 100B überlappen. Anhand dieser Konfiguration, verbinden die ersten Durchkontaktierungen 250 die ersten Metallsegmente 210A und 210C elektrisch mit der Stromschiene 100A, und verbinden die ersten Metallsegmente 210B und 210D elektrisch mit der Stromschiene 100B.
  • Zweite Metallsegmente 220A, 220B, 220C und 220D sind elektrisch leitfähige Leitungssegmente, die in die erste Richtung X ausgerichtet sind. Das zweite Metallsegment 220A überlappt die Stromschiene 100A an der Stelle, an welcher das erste Metallsegment 210A die Stromschiene 100A überlappt, das zweite Metallsegment 120B überlappt die Stromschiene 100B an der Stelle, an welcher das erste Metallsegment 210B die Stromschiene 100B überlappt, das zweite Metallsegment 120C überlappt die Stromschiene 100A an der Stelle, an welcher das erste Metallsegment 210C die Stromschiene 100A überlappt, und das zweite Metallsegment 220D überlappt die Stromschiene 100B an der Stelle, an welcher das erste Metallsegment 210D die Stromschiene 100B überlappt.
  • Die zweiten Metallsegmente 220A, 220B, 220C und 220D werden auch als eine Vielzahl zweiter Metallsegmente beschrieben. Bei der Ausführungsform, die in den 2A, 2B und 2C gezeigt ist, weist eine Vielzahl zweiter Metallsegmente vier zweite Metallsegmente auf. Bei einigen Ausführungsformen weist eine Vielzahl zweiter Metallsegmente zweite Metallsegmente (nicht gezeigt) zusätzlich zu den zweiten Metallsegmenten 220A, 220B, 220C, und 220D auf. Bei einigen Ausführungsformen weist eine Vielzahl zweiter Metallsegmente eine Untermenge zweiter Metallsegmente 220A, 220B, 220C und 220D auf.
  • Bei einigen Ausführungsformen hat jedes zweite Metallsegment einer Vielzahl zweiter Metallsegmente eine Breite, die einer vorbestimmten Mindestbreite für das zweite Metallniveau 120L entspricht. Bei einigen Ausführungsformen hat jedes zweite Metallsegment einer Vielzahl zweiter Metallsegmente eine Breite, die einer vorbestimmten Mindestbreite für eine Metall-Zwei-Schicht eines IC-Prozesses entspricht.
  • Zweite Durchkontaktierungen 225 sind Durchkontaktierungen, die zwischen dem ersten Metallniveau 110L und dem zweiten Metallniveau 120L an Stellen über den Stellen, an welchen die ersten Durchkontaktierungen 215 positioniert sind, positioniert sind. Daher sind die zweiten Durchkontaktierungen 225 an den Stellen positioniert, an welchen das zweite Metallsegment 220A das erste Metallsegment 210A überlappt, das zweite Metallsegment 220B das erste Metallsegment 210B überlappt, das zweite Metallsegment 220C das erste Metallsegment 210C überlappt, und das zweite Metallsegment 220D das erste Metallsegment 210D überlappt. Bei einigen Ausführungsformen sind die zweiten Durchkontaktierungen 225 einzelne Durchkontaktierungen, die an den Stellen über den Stellen, an welchen die ersten Durchkontaktierungen 215 positioniert sind, positioniert sind.
  • Bei dieser Konfiguration ist das zweite Metallsegment 220A mit dem ersten Metallsegment 210A elektrisch verbunden, das zweite Metallsegment 220B ist mit dem ersten Metallsegment 210B elektrisch verbunden, das zweite Metallsegment 220C ist mit dem ersten Metallsegment 210C elektrisch verbunden, und das zweite Metallsegment 220D ist mit dem ersten Metallsegment 210D elektrisch verbunden.
  • Die dritten Metallsegmente 230A, 230B, 230C und 230D sind elektrisch leitfähige Leitungssegmente, die parallel auf dem dritten Metallniveau 130L positioniert und in eine zweite Richtung Y ausgerichtet sind.
  • Jedes der dritten Metallsegmente 230A, 230B, 230C und 230D überlappt sowohl die Stromschiene 100A als auch die Stromschiene 100B, und wird auch als ein Metall-Strap beschrieben. Die ersten Metallsegmente 230A, 230B, 230C und 230D werden auch als eine Vielzahl dritter Metallsegmente oder eine Vielzahl von Metall-Straps beschrieben. Bei einigen Ausführungsformen entspricht das dritte Metallniveau 130L einem Metall-Strap-Niveau.
  • Das dritte Metallsegment 230A überlappt das zweite Metallsegment 220A und die Stromschiene 100A. Das dritte Metallsegment 230B überlappt das zweite Metallsegment 220B und die Stromschiene 100B. Das dritte Metallsegment 230C überlappt das zweite Metallsegment 220C und die Stromschiene 100A. Das dritte Metallsegment 230D überlappt das zweite Metallsegment 220D und die Stromschiene 100B.
  • Bei der Ausführungsform, die in den 2A, 2B und 2C gezeigt ist, weist eine Vielzahl dritter Metallsegmente vier dritte Metallsegmente auf. Bei einigen Ausführungsformen weist eine Vielzahl dritter Metallsegmente dritte Metallsegmente (nicht gezeigt) zusätzlich zu den dritten Metallsegmenten 230A, 230B, 230C, und 230D auf. Bei einigen Ausführungsformen weist eine Vielzahl dritter Metallsegmente eine Untermenge dritter Metallsegmente 230A, 230B, 210C und 210D auf.
  • Die dritten Metallsegmente 230A, 230B, 230C und 230D sind jeweils entlang von Bahnen 232A, 232B, 232C und 232D positioniert. Bei einigen Ausführungsformen sind die Bahnen 232A, 232B, 232C und 232D jeweils mit den Bahnen 212A, 212B, 212C und 212D ausgerichtet. Bei einigen Ausführungsformen ist jede Bahn der Bahnen 232A, 232B, 232C und 232D nicht mit einer entsprechenden Bahn der Bahnen 212A, 212B, 212C und 212D ausgerichtet, ist einer entsprechenden Bahn jedoch ausreichend nahe, so dass ein entsprechendes drittes Metallsegment der dritten Metallsegmente 230A, 230B, 230C und 230D ein entsprechendes erstes Metallsegment der ersten Metallsegmente 210A, 210B, 210C und 210D überlappt.
  • Bei einigen Ausführungsformen hat jedes dritte Metallsegment einer Vielzahl dritter Metallsegmente eine Breite, die einer vorbestimmten Mindestbreite für das dritte Metallniveau 130L entspricht. Bei einigen Ausführungsformen hat jedes dritte Metallsegment einer Vielzahl dritter Metallsegmente eine Breite, die einer vorbestimmten Mindestbreite für eine Metall-Drei-Schicht eines IC-Prozesses entspricht.
  • Die dritten Durchkontaktierungen 225 sind Durchkontaktierungen, die zwischen dem zweiten Metallniveau 120L und dem dritten Metallniveau 130L an Stellen über den Stellen, an welchen die zweiten Durchkontaktierungen 125 positioniert sind, positioniert sind. Daher sind die dritten Durchkontaktierungen an den Stellen positioniert, an welchen das dritte Metallsegment 230A das zweite Metallsegment 220A überlappt, das dritte Metallsegment 230B das zweite Metallsegment 220B überlappt, das dritte Metallsegment 230C das zweite Metallsegment 220C überlappt, und das dritte Metallsegment 230D das zweite Metallsegment 220D überlappt. Bei einigen Ausführungsformen sind die dritten Durchkontaktierungen 235 einzelne Durchkontaktierungen, die an den Stellen über den Stellen, an welchen die zweiten Durchkontaktierungen 225 positioniert sind, positioniert sind. Die dritten Durchkontaktierungen 235 sind in 2A mit einer dritten Durchkontaktierung 235 bezeichnet als ein Beispiel gezeigt.
  • Bei dieser Konfiguration ist das dritte Metallsegment 230A mit dem zweiten Metallsegment 220A elektrisch verbunden, das dritte Metallsegment 230B ist mit dem zweiten Metallsegment 220B elektrisch verbunden, das dritte Metallsegment 230C ist mit dem zweiten Metallsegment 220C elektrisch verbunden, und das dritte Metallsegment 230D ist mit dem zweiten Metallsegment 220D elektrisch verbunden.
  • In Übereinstimmung mit dieser Konfiguration, sind die dritten Metallsegmente 230A und 230C als ein erster Satz dritter Metallsegmente beschrieben, und die dritten Metallsegmente 230B und 230D sind als ein zweiter Satz dritter Metallsegmente beschrieben. Bei der Ausführungsform, die in den 2A, 2B und 2C gezeigt ist, weist ein Satz dritter Metallsegmente zwei dritte Metallsegmente auf. Bei einigen Ausführungsformen weist ein Satz dritter Metallsegmente dritte Metallsegmente (nicht gezeigt) zusätzlich zu den dritten Metallsegmenten 230A, 230B, 230C, und 230D auf.
  • Vierte Durchkontaktierungen 245 sind Durchkontaktierungen, die über dem dritten Metallniveau 130L an Stellen über den Stellen, an welchen die dritten Durchkontaktierungen 235 positioniert sind, positioniert sind. Wie unten unter Bezugnahme auf die IC-Struktur 500 besprochen, ist bei dieser Konfiguration das dritte Metallsegment 230A mit einem vierten Metallsegment 540A elektrisch verbunden, das dritte Metallsegment 230C ist mit einem vierten Metallsegment 540B elektrisch verbunden, das dritte Metallsegment 230C ist mit einem vierten Metallsegment 540C elektrisch verbunden, und das dritte Metallsegment 230D ist mit einem vierten Metallsegment 540D elektrisch verbunden. Bei einigen Ausführungsformen sind die vierten Durchkontaktierungen 245 einzelne Durchkontaktierungen, die an den Stellen über den Stellen, an welchen die dritten Durchkontaktierungen 235 positioniert sind, positioniert sind. Die vierten Durchkontaktierungen 245 sind in 2B mit einer vierten Durchkontaktierung 245 bezeichnet als ein Beispiel gezeigt.
  • Die Konfiguration der IC-Struktur 200 kombiniert mit der IC-Struktur 500 richtet dadurch elektrische Verbindungen zwischen Strom-Straps ein, die an oberen Metallschichten positioniert sind, und Stromschienen an unteren Schichten verwenden Metallelemente mit Mindestbreite, und, bei einigen Ausführungsformen, einzelne Durchkontaktierungen in den Schichten zwischen den Strom-Straps und den Stromschienen. Durch Bereitstellen paralleler Pfade, die niedrigen Widerstand haben, ermöglichen eine oder mehrere Ausführungsformen effiziente Stromzufuhr, begrenzte Wärmeerzeugung und niedrige Anfälligkeit für EM.
  • Bei mindestens einigen Ausführungsformen erleichtern das Abwechseln von Strap und erweiterter Abstandkonfiguration der Sätze dritter Metallsegmente auch das Verlegen diverser elektrischer Verbindungen zu funktionalen Schaltungen, die über die Stromschienen versorgt werden.
  • Im Vergleich zu Ansätzen, die Metallelemente verwenden, die breiter sind als Metallelemente mit Mindestbreite und/oder mehreren Durchkontaktierungen an Stellen, an welchen Metallelemente überlappen, stellen eine oder mehrere Ausführungsformen dadurch Stromverteilung zu funktionalen Schaltungselementen mit weniger Auswirkung auf das Verlegen anderer Verbindungen zu diesen funktionalen Schaltungselementen bereit.
  • Die 3A, 3B und 3C sind Skizzen einer IC-Struktur 300 in Übereinstimmung mit einigen Ausführungsformen. 3A ist eine Skizze, die auf einer Draufsicht eines unteren Abschnitts der IC-Struktur 300 basiert, 3B ist eine Skizze, die auf einer Draufsicht eines oberen Abschnitts der IC-Struktur 300 basiert, und 3C ist eine Skizze, die auf einer Querschnittansicht der IC-Struktur 300, wie sie von einer Ebene C-C' geschnitten wird, basiert. Metallisierungsniveaus, die in der IC-Struktur 300 gezeigt sind, weisen das Stromschienenniveau 100L (auf dem sich die Stromschienen 100A und 100B befinden), das erste Metallniveau 110L, das zweite Metallniveau 120L und das dritte Metallniveau 130L auf, die oben unter Bezugnahme auf die IC-Struktur 100 und die 1A, 1B und 1C beschrieben sind. Die erste Richtung X und die zweite Richtung Y, die oben unter Bezugnahme auf die IC-Struktur 100 und 1A beschrieben sind, sind in 3A angegeben.
  • Zusätzlich zu den Elementen der IC-Struktur 100, weist die IC-Struktur 300 erste Metallsegmente 310A, 310B, 310C, 310D, 310E, 310F, 310G und 310H auf, die auf dem ersten Metallniveau 110L positioniert sind, zweite Metallsegmente 320A und 320B, die auf dem zweiten Metallniveau 120L positioniert sind, und dritte Metallsegmente 330A, 330B, 330C und 330D, die auf dem dritten Metallniveau 130L positioniert sind.
  • Jedes der ersten Metallsegmente 310A, 310B, 310C, 310D, 310E, 310F, 310G und 310H, der zweiten Metallsegmente 320A und 320B und der dritten Metallsegmente 330A, 330B, 230C und 230D umfasst ein leitfähiges Material, wie zum Beispiel ein Metall, eine Metallverbindung oder ein anderes geeignetes Material, das in einer oder mehreren Metallisierungsschichten durch einen physikalischen Gasphasenabscheidungs- und/oder einen chemischen Gasphasenabscheidungs- und/oder einen Plattierungsprozess oder einen anderen geeigneten Prozess gebildet wird.
  • Die ersten Metallsegmente 310A, 310B, 310C, 310D, 310E, 310F, 310G und 310H sind elektrisch leitfähige Leitungssegmente, die parallel auf dem ersten Metallniveau 110L positioniert und in eine zweite Richtung Y ausgerichtet sind. Die ersten Metallsegmente 310A, 310C, 310E und 310G überlappen die Stromschiene 100A und die ersten Metallsegmente 310B, 310D, 310F und 310H überlappen die Stromschiene 100B. Jedes der ersten Metallsegmente 310A, 310B, 310C, 310D, 310E, 310F, 310G und 310H überlappt daher nur eine der Stromschienen 100A und 100B und wird auch als ein Metallstummel beschrieben. Bei einigen Ausführungsformen entspricht das erste Metallniveau 110L einem Metallstummelniveau.
  • Die ersten Metallsegmente 310A, 310B, 110C, 110D, 110E, 110F, 110G und 110H werden auch als eine erste Vielzahl von Metallsegmenten oder eine Vielzahl von Metallstummeln beschrieben. Bei den Ausführungsformen, die in den 3A, 3B und 3C gezeigt sind, weist eine Vielzahl erster Metallsegmente acht erste Metallsegmente auf. Bei einigen Ausführungsformen weist eine Vielzahl erster Metallsegmente erste Metallsegmente (nicht gezeigt) zusätzlich zu den ersten Metallsegmenten 310A, 310B, 310C, 310D, 310E, 310F, 310G und 310H auf. Bei einigen Ausführungsformen weist eine erste Vielzahl von Metallsegmenten einen Untermenge erster Metallsegmente 310A, 310B, 310C, 310D, 310E, 310F, 310G und 310H auf.
  • Bei einigen Ausführungsformen hat jedes erste Metallsegment einer Vielzahl erster Metallsegmente eine Breite, die einer vorbestimmten Mindestbreite für das erste Metallniveau 110L entspricht. Bei einigen Ausführungsformen hat jedes erste Metallsegment einer Vielzahl erster Metallsegmente eine Breite, die einer vorbestimmten Mindestbreite für eine Metall-Eins-Schicht eines IC-Prozesses entspricht.
  • Die ersten Metallsegmente 310A und 310B sind entlang der Bahn 312A positioniert, die ersten Metallsegmente 310C und 310D sind entlang der Bahn 312B positioniert, die ersten Metallsegmente 310E und 310F sind entlang der Bahn 212C positioniert, und die ersten Metallsegmente 310G und 310H sind entlang der Bahn 212D positioniert. Die Beabstandung der ersten Metallsegmente 310A, 310B, 310D, 310E, 310F, 310G und 310H ist in 3A als Abstand 316, dem Abstand zwischen den Bahnen 312A und 312B gezeigt. Bei einigen Ausführungsformen entspricht der Abstand 316 einem Abstand eines IC-Prozesses, der derselbe ist wie ein Poly-Abstand des IC-Prozesses. Bei einigen Ausführungsformen ist der Abstand 316 ein Vielfaches eines Metall-Eins-Abstands, der von einem Poly-Abstand des IC-Prozesses unterschiedlich ist. Bei einigen Ausführungsformen ist der Abstand 316 ein Vielfaches eines Metall-Eins-Abstands eines IC-Prozesses, der von vier bis 16 reicht. Bei einigen Ausführungsformen ist der Abstand 316 ein Vielfaches eines Metall-Eins-Abstands eines IC-Prozesses, der gleich acht ist. Bei einigen Ausführungsformen ist der Abstand 316 ein Vielfaches eines Metall-Eins-Abstands eines IC-Prozesses, der gleich zwölf ist.
  • Die ersten Durchkontaktierungen 315 sind Durchkontaktierungen, die zwischen dem Stromschienenniveau 100L und dem ersten Metallniveau 110L an Stellen positioniert sind, an welchen die ersten Metallsegmente 310A, 310C, 310E und 310G die Stromschiene 100A überlappen, und an Stellen, an welchen die Metallsegmente 3108, 310D, 310F und 110H die erste Stromschiene 100B überlappen. Bei einigen Ausführungsformen sind die ersten Durchkontaktierungen 315 einzelne Durchkontaktierungen, die an den Stellen positioniert sind, an welchen die ersten Metallsegmente 310A, 310C, 310E und 310G die Stromschiene 100A überlappen, und den Stellen, an welchen die Metallsegmente 310B, 310F und 310H die erste Stromschiene 310B überlappen. Anhand dieser Konfiguration, verbinden die ersten Durchkontaktierungen 315 die ersten Metallsegmente 310A, 310C, 310E und 310G elektrisch mit der Stromschiene 100A, und verbinden die ersten Metallsegmente 310B, 310D, 310F und 310H elektrisch mit der Stromschiene 100B.
  • Zweite Metallsegmente 320A, 320B, 220C und 220D sind elektrisch leitfähige Leitungssegmente, die in die erste Richtung X ausgerichtet sind. Das zweite Metallsegment 320A überlappt die Stromschiene 100A an den Stellen, an welchen die ersten Metallsegmente 310A, 310C, 310E und 310G die Stromschiene 100A überlappen, und das zweite Metallsegment 320C überlappt die Stromschiene 100B an den Stellen, an welchen die ersten Metallsegmente 310B, 310D, 310F und 310H die Stromschiene 100B überlappen. Die zweiten Metallsegmente 320A und 320B werden auch als eine Vielzahl zweiter Metallsegmente beschrieben.
  • Bei einigen Ausführungsformen hat jedes zweite Metallsegment einer Vielzahl zweiter Metallsegmente eine Breite, die einer vorbestimmten Mindestbreite für das zweite Metallniveau 120L entspricht. Bei einigen Ausführungsformen hat jedes zweite Metallsegment einer Vielzahl zweiter Metallsegmente eine Breite, die einer vorbestimmten Mindestbreite für eine Metall-Zwei-Schicht eines IC-Prozesses entspricht.
  • Bei einigen Ausführungsformen hat jedes zweite Metallsegment einer Vielzahl zweiter Metallsegmente eine größere Breite als eine vorbestimmte Mindestbreite für das zweite Metallniveau 120L. Bei einigen Ausführungsformen hat jedes zweite Metallsegment einer Vielzahl zweiter Metallsegmente eine Breite, die zwei Mal einer vorbestimmten Mindestbreite für das zweite Metallniveau 120L entspricht.
  • Bei einigen Ausführungsformen hat jedes zweite Metallsegment einer Vielzahl zweiter Metallsegmente eine Breite, die größer ist als eine vorbestimmte Mindestbreite für eine Metall-Zwei-Schicht eines IC-Prozesses. Bei einigen Ausführungsformen hat jedes zweite Metallsegment einer Vielzahl zweiter Metallsegmente eine Breite, die zwei Mal einer vorbestimmten Mindestbreite für eine Metall-Zwei-Schicht eines IC-Prozesses entspricht.
  • Die zweiten Durchkontaktierungen 325 sind Durchkontaktierungen, die zwischen dem ersten Metallniveau 110L und dem zweiten Metallniveau 120L an Stellen über den Stellen, an welchen die ersten Durchkontaktierungen 315 positioniert sind, positioniert sind. Die zweiten Durchkontaktierungen 325 sind daher an den Stellen positioniert, an welchen das zweite Metallsegment 320A die ersten Metallsegmente 310A, 310C, 310E und 310G überlappt, und an den Stellen, an welchen das zweite Metallsegment 320B die ersten Metallsegmente 310B, 310D, 310F und 310H überlappt. Bei einigen Ausführungsformen sind die zweiten Durchkontaktierungen 325 einzelne Durchkontaktierungen, die an den Stellen über den Stellen, an welchen die ersten Durchkontaktierungen 315 positioniert sind, positioniert sind.
  • Anhand dieser Konfiguration ist das zweite Metallsegment 320A mit den ersten Metallsegmenten 310A, 310C, 310E und 310G elektrisch verbunden, und das zweite Metallsegment 320B ist mit den ersten Metallsegmenten 310B, 310D, 310F und 310H elektrisch verbunden.
  • Die dritten Metallsegmente 330A, 330B, 330C und 330D sind elektrisch leitfähige Leitungssegmente, die parallel auf dem dritten Metallniveau 130L positioniert und in eine zweite Richtung Y ausgerichtet sind.
  • Jedes der dritten Metallsegmente 330A, 330B, 330C und 330D überlappt sowohl die Stromschiene 100A als auch die Stromschiene 100B, die beiden Metallsegmente 320A und 320B, und wird auch als ein Metall-Strap beschrieben. Die dritten Metallsegmente 330A, 330B, 330C und 330D werden ebenfalls als eine Vielzahl dritter Metallsegmente oder eine Vielzahl von Metall-Straps beschrieben. Bei einigen Ausführungsformen entspricht das dritte Metallniveau 130L einem Metall-Strap-Niveau.
  • Bei der Ausführungsform, die in den 3A, 3B und 3C gezeigt ist, weist eine Vielzahl dritter Metallsegmente vier dritte Metallsegmente auf. Bei einigen Ausführungsformen weist eine Vielzahl dritter Metallsegmente dritte Metallsegmente (nicht gezeigt) zusätzlich zu den dritten Metallsegmenten 330A, 330B, 330C und 330D auf. Bei einigen Ausführungsformen weist eine Vielzahl dritter Metallsegmente eine Untermenge dritter Metallsegmente 330A, 330B, 330C und 330D auf.
  • Die dritten Metallsegmente 330A, 330B, 330C und 330D sind jeweils entlang der Bahnen 332A, 332B, 332C und 332D positioniert. Bei einigen Ausführungsformen sind die Bahnen 332A, 332B, 332C und 332D jeweils mit den Bahnen 312A, 312B, 312C und 312D ausgerichtet. Bei einigen Ausführungsformen ist jede Bahn der Bahnen 332A, 332B, 332C und 332D nicht mit einer entsprechenden Bahn der Bahnen 312A, 312B, 312C und 312D ausgerichtet, ist einer entsprechenden Bahn jedoch ausreichend nahe, so dass ein entsprechendes drittes Metallsegment der dritten Metallsegmente 330A, 330B, 330C und 330D ein entsprechendes Paar erster Metallsegmente der ersten Metallsegmente 310A, 310B, 310C, 310D, 310E, 310F, 310G und 310H überlappt.
  • Die Stelle, an welcher das dritte Metallsegment 330A das zweite Metallsegment 320A überlappt, entspricht der Steller, an welcher das zweite Metallsegment 320A das erste Metallsegment 310A überlappt, die Stelle, an welcher das dritte Metallsegment 330B das zweite Metallsegment 320B überlappt, entspricht der Stelle, an welcher das zweite Metallsegment 320B das erste Metallsegment 310B überlappt, die Stelle, an welcher das dritte Metallsegment 330C das zweite Metallsegment 320A überlappt, entspricht der Stelle, an welcher das zweite Metallsegment 320A das erste Metallsegment 310C überlappt, und die Stelle, an welcher das dritte Metallsegment 330D das zweite Metallsegment 320B überlappt, entspricht der Stelle, an welcher das zweite Metallsegment 320B das erste Metallsegment 310D überlappt.
  • Bei einigen Ausführungsformen hat jedes dritte Metallsegment einer Vielzahl dritter Metallsegmente eine Breite, die einer vorbestimmten Mindestbreite für das dritte Metallniveau 130L entspricht. Bei einigen Ausführungsformen hat jedes dritte Metallsegment einer Vielzahl dritter Metallsegmente eine Breite, die einer vorbestimmten Mindestbreite für eine Metall-Drei-Schicht eines IC-Prozesses entspricht.
  • Die dritten Durchkontaktierungen 335 sind Durchkontaktierungen, die zwischen dem zweiten Metallniveau 120L und dem dritten Metallniveau 130L an Stellen über einer Untermenge der Stellen, an welchen die zweiten Durchkontaktierungen 325 positioniert sind, positioniert sind. Folglich sind die dritten Durchkontaktierungen an den Stellen positioniert, an welchen das dritte Metallsegment 330A das zweite Metallsegment 320A überlappt, das dritte Metallsegment 330B das zweite Metallsegment 320B überlappt, das dritte Metallsegment 330C das zweite Metallsegment 220A überlappt, und das dritte Metallsegment 330D das zweite Metallsegment 320B überlappt. Bei einigen Ausführungsformen sind die dritten Durchkontaktierungen 335 einzelne Durchkontaktierungen, die an den Stellen über einer Untermenge von Stellen, an welchen die zweiten Durchkontaktierungen 325 positioniert sind, positioniert sind. Die dritten Durchkontaktierungen 335 sind in 3A mit einer dritten Durchkontaktierung 335 bezeichnet als ein Beispiel gezeigt.
  • Anhand dieser Konfiguration sind die dritten Metallsegmente 330A und 330C mit dem zweiten Metallsegment 320A elektrisch verbunden, und die dritten Metallsegmente 330B und 330D sind mit dem zweiten Metallsegment 320B elektrisch verbunden.
  • In Übereinstimmung mit dieser Konfiguration, sind die dritten Metallsegmente 330A und 330C als ein erster Satz dritter Metallsegmente beschrieben, und die dritten Metallsegmente 330B und 330D sind als ein zweiter Satz dritter Metallsegmente beschrieben. Bei der Ausführungsform, die in den 3A, 3B und 3C gezeigt ist, weist eine Vielzahl dritter Metallsegmente zwei dritte Metallsegmente auf. Bei einigen Ausführungsformen weist ein Satz dritter Metallsegmente dritte Metallsegmente (nicht gezeigt) zusätzlich zu den dritten Metallsegmenten 330A, 330B, 330C und 330D auf.
  • Vierte Durchkontaktierungen 345 sind Durchkontaktierungen, die über dem dritten Metallniveau 130L an Stellen über den Stellen, an welchen die dritten Durchkontaktierungen 335 positioniert sind, positioniert sind. Wie unten unter Bezugnahme auf die IC-Struktur 500 besprochen, ist bei dieser Konfiguration das dritte Metallsegment 330A mit einem vierten Metallsegment 540A elektrisch verbunden, das dritte Metallsegment 330B ist mit einem vierten Metallsegment 540B elektrisch verbunden, das dritte Metallsegment 330C ist mit einem vierten Metallsegment 540C elektrisch verbunden, und das dritte Metallsegment 330D ist mit einem vierten Metallsegment 540D elektrisch verbunden. Bei einigen Ausfiihrungsformen sind die vierten Durchkontaktierungen 345 einzelne Durchkontaktierungen, die an den Stellen über den Stellen, an welchen die dritten Durchkontaktierungen 335 positioniert sind, positioniert sind. Die vierten Durchkontaktierungen 345 sind in 3B mit einer vierten Durchkontaktierung 345 bezeichnet als ein Beispiel gezeigt.
  • Die Konfiguration der IC-Struktur 300 kombiniert mit der IC-Struktur 500 richtet dadurch elektrische Verbindungen zwischen Strom-Straps ein, die an oberen Metallschichten positioniert sind, und Stromschienen an unteren Schichten verwenden Metallstummel mit Mindestbreite und Straps, und, bei einigen Ausführungsformen, einzelne Durchkontaktierungen in den Schichten zwischen den Strom-Straps und den Stromschienen. Durch Bereitstellen paralleler Pfade, die niedrigen Widerstand haben, insbesondere zwischen Stromschienen und zweiten Metallsegmenten, ermöglichen eine oder mehrere Ausführungsformen effiziente Stromzufuhr, begrenzte Wärmeerzeugung und niedrige Anfälligkeit für EM.
  • Bei mindestens einigen Ausführungsformen erleichtern das Abwechseln von Strap und erweiterter Abstandkonfiguration der Sätze dritter Metallsegmente auch das Verlegen diverser elektrischer Verbindungen zu funktionalen Schaltungen, die über die Stromschienen versorgt werden.
  • Im Vergleich zu Ansätzen, die Metallelemente verwenden, die breiter sind als Metallelemente mit Mindestbreite und/oder mehreren Durchkontaktierungen an Stellen, an welchen Metallelemente überlappen, stellen eine oder mehrere Ausführungsformen dadurch Stromverteilung zu funktionalen Schaltungselementen mit weniger Auswirkung auf das Verlegen anderer Verbindungen zu diesen funktionalen Schaltungselementen bereit.
  • Die 4A, und 4B sind Skizzen einer IC-Struktur 400 in Übereinstimmung mit einigen Ausführungsformen. 4A ist eine Skizze, die auf einer Draufsicht eines unteren Abschnitts der IC-Struktur 400 basiert, und 4B ist eine Skizze, die auf einer Querschnittansicht der IC-Struktur 400, wie sie von der Ebene D-D' geschnitten wird, basiert. Die IC-Struktur 400 weist die Stromschienen 100A und 100B, das Stromschienenniveau 100L, das erste Metallniveau 110L, das zweite Metallniveau 120L und das dritte Metallniveau 130L auf, die oben unter Bezugnahme auf die IC-Struktur 100 und die 1A, 1B und 1C beschrieben sind. Die IC-Struktur 100 weist auch erste Metallsegmente 310A, 310B, 310C, 310D, 310E, 310F, 310G und 310H, zweite Metallsegmente 320A und 320B und dritte Metallsegmente 330A, 330B, 330C und 330D auf, die oben unter Bezugnahme auf die IC-Struktur 300 und die 3A, 3B und 3C beschrieben sind. Die erste Richtung X und die zweite Richtung Y, die oben unter Bezugnahme auf die IC-Struktur 100 und 1A beschrieben sind, sind in 4A angegeben.
  • Zusätzlich zu den Elementen der IC-Strukturen 100 und 300, weist die IC-Struktur 400 erste Metallsegmente 410A und 410B, die auf dem ersten Niveau 110L positioniert sind, auf. Jedes der ersten Metallsegmente 410A und 410B umfasst ein leitfähiges Material, wie zum Beispiel ein Metall, eine Metallzusammensetzung oder ein anderes geeignetes Material, das in einer oder mehreren Metallisierungsschichten durch einen physikalischen Gasphasenabscheidungs- und/oder einen chemischen Gasphasenabscheidungs- und/oder einen Plattierungsprozess oder einen anderen geeigneten Prozess gebildet wird.
  • Die ersten Metallsegmente 410A sind elektrisch leitfähige Leitungssegmente, die auf dem ersten Metallniveau 110L parallel zu und zwischen den ersten Metallsegmenten 310C, 310E und 310G positioniert sind. Die ersten Metallsegmente 410A überlappen die Stromschiene 100A und überlappen die Stromschiene 100B nicht.
  • Die ersten Metallsegmente 410B sind elektrisch leitfähige Leitungssegmente, die auf dem ersten Metallniveau 110L parallel zu und zwischen den ersten Metallsegmenten 310C, 310F und 310H positioniert sind. Die ersten Metallsegmente 410B überlappen die Stromschiene 100B und überlappen die Stromschiene 100A nicht.
  • Die ersten Metallsegmente 310A, 310B, 310C, 310D, 310E, 310F, 310G, 110H 410A und 410B werden auch als eine erste Vielzahl von Metallsegmenten oder eine Vielzahl von Metallstummeln beschrieben. Bei der in den 4A und 4B gezeigten Ausführungsform weist eine Vielzahl erster Metallsegmente drei erste Metallsegmente 410A zwischen jedem der ersten Metallsegmente 310A, 310C, 310E und 310G auf, und drei erste Metallsegmente 410B zwischen jedem der ersten Metallsegmente 310B, 310D, 310F und 310H.
  • Bei einigen Ausführungsformen weist eine Vielzahl erster Metallsegmente weniger als drei erste Metallsegmente 410A zwischen jedem der ersten Metallsegmente 310A, 310C und 310G auf. Bei einigen Ausführungsformen weist eine Vielzahl erster Metallsegmente mehr als drei erste Metallsegmente 410A zwischen jedem der ersten Metallsegmente 310A, 310C, 310E und 310G auf.
  • Bei einigen Ausführungsformen weist eine Vielzahl erster Metallsegmente weniger als drei erste Metallsegmente 410B zwischen jedem der ersten Metallsegmente 310B, 310D, 310F und 310H auf. Bei einigen Ausführungsformen weist eine Vielzahl erster Metallsegmente mehr als drei erste Metallsegmente 410B zwischen jedem der ersten Metallsegmente 310B, 310D, 310F und 310H auf.
  • Die Stellen, an welchen die dritten Metallsegmente 330A und 330C das zweite Metallsegment 320A überlappen, sind eine Untermenge von Stellen, an welchen das zweite Metallsegment 320A die ersten Metallsegmente 310A, 310C, 310E, 310G und 410A überlappt, und den Stellen, an welchen die dritten Metallsegmente 330B und 330D das zweite Metallsegment 320B überlappen, sind eine Untermenge der Stellen, an welchen das zweite Metallsegment 320B die ersten Metallsegmente 310B, 310D, 310F, 310H und 410B überlappt.
  • Bei einigen Ausführungsformen hat jedes erste Metallsegment einer Vielzahl erster Metallsegmente eine Breite, die einer vorbestimmten Mindestbreite für das erste Metallniveau 110L entspricht. Bei einigen Ausführungsformen hat jedes erste Metallsegment einer Vielzahl erster Metallsegmente eine Breite, die einer vorbestimmten Mindestbreite für eine Metall-Eins-Schicht eines IC-Prozesses entspricht.
  • Die ersten Durchkontaktierungen 415 sind Durchkontaktierungen, die zwischen dem Stromschienenniveau 100L und dem ersten Metallniveau 110L an Stellen positioniert sind, an welchen die ersten Metallsegmente 310A, 310C, 310E, 310G und 410A die Stromschiene 100A überlappen, und an Stellen, an welchen die Metallsegmente 310B, 310D, 310F und 310H die erste Stromschiene 100B überlappen. Bei einigen Ausführungsformen sind die ersten Durchkontaktierungen 415 einzelne Durchkontaktierungen, die an den Stellen positioniert sind, an welchen die ersten Metallsegmente 310A, 310C, 310E, 310G und 410A die Stromschiene 100A überlappen, und den Stellen, an welchen die Metallsegmente 310B, 310D, 310F, 310H und 410B die erste Stromschiene 310B überlappen. Anhand dieser Konfiguration, verbinden die ersten Durchkontaktierungen 415 die ersten Metallsegmente 310A, 310C, 310E, 310G und 410A elektrisch mit der Stromschiene 100A, und verbinden die ersten Metallsegmente 310B, 310D, 310F, 310H und 410B elektrisch mit der Stromschiene 100B.
  • Die zweiten Durchkontaktierungen 425 sind Durchkontaktierungen, die zwischen dem ersten Metallniveau 110L und dem zweiten Metallniveau 120L an Stellen über den Stellen, an welchen die ersten Durchkontaktierungen 415 positioniert sind, positioniert sind. Die zweiten Durchkontaktierungen 425 sind daher an den Stellen positioniert, an welchen das zweite Metallsegment 420A die ersten Metallsegmente 310A, 310C, 310E, 310G und 410A überlappt, und an den Stellen, an welchen das zweite Metallsegment 420B die ersten Metallsegmente 310B, 310D, 310F, 310H und 410B überlappt. Bei einigen Ausführungsformen sind die zweiten Durchkontaktierungen 425 einzelne Durchkontaktierungen, die an den Stellen über den Stellen, an welchen die ersten Durchkontaktierungen 415 positioniert sind, positioniert sind.
  • Anhand dieser Konfiguration ist das zweite Metallsegment 420A mit den ersten Metallsegmenten 310A, 310C, 310E, 310G und 410A elektrisch verbunden, und das zweite Metallsegment 420B ist mit den ersten Metallsegmenten 310B, 310D, 310F, 310H und 410B elektrisch verbunden.
  • Die Konfiguration der IC-Struktur 400 kombiniert mit der IC-Struktur 500 richtet dadurch elektrische Verbindungen zwischen Strom-Straps ein, die an oberen Metallschichten positioniert sind, und Stromschienen an unteren Schichten verwenden Metallstummel mit Mindestbreite und Straps, und, bei einigen Ausführungsformen, einzelne Durchkontaktierungen in den Schichten zwischen den Strom-Straps und den Stromschienen. Durch Bereitstellen paralleler Pfade, die niedrigen Widerstand haben, insbesondere zwischen Stromschienen und zweiten Metallsegmenten, ermöglichen eine oder mehrere Ausführungsformen effiziente Stromzufuhr, begrenzte Wärmeerzeugung und niedrige Anfälligkeit für EM.
  • Bei mindestens einigen Ausführungsformen erleichtern das Abwechseln von Strap und erweiterter Abstandkonfiguration der Sätze dritter Metallsegmente auch das Verlegen diverser elektrischer Verbindungen zu funktionalen Schaltungen, die über die Stromschienen versorgt werden.
  • Im Vergleich zu Ansätzen, die Metallelemente verwenden, die breiter sind als Metallelemente mit Mindestbreite und/oder mehreren Durchkontaktierungen an Stellen, an welchen Metallelemente überlappen, stellen eine oder mehrere Ausführungsformen dadurch Stromverteilung zu funktionalen Schaltungselementen mit weniger Auswirkung auf das Verlegen anderer Verbindungen zu diesen funktionalen Schaltungselementen bereit.
  • Die 5A und 5B sind Skizzen einer IC-Struktur 500 in Übereinstimmung mit einigen Ausführungsformen. 5A ist eine Skizze, die auf einer Draufsicht der IC-Struktur 500 basiert, und 5B ist eine Skizze, die auf einer Querschnittansicht der IC-Struktur 500, wie sie von der Ebene E-E' geschnitten wird, basiert. Die IC-Struktur 500 weist die Stromschienen 100A und 100B, das Stromschienenniveau 100L, das erste Metallniveau 110L, das zweite Metallniveau 120L und das dritte Metallniveau 130L auf, die oben unter Bezugnahme auf die IC-Struktur 100 und die 1A, 1B und 1C beschrieben sind. Die erste Richtung X und die zweite Richtung Y, die oben unter Bezugnahme auf die IC-Struktur 100 und 1A beschrieben sind, sind in 5A angegeben.
  • Zusätzlich zu den Elementen der IC-Struktur 100, weist die IC-Struktur 500 vier Metallsegmente 540A, 540B, 540C und 540D auf, die auf einem vierten Metallniveau 540L positioniert sind, und Strom-Straps 550A, 550B, 550C und 550D, die auf einem Strom-Strap-Niveau 550L positioniert sind.
  • Bei einigen Ausführungsformen liegt ein viertes Metallniveau 540L unmittelbar über dem dritten Metallniveau 130L. Bei einigen Ausführungsformen trennen ein oder mehrere Metallniveaus (nicht gezeigt) das vierte Metallniveau 540L von dem dritten Metallniveau 130L, und das vierte Metallniveau 540L liegt nicht unmittelbar über dem dritten Metallniveau 130L.
  • Bei einigen Ausführungsformen liegt das Strom-Strap-Niveau 550L unmittelbar über dem vierten Metallniveau 540L. Bei einigen Ausführungsformen trennen ein oder mehrere Metallniveaus (nicht gezeigt) das Strom-Strap-Niveau 550L von dem vierten Metallniveau 540L, und das Strom-Strap-Niveau 550L liegt nicht unmittelbar über dem vierten Metallniveau 540L.
  • Jedes der vierten Metallsegmente 540A, 540B, 540C und 540D und Strom-Straps 550A, 550B, 550C und 550D umfasst ein leitfähiges Material, wie zum Beispiel ein Metall, eine Metallzusammensetzung oder ein anderes geeignetes Material, das in einer oder mehreren Metallisierungsschichten durch einen physikalischen Gasphasenabscheidungs- und/oder einen chemischen Gasphasenabscheidungs- und/oder einen Plattierungsprozess oder einen anderen geeigneten Prozess gebildet wird.
  • Die vierten Metallsegmente 540A, 540B, 540C und 540D sind elektrisch leitfähige Leitungssegmente, die parallel auf dem vierten Metallniveau 540L positioniert und in eine erste Richtung X ausgerichtet sind. Die vierten Metallsegmente 540A und 540C überlappen die Stromschiene 100A, und die vierten Metallsegmente 540B und 540D überlappen die Stromschiene 100B.
  • Die vierten Metallsegmente 540A, 540B, 540C und 540D werden auch als eine Vielzahl vierter Metallsegmente beschrieben. Bei der Ausführungsform, die in den 5A und 5B gezeigt ist, weist eine Vielzahl vierter Metallsegmente vier vierte Metallsegmente auf. Bei einigen Ausführungsformen weist eine Vielzahl vierter Metallsegmente vierte Metallsegmente (nicht gezeigt) zusätzlich zu vierten Metallsegmenten 540A, 540B, 540C und 540D auf. Bei einigen Ausführungsformen weist eine Vielzahl vierter Metallsegmente eine Untermenge vierter Metallsegmente 540A, 540B, 540C und 540D auf.
  • Bei einigen Ausführungsformen hat jedes vierte Metallsegment einer Vielzahl vierter Metallsegmente eine Breite, die einer vorbestimmten Mindestbreite für das vierte Metallniveau 540L entspricht. Bei einigen Ausführungsformen hat jedes vierte Metallsegment einer Vielzahl vierter Metallsegmente eine Breite, die einer vorbestimmten Mindestbreite für eine Metall-Vier-Schicht eines IC-Prozesses entspricht.
  • Bei einigen Ausführungsformen überlappt das vierte Metallsegment 540A die dritten Metallsegmente 130A und 130B, das vierte Metallsegment 540B überlappt die dritten Metallsegmente 130C und 130D, das vierte Metallsegment 540C überlappt die dritten Metallsegmente 130E und 130F, und das vierte Metallsegment 540D überlappt die dritten Metallsegmente 130G und 130H, die oben unter Bezugnahme auf die IC-Struktur 100 und die 1A, 1B und 1C beschrieben sind. Bei dieser Konfiguration verbinden vierte Durchkontaktierungen 145 das vierte Metallsegment 540A mit den dritten Metallsegmenten 130A und 130B, das vierte Metallsegment 540B mit den dritten Metallsegmenten 130C und 130D, das vierte Metallsegment 540C mit den dritten Metallsegmenten 130E und 130F und das vierte Metallsegment 540D mit den dritten Metallsegmenten 130G und 130H elektrisch.
  • Bei einigen Ausführungsformen überlappt das vierte Metallsegment 540A das dritte Metallsegment 230A, das vierte Metallsegment 540B überlappt das dritte Metallsegment 230B, das vierte Metallsegment 540C überlappt das dritte Metallsegment 230C, und das vierte Metallsegment 540D überlappt das dritte Metallsegment 230D, die oben mit Bezug auf die IC-Struktur 200 und die 2A, 2B und 2C beschrieben sind. Bei dieser Konfiguration verbinden die vierten Durchkontaktierungen 245 das vierte Metallsegment 540A elektrisch mit dem dritten Metallsegment 230A, das vierte Metallsegment 540B mit dem dritten Metallsegment 230B, das vierte Metallsegment 540C mit dem dritten Metallsegment 230C und das vierte Metallsegment 540D mit dem dritten Metallsegment 230D.
  • Bei einigen Ausführungsformen überlappt das vierte Metallsegment 540A das dritte Metallsegment 330A, das vierte Metallsegment 540B überlappt das dritte Metallsegment 330B, das vierte Metallsegment 540C überlappt das dritte Metallsegment 330C, und das vierte Metallsegment 540D überlappt das dritte Metallsegment 330D, die oben mit Bezug auf die IC-Struktur 300 und die 3A, 3B und 3C beschrieben sind. Bei dieser Konfiguration verbinden die vierten Durchkontaktierungen 345 das vierte Metallsegment 540A elektrisch mit dem dritten Metallsegment 330A, das vierte Metallsegment 540B mit dem dritten Metallsegment 330B, das vierte Metallsegment 540C mit dem dritten Metallsegment 330C und das vierte Metallsegment 540D mit dem dritten Metallsegment 330D.
  • Bei einigen Ausführungsformen überlappt das vierte Metallsegment 540A das dritte Metallsegment 430A, das vierte Metallsegment 540B überlappt das dritte Metallsegment 430B, das vierte Metallsegment 540C überlappt das dritte Metallsegment 430C, die oben mit Bezug auf die IC-Struktur 400 und die 4A, 4B und 4C beschrieben sind. Bei dieser Konfiguration verbinden die vierten Durchkontaktierungen 445 elektrisch das vierte Metallsegment 540A elektrisch mit dem dritten Metallsegment 430A, das vierte Metallsegment 540B mit dem dritten Metallsegment 430B, das vierte Metallsegment 540C mit dem dritten Metallsegment 430C.
  • Die Strom-Straps 550A, 550B, 550C und 550D sind elektrisch leitfähige Leitungssegmente, die in die zweite Richtung Y ausgerichtet sind. Der Strom-Strap 550A überlappt die Stromschiene 100A an der Stelle, an welcher das vierte Metallsegment 540A die Stromschiene 100A überlappt, der Strom-Strap 550B überlappt die Stromschiene 100B an der Stelle, an welcher das vierte Metallsegment 540B die Stromschiene 100B überlappt, der Strom-Strap 550C überlappt die Stromschiene 100A an der Stelle, an welcher das vierte Metallsegment 540C die Stromschiene 100A überlappt, und der Strom-Strap 550D überlappt die Stromschiene 100B an der Stelle, an welcher das vierte Metallsegment 540D die Stromschiene 100B überlappt.
  • Die Strom-Straps 550A, 550B, 550C und 550D sind auch als eine Vielzahl von Strom-Straps beschrieben. Bei der Ausführungsform, die in den 5A und 5B gezeigt ist, weist eine Vielzahl von Strom-Straps vier Strom-Straps auf. Bei einigen Ausführungsformen weist eine Vielzahl der Strom-Straps Strom-Straps (nicht gezeigt) zusätzlich zu den Strom-Straps 550A, 550B, 550C und 550D auf. Bei einigen Ausführungsformen weist eine Vielzahl von Strom-Straps eine Untermenge von Strom-Straps 550A, 550B, 550C und 550D auf.
  • Bei einigen Ausführungsformen hat jeder Strom-Strap der Vielzahl von Strom-Straps eine Breite, die zwei Mal einer vorbestimmten Mindestbreite für das Strom-Strap-Niveau 550L entspricht. Bei einigen Ausführungsformen hat jeder Strom-Strap einer Vielzahl von Strom-Straps eine Breite größer als zwei Mal eine vorbestimmte Mindestbreite für das Strom-Strap-Niveau 550L.
  • Bei einigen Ausführungsformen hat jeder Strom-Strap einer Vielzahl von Strom-Straps eine Breite, die zwei Mal einer vorbestimmten Mindestbreite für eine Metall-Fünf-Schicht eines IC-Prozesses entspricht. Bei einigen Ausführungsformen hat jeder Strom-Strap einer Vielzahl von Strom-Straps eine Breite, die größer ist als zwei Mal eine vorbestimmte Mindestbreite für eine Metall-Fünf-Schicht eines IC-Prozesses.
  • Fünfte Durchkontaktierungen 555 sind Durchkontaktierungen, die zwischen dem vierten Metallniveau 540L und dem Strom-Strap-Niveau 550L an den Stellen positioniert sind, an welchen der Strom-Strap 550A das vierte Metallsegment 540A überlappt, der Strom-Strap 550B das vierte Metallsegment 540B überlappt, der Strom-Strap 550C das vierte Metallsegment 540C überlappt, und der Strom-Strap 550D das vierte Metallsegment 540D überlappt. Bei einigen Ausführungsformen sind die fünften Durchkontaktierungen 555 einzelne Durchkontaktierungen, die an den Stellen positioniert sind, an welchen der Strom-Strap 550A das vierte Metallsegment 540A überlappt, der Strom-Strap 550B das vierte Metallsegment 540B überlappt, der Strom-Strap 550C das vierte Metallsegment 540C überlappt, und der Strom-Strap 550D das vierte Metallsegment 540D überlappt.
  • Anhand dieser Konfiguration ist der Strom-Strap 550A mit dem vierten Metallsegment 540A elektrisch verbunden, der Strom-Strap 550B ist mit dem vierten Metallsegment 540B elektrisch verbunden, der Strom-Strap 550C ist mit dem vierten Metallsegment 540C elektrisch verbunden, und der Strom-Strap 550D ist mit dem vierten Metallsegment 540D elektrisch verbunden.
  • Anhand der Konfiguration der IC-Struktur 500 kombiniert mit einer der IC-Strukturen 100, 200, 300, oder 400, ist der Strom-Strap 550A mit der Stromschiene 100A elektrisch verbunden, der Strom-Strap 550B ist mit der Stromschiene 100B elektrisch verbunden, der Strom-Strap 550C ist mit der Stromschiene 100A elektrisch verbunden, und der Strom-Strap 550D ist mit der Stromschiene 100B elektrisch verbunden.
  • 6 ist ein Ablaufdiagramm eines Verfahrens 600 zum Herstellen einer IC-Struktur in Übereinstimmung mit einer oder mehreren Ausführungsformen. Das Verfahren 600 wird auf die Herstellung und/oder das Design einer IC-Struktur angewandt, wie auf eine oder mehrere der IC Strukturen 100, 200, 300, 400 und 500, die oben besprochen sind. Falls das Verfahren 600 ausgeführt wird, um eine IC-Struktur zu konzipieren, wird das Verfahren 600 von einem Prozessor umgesetzt, der konfiguriert ist, um einen Satz computerlesbarer Anweisungen auszuführen, die eine Schnittstelle mit einem Schaltungskonzeptionssystem zum Konzipieren bilden, und ein Layout einer IC-Struktur, die die hier besprochenen Merkmale hat, zu ergeben.
  • Die Abfolge, bei der die Vorgänge des Verfahrens 600 in 6 abgebildet sind, dient allein der Veranschaulichung; die Vorgänge des Verfahrens 600 sind in der Lage, in Abfolgen ausgeführt zu werden, die sich von der in 6 abgebildeten unterscheiden. Bei einigen Ausführungsformen werden Vorgänge zusätzlich zu den in 6 abgebildeten vor, zwischen und/oder nach den Vorgängen, die in 6 abgebildet sind, ausgeführt.
  • Bei Vorgang 610 werden eine erste Stromschiene und eine zweite Stromschiene gebildet. Die erste Stromschiene und die zweite Stromschiene sind parallel auf einem Stromschienenniveau positioniert und in eine Stromschienenrichtung ausgerichtet.
  • Bei einigen Ausführungsformen, weist das Bilden einer ersten Stromschiene und einer zweiten Stromschiene das Bilden der ersten Stromschienen 100A und 100B auf, die oben unter Bezugnahme auf die IC Strukturen 100, 200, 300 und 400 besprochen sind.
  • Bei Vorgang 620 wird eine Vielzahl erster Metallsegmente auf einem ersten Metallniveau unmittelbar über dem Stromschienenniveau gebildet. Die Vielzahl erster Metallsegmente weist erste Metallsegmente auf, die in eine erste Metallrichtung senkrecht zu der Stromschienenrichtung ausgerichtet sind. Jedes erste Metallsegment der Vielzahl erster Metallsegmente überlappt eine oder beide der ersten und der zweiten Stromschiene. Das Bilden der Vielzahl erster Metallsegmente umfasst das Bilden jedes ersten Metallsegments der Vielzahl erster Metallsegmente, die eine Breite haben, die einer vorbestimmten Mindestbreite für das erste Metallniveau entspricht.
  • Bei einigen Ausführungsformen weist das Bilden einer Vielzahl erster Metallsegmente das Bilden erster Metallsegmente 110A, 110B, 110C, 110D, 110E, 110F, 110G und 110H, die oben unter Bezugnahme auf die IC-Struktur 100 besprochen sind, auf. Bei einigen Ausführungsformen weist das Bilden einer Vielzahl erster Metallsegmente das Bilden erster Metallsegmente 210A, 210B, 210C und 210D, die oben unter Bezugnahme auf die IC-Struktur 200 besprochen sind, auf. Bei einigen Ausführungsformen weist das Bilden einer Vielzahl erster Metallsegmente das Bilden erster Metallsegmente 310A, 310B, 310C, 310D, 310E, 310F, 310G und 310H, die oben unter Bezugnahme auf die IC-Struktur 300 besprochen sind, auf. Bei einigen Ausführungsformen weist das Bilden einer Vielzahl erster Metallsegmente das Bilden erster Metallsegmente 310A, 310B, 310C, 310D, 310E, 310F, 310G, 310H, 410A und 410B, die oben unter Bezugnahme auf die IC-Struktur 400 besprochen sind, auf.
  • Bei Vorgang 630 wird eine Vielzahl erster Durchkontaktierungen zwischen dem Stromschienenniveau und dem ersten Metallniveau gebildet. Jede Durchkontaktierung der Vielzahl erster Durchkontaktierungen ist eine einzelne Durchkontaktierungen, die an einer Stelle positioniert wird, an welcher ein entsprechendes erstes Metallsegment der Vielzahl erster Metallsegmente die erste Stromschiene oder die zweite Stromschiene überlappt.
  • Bei einigen Ausführungsformen weist das Bilden einer Vielzahl erster Durchkontaktierungen das Bilden einer Vielzahl erster Durchkontaktierungen 115 zwischen den Stromschienen 100A und 100B und den ersten Metallsegmenten 110A, 110B, 110C, 110D, 110E, 110F, 110G und 110H, die oben unter Bezugnahme auf die IC-Struktur 100 besprochen sind, auf. Bei einigen Ausführungsformen weist das Bilden einer Vielzahl erster Durchkontaktierungen das Bilden einer Vielzahl erster Durchkontaktierungen 215 zwischen den Stromschienen 100A und 100B und den ersten Metallsegmenten 210A, 210B, 210C und 210D, die oben unter Bezugnahme auf die IC-Struktur 200 besprochen sind, auf. Bei einigen Ausführungsformen weist das Bilden einer Vielzahl erster Durchkontaktierungen das Bilden einer Vielzahl erster Durchkontaktierungen 315 zwischen den Stromschienen 100A und 100B und den ersten Metallsegmenten 310A, 310B, 310C, 310D, 310E, 310F, 310G und 310H, die oben unter Bezugnahme auf die IC-Struktur 300 besprochen sind, auf. Bei einigen Ausführungsformen weist das Bilden einer Vielzahl erster Durchkontaktierungen das Bilden einer Vielzahl erster Durchkontaktierungen 415 zwischen den Stromschienen 100A und 100B und den ersten Metallsegmenten 310A, 310B, 310C, 310D, 310E, 310F, 310G, 310H, 410A und 410B, die oben unter Bezugnahme auf die IC-Struktur 400 besprochen sind, auf.
  • Bei Vorgang 640 wird eine Vielzahl erster Metallsegmente auf einem zweiten Metallniveau unmittelbar über dem ersten Metallniveau gebildet. Jedes zweite Metallsegment der Vielzahl zweiter Metallsegmente ist in die Stromschienenrichtung ausgerichtet, mindestens ein zweites Metallsegment der Vielzahl zweiter Metallsegmente überlappt die erste Stromschiene, und mindestens ein zweites Metallsegment der Vielzahl zweiter Metallsegmente überlappt die zweite Stromschiene.
  • Bei einigen Ausführungsformen weist das Bilden einer Vielzahl zweiter Metallsegmente das Bilden zweiter Metallsegmente 120A, 120B, 120C und 120D, die oben unter Bezugnahme auf die IC-Struktur 100 besprochen sind, auf. Bei einigen Ausführungsformen weist das Bilden einer Vielzahl zweiter Metallsegmente das Bilden zweiter Metallsegmente 220A, 220B, 220C und 220D, die oben unter Bezugnahme auf die IC-Struktur 200 besprochen sind, auf. Bei einigen Ausführungsformen weist das Bilden einer Vielzahl zweiter Metallsegmente das Bilden zweiter Metallsegmente 320A und 320B, die oben unter Bezugnahme auf die IC-Strukturen 300 und 400 besprochen sind, auf.
  • Bei Vorgang 650 wird eine Vielzahl erster Durchkontaktierungen zwischen dem ersten Metallniveau und dem zweiten Metallniveau gebildet. Jede zweite Durchkontaktierung der Vielzahl zweiter Durchkontaktierungen ist eine einzelne Durchkontaktierung, die an einer Stelle über einer entsprechenden ersten Durchkontaktierung der Vielzahl erster Durchkontaktierungen gebildet wird.
  • Bei einigen Ausführungsformen weist das Bilden einer Vielzahl zweiter Durchkontaktierungen das Bilden einer Vielzahl zweiter Durchkontaktierungen 125 zwischen den ersten Metallsegmenten 110A, 110B, 110C, 110D, 110E, 110F, 110G und 110H und einer zweiten Schicht von Metallsegmenten 120A, 120B, 120C und 120D, die oben unter Bezugnahme auf die IC-Struktur 100 besprochen sind, auf. Bei einigen Ausführungsformen weist das Bilden einer Vielzahl zweiter Durchkontaktierungen das Bilden einer Vielzahl zweiter Durchkontaktierungen 225 zwischen den ersten Metallsegmenten 210A, 210B, 210C und 210D und einer zweiten Schicht von Metallsegmenten 220A, 220B, 220C und 220D, die oben unter Bezugnahme auf die IC-Struktur 200 besprochen sind, auf. Bei einigen Ausführungsformen weist das Bilden einer Vielzahl zweiter Durchkontaktierungen das Bilden einer Vielzahl zweiter Durchkontaktierungen 325 zwischen den ersten Metallsegmenten 310A, 310B, 310C, 310D, 310E, 310F, 310G und 310H und einer zweiten Schicht von Metallsegmenten 320A und 320B, die oben unter Bezugnahme auf die IC-Struktur 300 besprochen sind, auf. Bei einigen Ausführungsformen weist das Bilden einer Vielzahl zweiter Durchkontaktierungen das Bilden einer Vielzahl zweiter Durchkontaktierungen 425 zwischen den ersten Metallsegmenten 310A, 310B, 310C, 310D, 310E, 310F, 310G, 310H, 410A und 410B und einer zweiten Schicht von Metallsegmenten 320A und 320B, die oben unter Bezugnahme auf die IC-Struktur 400 besprochen sind, auf.
  • Bei Vorgang 660 werden ein erster Strom-Strap und ein zweiter Strom-Strap auf einem Strom-Strap-Niveau über dem zweiten Metallniveau gebildet. Das Bilden des ersten Strom-Straps umfasst das Bilden des ersten Strom-Straps, der eine Breite hat, die größer ist als eine vorbestimmte Mindestbreite für das Strom-Strap-Niveau. Das Bilden des zweiten Strom-Straps umfasst das Bilden des zweiten Strom-Straps, der eine Breite hat, die größer ist als eine vorbestimmte Mindestbreite für das Strom-Strap-Niveau. Bei einigen Ausführungsformen weist das Bilden eines ersten Strom-Straps und eines zweiten Strom-Straps das Bilden von Strom-Straps 550A, 550B, 550C und 550D, die oben unter Bezugnahme auf die IC-Struktur 500 besprochen sind, auf.
  • Die Vorgänge 610 bis 660 richten dadurch eine elektrische Verbindung von dem ersten Strom-Strap zu der ersten Stromschiene und von dem zweiten Strom-Strap zu der zweiten Stromschiene ein. Bei einigen Ausführungsformen weist das Einrichten einer elektrischen Verbindung von dem ersten Strom-Strap zu der ersten Stromschiene und von dem zweiten Strom-Strap zu der zweiten Stromschiene Vorgänge zusätzlich zu den Vorgängen 610 bis 660 auf.
  • Bei einigen Ausführungsformen wird bei Vorgang 670 eine Vielzahl dritter Metallsegmente auf einem dritten Metallniveau unmittelbar über dem zweiten Metallniveau gebildet. Die Vielzahl dritter Metallsegmente ist in die erste Metallniveaurichtung ausgerichtet. Bei einigen Ausführungsformen weist eine Vielzahl dritter Metallsegmente einen ersten Satz dritter Metallsegmente auf, der mit einem zweiten Satz dritter Metallsegmente abwechselt.
  • Bei einigen Ausführungsformen weist das Bilden einer Vielzahl dritter Metallsegmente das Bilden dritter Metallsegmente 130A, 130B, 130C, 130D, 130E, 130F, 130G und 130H, die oben unter Bezugnahme auf die IC-Struktur 100 besprochen sind, auf. Bei einigen Ausführungsformen weist das Bilden einer Vielzahl dritter Metallsegmente das Bilden einer dritten Schicht von Metallsegmenten 230A, 230B, 230C und 230D, die oben unter Bezugnahme auf die IC-Struktur 200 besprochen sind, auf. Bei einigen Ausführungsformen weist das Bilden einer Vielzahl dritter Metallsegmente das Bilden einer dritten Schicht von Metallsegmenten 330A, 330B, 330C und 330D, die oben unter Bezugnahme auf die IC-Strukturen 300 und 400 besprochen sind, auf.
  • Bei einigen Ausführungsformen weist der Vorgang 680 das Bilden einer Vielzahl dritter Durchkontaktierungen auf. Bei einigen Ausführungsformen verbindet elektrisch eine Vielzahl dritter Durchkontaktierungen einen ersten Satz dritter Metallsegmente mit mindestens einem zweiten Metallsegment einer Vielzahl zweiter Metallsegmente, die eine erste Stromschiene überlappen, und verbindet elektrisch einen zweiten Satz dritter Metallsegmente mit mindestens einem zweiten Metallsegment der Vielzahl zweiter Metallsegmente, die eine zweite Stromschiene überlappen.
  • Bei einigen Ausführungsformen weist das Bilden einer Vielzahl dritter Durchkontaktierungen das Bilden dritter Durchkontaktierungen 135, die oben unter Bezugnahme auf die IC-Struktur 100 besprochen sind, auf. Bei einigen Ausführungsformen weist das Bilden einer Vielzahl dritter Durchkontaktierungen das Bilden dritter Durchkontaktierungen 235, die oben unter Bezugnahme auf die IC-Struktur 200 besprochen sind, auf. Bei einigen Ausführungsformen weist das Bilden einer Vielzahl dritter Durchkontaktierungen das Bilden dritter Durchkontaktierungen 335, die oben unter Bezugnahme auf die IC-Strukturen 300 und 400 besprochen sind, auf.
  • Jede der diversen Ausführungsformen richtet dadurch elektrische Verbindungen zwischen Bauteilen des Stromnetzes ein (die Strom-Straps aufweisen, die an oberen Metallschichten positioniert sind, und Stromschienen, die an unteren Schichten unter Verwenden von Metallelementen mit Mindestbreite und einzelnen Durchkontaktierungen in den Schichten zwischen den Strom-Straps und den Stromschienen aufweisen), und verringert damit IR-Verluste, verringert eine Flächen-/Footprint-Auswirkung des Stromnetzes (was den Raum erhöht, der für das Platzieren anderer Strukturen verfügbar ist), oder dergleichen. Durch Bereitstellen paralleler Pfade, die niedrigen Widerstand haben, ermöglichen eine oder mehrere Ausführungsformen effiziente Stromzufuhr, begrenzte Wärmeerzeugung und niedrige Anfälligkeit für EM.
  • Im Vergleich zu Ansätzen, die Metallelemente verwenden, die breiter sind als Metallelemente mit Mindestbreite und/oder mehreren Durchkontaktierungen an Stellen, an welchen Metallelemente überlappen, stellen eine oder mehrere Ausführungsformen dadurch Stromverteilung zu funktionalen Schaltungselementen mit weniger Auswirkung auf das Verlegen anderer Verbindungen zu diesen funktionalen Schaltungselementen bereit.
  • 7 ist ein Blockschaltbild einer IC-Struktur 700 in Übereinstimmung mit mindestens einer Ausführungsform der vorliegenden Offenbarung.
  • In 7 weist die IC-Struktur 700 unter anderen Dingen ein Schaltungs-Makro/Modul 701 auf. Bei einigen Ausführungsformen ist das Schaltungs-Makro/Modul 701 in dem Kontext einer Analogie zu der Architekturhierarchie modularen Programmierens zu verstehen, bei dem Subroutinen/Vorgehensweisen von einem Hauptprogramm (oder von anderen Subroutinen) abgerufen werden, um eine gegebene Rechenfunktionen auszuführen. In diesem Kontext verwendet die IC-Struktur 700 das Schaltungs-Makro/Modul 701, um eine oder mehrere gegebene Funktionen zu bilden. In diesem Kontext und in Bezug auf die Architekturhierarchie, ist die IC-Struktur 700 folglich zu dem Hauptprogramm analog, und das Schaltungs-Makro/Modul 701 (unten Makro genannt) ist analog zu Subroutinen/Vorgehensweisen. Bei einigen Ausführungsformen ist das Makro 701 ein Soft-Makro. Bei einigen Ausführungsformen ist das Makro 701 ein Hard-Makro. Bei einigen Ausführungsformen ist das Makro 701 ein Soft-Makro, das in Register-Transfer-Niveau (Register-Transfer Level - RTL) beschrieben /ausgedrückt ist. Bei einigen Ausführungsformen müssen noch Synthese, Platzierung und Routen auf dem Makro 701 ausgeführt werden, so dass das Soft-Makro für eine Vielfalt von Prozessknoten synthetisiert, platziert und geroutet werden kann. Bei einigen Ausführungsformen ist das Makro 701 ein Hard-Makro, das in einer Binärdateiformat (zum Beispiel Graphic Database System II (GDSII)-Streamformat) beschrieben/ausgedrückt ist, wobei das Binärdateiformat planare geometrische Formen, Textbezeichnungen, andere Informationen und dergleichen einer oder mehrerer Layoutskizzen des Makros 701 in hierarchischer Form darstellt. Bei einigen Ausführungsformen wurden Synthese, Platzierung und Routen auf dem Makro 701 derart ausgeführt, dass das Hard-Makro für einen bestimmten Prozessknoten spezifisch ist.
  • Bei einigen Ausführungsformen ist das Makro 701 ein SRAM-Makro. Bei einigen Ausführungsformen ist das Makro 701 ein anderes Makro, wie ein anderer Typ von RAM, ein ROM, Phase Lock Loops (PLLs), spezielle Funktionsschaltungen oder dergleichen. Das Makro 701 weist unter anderem einen leitfähigen Leitungsbereich 702 auf. Bei einigen Ausführungsformen entspricht der Bereich 702 einem Teil einer oder einer ganzen Instanz einer Standardzellstruktur, wobei die Standardzellstrukturen in einer Bibliothek diverser Standardzellstrukturen enthalten ist.
  • 8A ist eine Layoutskizze 800A einer leitfähigen Leitungsstruktur 800A einer IC-Struktur in Übereinstimmung mit mindestens einer Ausführungsform der vorliegenden Offenbarung. Bei einigen Ausführungsformen ist die IC-Struktur die IC-Struktur 700 der 7. Die Layoutskizze 800A weist ein Beispiel einer verbundenen langen Säulenpaarkonfiguration auf.
  • Was die Anordnung betrifft, ist 8A in eine Reihe von Reihen (darunter die Reihen ROW1 bis ROW8) und Spalten (darunter COLi bis COL4) geordnet. Jede der Reihen ist eingerichtet, um im Wesentlichen zu einer ersten Richtung parallel zu sein. In Bezug auf eine zweite Richtung (die im Wesentlichen zu der ersten Richtung parallel ist), hat jede der Reihen ROW1 bis ROW8 eine Höhe HROW. In 8A sind die erste und die zweite Richtung die entsprechende Vertikale und Horizontale. Bei einigen Ausführungsformen entsprechen die erste und die zweite Richtung anderen Richtungen als der vertikalen und horizontalen Richtung. Bei einigen Ausführungsformen weist jede Reihe eine vorbestimmte Anzahl von Bezugslinien/Bahnen (in 8A nicht gezeigt) auf. Bei einigen Ausführungsformen haben ungeradzahlige Reihen eine erste Leitfähigkeit, und geradzahlige Reihen haben eine zweite Leitfähigkeit. Bei einigen Ausführungsformen sind die ungeradzahligen Reihen für PMOS-Technologie konfiguriert, und die geradzahligen Reihen sind für NMOS-Technologie konfiguriert. Bei einigen Ausführungsformen sind die ungeradzahligen Reihen für NMOS-Technologie konfiguriert, und die geradzahligen Reihen sind für PMOS-Technologie konfiguriert.
  • Die Layoutskizze 800A weist Sätze langer Säulenstrukturen, Sätze kurzer Säulenstrukturen und erste Durchkontaktierungsstrukturen 830 auf. Insbesondere weisen die Sätze langer Säulenstrukturen Folgendes auf: einen ersten Satz 802 langer Säulenstrukturen 804A-804B; einen zweiten Satz 806 langer Säulenstrukturen 808A-808B; einen vierten Satz 810 langer Säulenstrukturen 812A-812B und einen fünften Satz 814 langer Säulenstrukturen 816A-816B. Insbesondere weisen die kurzen Säulenstrukturen Folgendes auf: einen dritten Satz 818 kurzer Säulenstrukturen 82oA-82oD und einen sechsten Satz 824 kurzer Säulenstrukturen 826A-826D. In 8A ist jede Spalte als eine Gruppe von Sätzen, die zwei Sätze aufweist, gezeigt. Die Spalte COLi weist zum Beispiel den ersten Satz 802 langer Säulenstrukturen 804A-804B und den zweiten Satz 806 langer Säulenstrukturen 808A-808B auf, und die Spalte COL2 weist den vierten Satz 810 langer Säulenstrukturen 812A-812B und den fünften Satz 814 langer Säulenstrukturen 816A-816B auf. Bei einigen Ausführungsformen weist jede Spalte eine Gruppe von Sätzen auf, die eine andere Anzahl von Sätzen als zwei hat. Die Durchkontaktierungsstrukturen 830 verbinden die kurzen Säulenstrukturen 820A-820D und 826A-826D des entsprechenden dritten 818 und sechsten 824 Satzes mit entsprechenden der langen Säulenstrukturen 804A-804B, 808A-808B, 812A-812B und 816A-816B des entsprechenden ersten Satzes 802, zweiten Satzes 806, vierten Satzes 810 und fünften Satzes 814.
  • Mitglieder des ersten Satzes 804, zweiten Satzes 806, vierten Satzes 810, fünften Satzes 814 und dergleichen befinden sich in einer Metallisierungsschicht M(i), wobei i eine nicht negative Ganzzahl ist. Mitglieder des dritten Satzes 818, des sechsten Satzes 844 und dergleichen befinden sich in einer Metallisierungsschicht M(i+1). Bei einigen Ausführungsformen i=0. Bei einigen Ausführungsformen i=1. Bei anderen Ausführungsformen werden andere Werte von i als i=0 oder i=1 in Betracht gezogen.
  • Was die Mitglieder des ersten Satzes 802, des zweiten Satzes 806, des vierten Satzes 810 und des fünften Satzes 814 der langen Säulenstrukturen betrifft: haben sie im Wesentlichen dieselbe Wellenlänge; überlappen sie einander nicht und haben sie lange Achsen, die im Wesentlichen koaxial und im Wesentlichen zu der ersten Richtung parallel sind. Bei einigen Ausführungsformen ist die erste Richtung die vertikale Richtung. Bei einigen Ausführungsformen haben unter den langen Säulen (darunter die langen Säulen 804A-804B, 808A-808B, 812A-812B und 816A-816B) nicht alle langen Säulen im Wesentlichen dieselbe Länge.
  • Was die Offsets betrifft, weisen die Offsets, die in 8A gezeigt sind, Offsets in gepaarten Sätzen in die zweite/horizontale Richtung, Offsets in gepaarten Sätzen in die erste/vertikale Richtung und Offsets zwischen Säulen in die erste/vertikale Richtung auf. Ein Offset mit gepaartem Satz in die zweite/horizontale Richtung tritt zwischen horizontal benachbarten Sätzen langer Säulen auf. Ein Offset mit gepaartem Satz in die erste/vertikale Richtung tritt zwischen horizontal benachbarten Sätzen auf. Ein Offset zwischen Spalten in die erste Richtung tritt zwischen den Sätzen langer Säulen in einer ersten Spalte und den Sätzen langer Säulen in einer benachbarten zweiten Spalte auf.
  • Ein Beispiel des Offsets mit gepaartem Satz in die zweite/horizontale Richtung ist, dass der zweite Satz 806 in die zweite Richtung in Bezug auf den ersten Satz 802 um einen Offsetabstand DOFF1 versetzt wird. Der fünfte Satz 814 wird in die zweite Richtung in Bezug auf den vierten Satz 810 um den Offsetabstand DOFF1 versetzt. Bei einigen Ausführungsformen ist der Offsetabstand DOFF1 der Poly-Abstand, PPOLY, für den entsprechenden Prozessknoten, wobei DOFF1 = PPOLY. Bei einigen Ausführungsformen werden andere Werte von DOFF1 in Betracht gezogen. Die Paare des ersten Satzes 802 und des vierten Satzes 810, des zweiten Satzes 806 und des vierten Satzes 816A und dergleichen werden auch in die zweite Richtung in Bezug zueinander um einen Offsetabstand DOFF2 versetzt. Benachbarte Säulen werden in die zweite Richtung in Bezug zueinander um einen Offsetabstand DOFF2 versetzt. In 8A beträgt der Offsetabstand DOFF2 in etwa sechs Mal den Offsetabstand DOFF1, so dass DOFF2 ≈ 6*DOFF1. Bei einigen Ausführungsformen beträgt der Offsetabstand DOFF2 in etwa ein Vielfaches des Offsetabstands DOFF1 anders als in etwa sechsfach. Bei einigen Ausführungsformen beträgt DOFF2 die Hälfte des Strom-Abstands, Pv, zwischen benachbarten Stromschienen oder Streifen für den entsprechenden Prozessknoten, wobei DOFF2 = 1/21Pv. Bei einigen Ausführungsformen werden andere Werte von DOFF2 in Betracht gezogen.
  • Was die Größen betrifft, die in 8A gezeigt sind, hat jede der Strukturen mit langer Säule 804A-804B, 808A-808B, 810A-810B, 816A-816B und dergleichen eine Länge L, die kleiner oder gleich einer vorbestimmten Länge LLIMIT ist, wobei L ≤ LLIMIT. Bei einigen Ausführungsformen ist LLIMIT im Wesentlichen gleich, jedoch ohne größer zu sein, wie die Blechlänge, LBlech, wobei LLIMIT ≈ LBlech und LLIMIT ≤ LBlech. Zu bemerken ist, dass LBlech eine Länge eines Leiters darstellt, unter der im Wesentlichen keine Elektromigration auftritt. Bei einigen Ausführungsformen ist LLIMIT eine andere Länge als die Blechlänge, LBlech.
  • Ein Beispiel des Offsets gepaarter Sätze in die erste/vertikale Richtung zwischen horizontal benachbarten Sätzen von Strukturen mit langer Säule, ist, dass der zweite Satz 806 (der horizontal zu dem ersten Satz 802 benachbart ist) in die erste/vertikale Richtung um einen vertikalen Trennabstand von im Wesentlichen einer Reihenhöhe HROW in Bezug auf den ersten Satz 802 versetzt wird. Insbesondere wird unter Bezugnahme auf das Beispiel die lange Säulenstruktur 804A in dem ersten Satz 802 um einen vertikalen Trennabstand von im Wesentlichen einer Reihenhöhe HRPW in Bezug auf die lange Säulenstruktur 804A in dem ersten Satz 802 versetzt. Bei einigen Ausführungsformen hat das Offset mit gepaartem Satz in die erste/vertikale Richtung einen vertikalen Trennabstand, der anders ist als eine Reihenhöhe HROW.
  • Ein Beispiel eines Offsets zwischen Spalten in die erste/vertikale Richtung zwischen den Sätzen langer Säulen in einer ersten Spalte und den Sätzen langer Säulenstrukturen in einer benachbarten zweiten Spalte ist, dass der erste Satz 802 und der zweite Satz 806 langer Säulenstrukturen in der Spalte COLi in die erste/vertikale Richtung um einen vertikalen Trennabstand von im Wesentlichen einer Reihenhöhe HROW in Bezug auf den vierten Satz 810 und den fünften Satz 814 langer Säulenstrukturen in Spalte COL2 versetzt werden. Insbesondere in Bezug auf das Beispiel, wird die lange Säulenstruktur 812B in dem vierten Satz 810 von Spalten COL2 um einen vertikalen Trennabstand von im Wesentlichen einer Reihenhöhe HROW in Bezug auf die lange Säulenstruktur 804B in dem ersten Satz 802 der Spalte COLi versetzt, und die lange Säulenstruktur 816A in dem fünften Satz 814 der Spalte COL2 wird um einen vertikalen Trennabstand von im Wesentlichen einer Reihenhöhe HROW in Bezug auf die lange Säulenstruktur 808A in dem zweiten Satz 806 der Spalte COLi versetzt. Bei einigen Ausführungsformen hat das Offset zwischen Spalten in die erste/vertikale Richtung einen vertikalen Trennabstand, der anders ist als eine Reihenhöhe HROW.
  • In 8A sind die kurzen Säulenstrukturen 820A-820D, 826A-826D und dergleichen gemäß den Reihen ROW1 bis ROW8 und dergleichen geordnet. Was die Mitglieder des dritten Satzes 818 und des sechsten Satzes 824 kurzer Säulenstrukturen betrifft: überlappen sie einander nicht, haben sie lange Achsen, die im Wesentlichen zu der zweiten Richtung parallel sind; überlappen sie entsprechende lange Säulenstrukturen 804A-804B, 808A-808B, 812A-812B und 816A-816B in dem entsprechenden ersten Satz 802, zweiten Satz 806, vierten Satz 810 und fünften Satz 814, und sind sie in Gruppen geordnet. Die Mitglieder des dritten Satzes 818 sind miteinander in Bezug auf die erste Richtung ausgerichtet. Die Mitglieder des sechsten Satzes 824 sind miteinander in Bezug auf die erste Richtung ausgerichtet. Benachbarte Mitglieder des dritten Satzes 818 sind in die erste Richtung in Bezug zueinander um einen Offsetabstand DOFF3 versetzt. In 8A ist DOFF3 die Größe in die erste Richtung von zwei Reihen. Bei einigen Ausführungsformen ist DOFF3 ein Vielfaches der Größe DSS in die erste Richtung einer Standardzelle, wobei DCOFF3 = α*Dss und wobei es sich um eine positive reelle Zahl handelt. Bei einigen Ausführungsformen werden andere Werte von DOFF3 in Betracht gezogen.
  • Außerdem ist der dritte Satz 818 kurzer Säulenstrukturen in zwei Gruppen 822A-822B geordnet, und der sechste Satz 824 kurzer Säulenstrukturen ist in Gruppen 828A-828B geordnet. Jede der Gruppen 822A-822B und 828A-828B hat dieselbe Menge Q822 kurzer Säulenstrukturen 820A-820D. In 8A ist zur Vereinfachung der Veranschaulichung die Menge Q822 kurzer Säulenstrukturen als zwei gezeigt, Q822=2. Bei einigen Ausführungsformen werden andere Werte für Q822 in Betracht gezogen, wobei Q822 eine positive Ganzzahl und Q822 > 2 ist.
  • Jede Gruppe 822A-822B des dritten Satzes 818 überlappt ein entsprechendes Paar einer langen Säulenstruktur 804A-804B in dem ersten Satz 802 und ist mit ihm verbunden, und eine entsprechende der langen Säulenstrukturen 808A-808B in dem zweiten Satz 806. Ein Beispiel eines solchen Paars sind die lange Säulenstruktur 804B in dem ersten Satz 802 und die lange Säulenstruktur in dem zweiten Satz 806. In jeder der Gruppen 822A-822B überlappt folglich jede der entsprechenden kurzen Säulenstrukturen 820A-820D des Paars der langen Säulenstruktur 804B in dem ersten Satz 802 und der langen Säulenstruktur 8o8A in dem zweiten Satz 806 und ist dazwischen elektrisch verbunden. In 8A befindet sich jede kurze Säulenstruktur in Bezug auf die erste/vertikale Richtung: im Wesentlichen in einem Stummelabstand von einem Ende einer der langen Säulenstrukturen, die die kurze Säulenstruktur überlappt, und auf einem Mittenbereich der anderen der langen Säulenstrukturen, die die kurze Säulenstruktur überlappt. Als ein Beispiel befindet sich eine kurze Säulenstruktur 820A in im Wesentlichen einem Stummelabstand von dem Ende der Säulenstruktur 804B und auf einem Mittenbereich der langen Säulenstruktur 808A. Ein Stummelabstand stellt eine ausreichende Menge an Überlappen zwischen dem Ende der langen Säule und der kurzen Säule sicher und hängt von der Ausrichtungstoleranz des entsprechenden Prozessknotens, der zum Herstellen von Strukturen, die den kurzen Säulenstrukturen, den langen Säulenstrukturen oder dergleichen entsprechen, verwendet wird, ab.
  • In 8A wird jede der langen Säulenstrukturen 804A-804B in dem ersten Satz 802 und jede der langen Säulenstrukturen 808A-808B in dem zweiten Satz 806 von einer Menge Q818 entsprechender kurzer Säulenstrukturen 820A-820D in dem dritten Satz 818 überlappt und ist elektrisch mit diesem verbunden. In 8A, haben vertikal ausgerichtete und vertikal benachbarte der langen Säulenstrukturen, darunter entsprechende der langen Säulenstrukturen 804A-804B und 808A-808B, einen vertikalen Trennabstand von im Wesentlichen einer Reihenhöhe HROW. Zum Beispiel haben die vertikal ausgerichteten und vertikal benachbarten langen Säulenstrukturen 808A und 808B eine vertikale Trennung von im Wesentlichen einer Reihenhöhe HROW. Bei einigen Ausführungsformen haben vertikal ausgerichtete und vertikal benachbarte lange Säulenstrukturen einen vertikalen Trennabstand, der von einer Reihenhöhe HROW unterschiedlich ist. In 8A ist zur Vereinfachung der Veranschaulichung die Menge Q818 kurzer Säulenstrukturen als vier gezeigt, Q818=4. Bei einigen Ausführungsformen werden andere Werte für Q818 in Betracht gezogen, wobei Q818 eine positive Ganzzahl und Q818 > 3 ist. Die Menge Q818 ist größer als die Menge Q822, wobei Q822 < wobei Q818.
  • 8B ist eine Querschnittskizze einer leitfähigen Leitungsstruktur 800B einer IC-Struktur in Übereinstimmung mit mindestens einer Ausführungsform der vorliegenden Offenbarung. Bei einigen Ausführungsformen ist die IC-Struktur die IC-Struktur 700 der 7. Bei einigen Ausführungsformen wird die leitfähige Leitungsstruktur 800B mindestens zum Teil gemäß dem Layout 800A der 8A gefertigt.
  • In 8B weist die leitfähige Leitungsstruktur 800B Folgendes auf: lange Säulen 804B' und 8o8A'; Durchkontaktierungen 830' und kurze Säulen 820A'. Die langen Säulen 804B' und 808A' sind leitfähig und entsprechen den langen Säulenstrukturen 804B und 808A der 8A. Die Durchkontaktierungen 830' sind leitfähig und entsprechen Durchkontaktierungsstrukturen 830 der 8A. Die kurze Säule 820A' ist leitfähig und entspricht der kurzen Säulenstruktur 820A der 8A.
  • Die Vorteile des Verwendens einer verbundenen Konfiguration mit langem Säulenpaar, wie das Layout 8ooA der 8A, weisen mindestens einen der folgenden auf: ein robusteres Netz (zum Beispiel im Vergleich mit einer Konfiguration einfacher Streifen gemäß einem anderen Ansatz) ohne negative Auswirkungen, zum Beispiel Verlegbarkeit oder dergleichen; verringerte Elektromigrationsanfälligkeit (zum Beispiel im Vergleich mit einer Konfiguration einfacher Streifen gemäß einem anderen Ansatz), ohne negative Auswirkung, zum Beispiel Verlegbarkeit oder dergleichen; Zweckmäßigkeit/Eignung für eine Metallisierungsschicht oder dergleichen.
  • 9A ist eine Layoutskizze einer leitfähigen Leitungsstruktur 900A einer IC-Struktur in Übereinstimmung mit mindestens einer Ausführungsform der vorliegenden Offenbarung. Bei einigen Ausführungsformen ist die IC-Struktur die IC-Struktur 700 der 7. Die Layoutskizze 900A weist ein Beispiel einer verbundenen langen Säulenpaarkonfiguration auf.
  • Die Layoutskizze 900A weist Leitungsstrukturen 902A-902L, lange Säulenstrukturen 904A-904V und Durchkontaktierungsstrukturen 910 auf. Die Durchkontaktierungsstrukturen 910 verbinden die langen Säulenstrukturen 904A-904V elektrisch mit entsprechenden der Leitungsstrukturen 902A-902L. Die Leitungsstrukturen 902A-902L befinden sich an der Metallisierungsschicht M(i), wobei i eine nicht negative Ganzzahl ist. Die langen Säulenstrukturen 904A-904V befinden sich in einer Metallisierungsschicht M(i+1). Bei einigen Ausführungsformen i=o. Bei einigen Ausführungsformen i=1. Bei anderen Ausführungsformen werden andere Werte von i als i=o oder i=1 in Betracht gezogen.
  • Was die Leitungsstrukturen 902A-902L betrifft: überlappen sie einander nicht; haben sie lange Achsen, die im Wesentlichen zu einer ersten Richtung parallel sind. Bei einigen Ausführungsformen ist die erste Richtung die vertikale Richtung. Die Leitungsstrukturen 902A-902L sind in eine zweite Richtung in Bezug zueinander versetzt, wobei die zweite Richtung zu der ersten Richtung orthogonal ist. Bei einigen Ausführungsformen ist die zweite Richtung die horizontale Richtung.
  • Innerhalb jedes Clusters sind Mitglieder des Clusters, zum Beispiel die Leitungsstrukturen 902A & 902B, in die zweite Richtung in Bezug zueinander um einen Offsetabstand DOFF4 versetzt. Bei einigen Ausführungsformen ist der Offsetabstand DOFF4 ein Poly-Abstand, PPOLY, für den entsprechenden Prozessknoten, wobei DOFF4 = PPOLY. Bei einigen Ausführungsformen werden andere Werte von DOFF4 in Betracht gezogen. Benachbarte Cluster sind in die zweite Richtung in Bezug zueinander um einen Offsetabstand DOFF5 versetzt. Die Leitungsstruktur 902B der Cluster 902A & 902B ist von der Leitungsstruktur 902D der Cluster 902C & 902D um den Abstand DOFF5 versetzt. Bei einigen Ausführungsformen beträgt DOFF5 die Hälfte des Strom-Abstands, Pv, zwischen benachbarten Stromschienen oder Streifen für den entsprechenden Prozessknoten, wobei DOFF5 = 1/2Pv. Bei einigen Ausführungsformen werden andere Werte von DOFF5 in Betracht gezogen.
  • Die langen Säulenstrukturen 904A-904V sind in Sätze 906A-9061 geordnet, wobei die langen Säulenstrukturenelemente jedes Satzes: einander nicht überlappen; lange Achsen haben, die im Wesentlichen koaxial und im Wesentlichen parallel zu der zweiten Richtung sind; in die zweite Richtung in Bezug zueinander versetzt sind, und entsprechende der Leitungsstrukturen 902A-902L überlappen. Die langen Säulenstrukturen 904A-904V sind ebenfalls in Gruppen 908A bis 908D und dergleichen geordnet. Eine Sammlung von Gruppen wird eine Einheit genannt. Jede Einheit weist F Gruppen auf, wobei F eine positive Ganzzahl ist. In 9A, F=4. Bei einigen Ausführungsformen werden andere Werte von F als 4 in Betracht gezogen. Was die Gruppen 908A bis 908D und dergleichen betrifft: sind sie voneinander in die erste Richtung versetzt und haben jeweils eine selbe Menge an langen Säulenstrukturen. Für jede der Gruppen 908A bis 908D und dergleichen, überlappen einander Mitgliedersäulenstrukturen der Gruppe nicht und sind in die erste Richtung in Bezug zueinander versetzt und ausgerichtet.
  • Die Leitungsstrukturen 902A bis 902L sind in Bündeln geordnet, wobei jedes Bündel J Cluster aufweist, wobei die Cluster in die zweite Richtung voneinander versetzt sind, wobei J eine positive Ganzzahl ist. Jedes Cluster weist K der Leitungsstrukturen 902A bis 902L auf, wobei K eine positive Ganzzahl ist. In 9A beträgt J vier, J=4, und K beträgt zwei, K=2. Bei einigen Ausführungsformen werden andere Werte von J als vier in Betracht gezogen. Bei einigen Ausführungsformen werden andere Werte von K als zwei in Betracht gezogen. Die Cluster von Leitungsstrukturen 902A bis 902L weisen die Cluster von Leitungsstrukturen 902A & 902B, 902C & 902D, 902E & 902F und dergleichen auf. In 9A weisen Beispiele eines Bündels Folgendes auf: ein Bündel, das Cluster von Leitungsstrukturen der Leitungsstrukturen 902A & 902B, 902C & 902D, 902E & 902F und 902G & 902H aufweist; ein Bündel, das Cluster von Leitungsstrukturen der Leitungsstrukturen 902C & 902D, 902E & 902F, 902G & H und 9021 & 902J aufweist, und dergleichen. Für jeden der Sätze 906A bis 906I langer Säulenstrukturen, überlappt jedes der langen Säulenstrukturenmitglieder J-1 Cluster. Für jeden der Sätze 906A bis 906I langer Säulenstrukturen in 9A, überlappt jedes der langen Säulenstrukturenmitglieder J-1=4-1=3 Cluster. Für jeden der Sätze 906A bis 906I langer Säulenstrukturen, ist jedes der langen Säulenstrukturenmitglieder mit jedem der Mitglieder von J-2 Clustern elektrisch verbunden. Folglich ist in FIG. 9A für jeden der Sätze 906A bis 906I langer Säulenstrukturen jedes der langen Säulenstrukturenmitglieder mit jedem der Mitglieder von J-2=4-2=2 Clustern elektrisch verbunden.
  • Wo lange Säulenstrukturen 904A-904V entsprechende Abschnitte der Leitungsstrukturen 902A bis 902P kreuzen, werden zwei Typen von Schnittstellen beschrieben, nämlich eine funktionale Schnittstelle 914A und eine Flyover-Schnittstelle 914B. Was eine leitfähige Leitungsstruktur betrifft, die mindestens zum Teil gemäß dem Layout 800A und für eine funktionale Schnittstelle 914A gefertigt wird, sind entsprechende der langen Säulenstrukturen 904A bis 904V funktional (elektrisch) mit entsprechenden der darunterliegenden Leitungsstrukturen 902A bis 902P durch entsprechende Durchkontaktierungsstrukturen 910 verbunden. Was eine leitfähige Leitungsstruktur betrifft, die mindestens zum Teil gemäß dem Layout 800A und für eine Flyover-Schnittstelle 914B gefertigt wird, sind entsprechende der langen Säulenstrukturen 904A bis 904V NICHT funktional (elektrisch) mit entsprechenden der darunterliegenden Leitungsstrukturen 902A bis 902P verbunden, weil keine Durchkontaktierungsstrukturen 910 an den Flyover-Schnittstellen 914B vorhanden sind.
  • Für einen Referenzabschnitt 912A-912B und dergleichen, ist jede der Leitungsstrukturen 902A bis 902P eine Anzahl Q1 der langen Säulenstrukturen, die den Referenzabschnitt überlappen, größer als eine zweite Anzahl, Q2, langer Säulenstrukturen, die elektrisch mit dem Referenzabschnitt verbunden sind (oder die in der Form funktionaler Schnittstellen statt Flyover-Schnittstellen schneiden). In 9A, Q2=Q1-1. Bei einigen Ausführungsformen werden andere Beziehungen zwischen Q2 und Q1 in Betracht gezogen.
  • Als ein Beispiel wird der Referenzabschnitt 912B jedes der Leitungsstrukturen 902C und 902D betrachtet. Die langen Säulenstrukturen 904C, 904H, 904K und 904M überlappen den Referenzabschnitt 912B der Leitungsstrukturen 902C und 902D. Bei dem Beispiel beträgt die erste Anzahl langer Leitungsstrukturen 904A bis 904V, die den Referenzabschnitt 912B jeder der Leitungsstrukturen 902C und 902D überlappt, vier, Q1=4. Von den überlappenden der langen Säulenstrukturen überlappen die langen Säulenstrukturen 904C, 904H und 904M den Referenzabschnitt 912B der Leitungsstrukturen 902C und 902D in der Form funktionaler Schnittstellen 914A. Dagegen überlappt die lange Säulenstruktur 904K den Referenzabschnitt 912B der Leitungsstrukturen 902C und 902D in der Form einer Flyover-Schnittstelle 914B. Bei dem Beispiel beträgt folglich die zweite Anzahl langer Säulenstrukturen, die mit dem Referenzabschnitt 912B jedes der Leitungsstrukturen 902C und 902D elektrisch verbunden ist, drei, Q2=3, so dass (Q2=3) < (Q1=4). Ferner beträgt bei dem Beispiel die Anzahl F von Gruppen in einer Einheit Q1, wobei F=Q1=4 und so dass Q2=F-1=3.
  • 9B ist eine Querschnittskizze einer leitfähigen Leitungsstruktur 900B einer IC-Struktur in Übereinstimmung mit mindestens einer Ausführungsform der vorliegenden Offenbarung. Bei einigen Ausführungsformen ist die IC-Struktur die IC-Struktur 700 der 7. Bei einigen Ausführungsformen wird die leitfähige Leitungsstruktur 900B mindestens zum Teil gemäß dem Layout 900A der 9A gefertigt.
  • In 9B weist die leitfähige Leitungsstruktur 900B Folgendes auf: Leitungen 902G', 902H', 9021' und 902J'; Durchkontaktierungen 910' und eine lange Säule 904S'. Die Leitungen 902G', 902H', 9021' und 902J' sind leitfähig und entsprechen den Leitungsstrukturen 902G', 902H', 9021' und 902J'; Durchkontaktierungen 910' der 9A. Die Durchkontaktierungen 910' sind leitfähig und entsprechen Durchkontaktierungsstrukturen 910 der 9A. Die lange Säule 904S' ist leitfähig und entspricht den langen Säulenstrukturen 904S der 9A.
  • Die Vorteile des Verwendens einer verbundenen Konfiguration mit langen Säulenpaar, wie das Layout 900A der 9A, weisen mindestens einen der folgenden auf: ein robusteres Netz (zum Beispiel im Vergleich mit einer Konfiguration einfacher Streifen gemäß einem anderen Ansatz) ohne negative Auswirkungen, zum Beispiel Verlegbarkeit oder dergleichen; verringerte Elektromigrationsanfälligkeit (zum Beispiel im Vergleich mit einer Konfiguration einfacher Streifen gemäß einem anderen Ansatz) ohne negative Auswirkung, zum Beispiel Verlegbarkeit oder dergleichen; Zweckmäßigkeit/Eignung für eine Metallisierungsschicht oder dergleichen.
  • 10A ist ein Ablaufdiagramm eines Verfahrens 1000A zum Erzeugen eines Layouts einer IC-Struktur in Übereinstimmung mit einigen Ausführungsformen. Bei einigen Ausführungsformen wird das Verfahren 1000 verwendet, um eine Instanz der Layoutskizze 202A eines Trimmed-Gates-Bereichs 102 einer IC-Struktur zu erzeugen.
  • In 10A, weist das Ablaufdiagramm des Verfahrens 1000A Blöcke 1002 bis 1012 auf. Mindestens einer der Blöcke 1002 (darunter die Blöcke 1004 bis 1006), 1008 oder 1010 wird von einem Prozessor eines Computers ausgeführt. Ein Beispiel für den Prozessor ist ein Prozessor 1102 der 11 (unten besprochen). Ein Beispiel des Computers ist ein Designautomatisierungs- (Electronic Design Automation - EDA)-System 1100 der 11 (unten besprochen). Bei einigen Ausführungsformen wird jeder der Blöcke 1002 bis 1010 von dem Prozessor des Computers ausgeführt. Bei einigen Ausführungsformen wird das Layout, das von dem Verfahren 1000 erzeugt wird, auf einem nichtflüchtigen computerlesbaren Medium gespeichert. Ein Beispiel des nichtflüchtigen computerlesbaren Mediums ist das Layout 1107 der 11 (unten besprochen).
  • Bei Block 1002, werden erste und entsprechende zweite Sätze langer Säulenstrukturen erzeugt. Beispiele der ersten und zweiten Sätze langer Säulenstrukturen sind der erste Satz 802 langer Säulenstrukturen 804A-804B der 8A und der entsprechende Satz 806 langer Säulenstrukturen 808A-808B der 8A. Solche langen Säulenstrukturen: überlappen einander nicht und sind im Wesentlichen koaxial zu und im Wesentlichen parallel zu einer ersten Richtung. Der erste Satz ist von dem zweiten Satz in eine zweite Richtung orthogonal zu der ersten Richtung versetzt. Von Block 1002, geht der Ablauf zu Block 1004 weiter.
  • Bei Block 1004 wird ein dritter Satz kurzer Säulenstrukturen erzeugt. Ein Beispiel für den dritten Satz kurzer Säulenstrukturen ist der Satz 818 kurzer Säulenstrukturen 820A-820D der 8A. Solche langen Säulenstrukturen: überlappen einander nicht; sind mit langen Achsen eingerichtet, die im Wesentlichen zu der zweiten Richtung parallel sind; überlappen entsprechende lange Säulenstrukturen in dem ersten und dem zweiten Satz und sind in Gruppen geordnet. Beispiele einer solchen Gruppe sind die Gruppen 822A-822B der 8A. Jede solche Gruppe hat eine erste Menge kurzer Säulenstrukturen. Bei dem Beispiel der 8A, beträgt die erste Menge Q822 zwei, wobei Q822=2. Bei einigen Ausführungsformen werden andere Werte für Q822 in Betracht gezogen, wobei Q822 eine positive Ganzzahl und Q822 > 2 ist.
  • Block 1004 weist wieder die Blöcke 1004 bis 1006 auf. Innerhalb des Blocks 1004 geht der Ablauf zu Block 1006 weiter. Bei Block 1006 werden Mitglieder des dritten Satzes derart eingerichtet, dass jede lange Säulenstruktur in jedem des ersten und des zweiten Satzes von einer zweiten Menge entsprechender kurzer Säulenstrukturen überlappt wird, und ist elektrisch mit diesen verbunden. Bei dem Beispiel der 8A, beträgt die zweite Menge Q818 drei, wobei Q818=3 und wobei Q818 größer ist als Q822, so dass, wo Q822 < Q818. Von Block 1006, geht der Ablauf zu Block 1008 weiter.
  • Bei Block 1008 werden Mitglieder des dritten Satzes derart eingerichtet, dass jede kurze Säulenstruktur in jeder Gruppe eine entsprechende der langen Säulenstrukturen in dem ersten Satz und eine entsprechende der langen Säulenstrukturen in dem zweiten Satz überlappt und elektrisch mit dieser verbunden ist. Bei dem Beispiel der 8A, wird zum Beispiel die lange Säulenstruktur 804B von den kurzen Säulenstrukturen 820A-820D durch entsprechende Durchkontaktierungsstrukturen 830 überlappt. Von Block 1008 tritt der Ablauf aus Block 1004 aus und geht zu Block 1010 weiter.
  • Bei Block 1010 werden erste Durchkontaktierungsstrukturen erzeugt, was das Einrichten dieser derart aufweist, dass die kurzen Säulenstrukturen in dem dritten Satz mit entsprechenden der langen Säulenstrukturen in dem ersten und dem zweiten Satz elektrisch verbunden werden. Bei dem Beispiel der 8A, verbinden die Durchkontaktierungsstrukturen 830 zum Beispiel die lange Säulenstruktur 804B elektrisch mit den kurzen Säulenstrukturen 820A-820D. Von Block 1010, geht der Ablauf zu Block 1012 weiter. Bei Block 1012, wird basierend auf dem Layout, mindestens eine von (A) einer oder mehrerer Halbleitermasken gefertigt (siehe 12, unten besprochen), oder (B) mindestens ein Bauteil in einer Schicht einer unvollständigen integrierten Halbleiterschaltung wird gefertigt (siehe wieder 12, unten besprochen).
  • 10B ist ein High-Level-Prozessablauf eines Verfahrens 1000B zum Verlagern mindestens einer Säule einer IC-Struktur in einem Layout in Übereinstimmung mit mindestens einer Ausführungsform der vorliegenden Offenbarung.
  • In 10B, weist das Ablaufdiagramm des Verfahrens 1000B Blöcke 1022 bis 1042 auf. Mindestens einer der Blöcke 1022 bis 1040 wird von einem Prozessor eines Computers ausgeführt. Ein Beispiel für den Prozessor ist ein Prozessor 1102 der 11 (oben besprochen). Ein Beispiel des Computers ist ein Designautomatisierungs- (Electronic Design Automation - EDA)-System 1100 der 11 (unten besprochen). Bei einigen Ausführungsformen wird jeder der Blöcke 1022 bis 1040 von dem Prozessor des Computers ausgeführt. Bei einigen Ausführungsformen wird das Layout, das von dem Verfahren 1000B erzeugt wird, auf einem nichtflüchtigen computerlesbaren Medium gespeichert. Ein Beispiel des nichtflüchtigen computerlesbaren Mediums ist das Layout 1107, das in dem Speicher 1104 gespeichert ist, der 11 (unten besprochen).
  • Bei Block 1022, wird eine IR-Abfallanalyse für leitfähige Segmente in einer Mn-Schicht ausgeführt. Für die IR-Abfallanalyse von Block 1022, wird ein Versuch, Streifen zu verwenden, gemacht, wobei ein Streifen eine leitfähige Leitung ist, die länger ist als eine lange Säule (wobei eine Länge einer langen Säule mit der Blechlänge, LBlech, die oben besprochen wurde, zusammenhängt). Für Block 1022 wird angenommen, dass ein IR-Abfall, den die Streifen darlegen, kleiner oder gleich einem entsprechenden maximalen Referenzwert ist. Von Block 1022 geht der Ablauf zu Block 1024 weiter, wobei bestimmt wird, ob ein Elektromigrationsproblem in einem oder mehreren Streifen in der Mn-Schicht besteht. Bei einigen Ausführungsformen basiert das Bestimmen, ob ein Elektromigrationsproblem besteht, auf Testdaten, Einzelheiten der Kornstruktur für die betreffenden Leiter, dem Metallabscheidungsprozess, der verwendet wird, um die betreffenden Leiter zu bilden, oder dergleichen.
  • Falls das Resultat des Blocks 1024 negativ ist, geht der Prozessablauf weiter zu Block 1026, in dem ein Streifen in das Layout verwendet wird, was in dem Layout resultiert. Bei einigen Ausführungsformen ist ein Streifen eine leitfähige Leitung, die länger ist als eine lange Säule (wobei eine Länge einer langen Säule mit der Blechlänge, LBlech, die oben besprochen wurde, zusammenhängt). Von 1026 geht der Prozessablauf dann zu Block 1042 weiter, wo basierend auf dem Layout, mindestens eine von (A) einer oder mehrerer Halbleitermasken gefertigt (siehe 12, unten besprochen), oder (B) mindestens ein Bauteil in einer Schicht einer unvollständigen integrierten Halbleiterschaltung gefertigt wird (siehe wieder 12, unten besprochen).
  • Falls das Resultat von Block 1024 positiv ist, das heißt, dass in dem Layout ein Elektromigrationsproblem bestimmt wird, geht der Prozessablauf zu Block 1028 weiter. Bei Block 1028 wird versucht, kurze Säulen zu verwenden. Die Ausführung des Blocks 1028 veranlasst das Einfügen kurzer Säulen in das Layout als Ersatz für entsprechende(n) Streifen, die das Elektromigrationsproblem haben. Ein Beispiel der kurzen Säulen sind die kurzen Säulen 1302 und 1304 der 13A (unten besprochen). Der Prozessablauf geht dann weiter zu Block 1030, in dem bestimmt wird, ob sich ein IR-Abfall, der von den kurzen Säulen dargelegt wird (die als Ersatz des/der entsprechenden Streifen(s) bei Block 1028 eingeführt werden) über einen entsprechenden Schwellenwert in Bezug auf den/die IR-Abfall/Abfälle des/der entsprechenden Streifen(s) hinaus verschlechtert hat. Falls das Resultat des Blocks 1030 negativ ist, geht der Prozessablauf weiter zu Block 1032, in dem die kurzen Säulen (siehe Block 1028) verwendet werden, was in dem Layout resultiert. Bei Block 1032 werden die kurzen Säulen verwendet, ohne bahnausgerichtete Positionen einer oder mehrerer der kurzen Säulen derart zu verlagern, dass die kurzen Säulen gemeinsam ausgerichtet bleiben (zum Verlagern siehe zum Beispiel 1514 der 15, unten besprochen). Von Block 1032 geht der Prozessablauf dann weiter zu Block 1042 (oben besprochen).
  • Falls das Resultat des Blocks 1030 positiv ist, geht der Prozessablauf zu Block 1034 weiter, bei dem versucht wird, lange Säulen in einer einfachen Paarungskonfiguration zu verwenden. Die Ausführung des Blocks 1034 veranlasst das Einsetzen der langen Säulen in einer einfachen Paarungskonfiguration in das Layout als Ersatz für den/die entsprechenden Streifen, der bei Block 1024 als das Elektromigrationsproblem habend bestimmt wurde(n). Bei der einfachen Paarungskonfiguration langer Säulen, werden direkte elektrische Verbindungen zwischen zwei Mitgliedern eines ersten Paars langer Säulen (Intra-Paar-Verbindungen) hergestellt, aber zwischen dem ersten Paar langer Säulen und einem zweiten Paar langer Säulen wird keine direkte elektrische Verbindung hergestellt.
  • Von Block 1034 geht der Prozessablauf dann weiter zu Block 1036, in dem bestimmt wird, ob sich ein IR-Abfall, der von der einfachen Paarungskonfiguration langer Säulen (die als Ersatz des/der entsprechenden Streifens bei Block 1034 eingeführt werden) über einen entsprechenden Schwellenwert in Bezug auf den/die IR-Abfall/Abfälle des/der entsprechenden Streifen(s) hinaus verschlechtert hat. Falls das Resultat des Blocks 1036 negativ ist, geht der Prozessablauf weiter zu Block 1038, in dem die einfache Paarungskonfiguration langer Säulen verwendet wird, was in dem Layout resultiert. Von Block 1038 geht der Prozessablauf dann weiter zu Block 1042 (oben besprochen).
  • Falls das Resultat des Blocks 1036 positiv ist, geht der Prozessablauf zu Block 1040 weiter, bei dem eine Paarungskonfiguration verbundener langer Säulen verwendet wird, was in dem Layout resultiert. Bei der Paarungskonfiguration verbundener langer Säulen werden Intra-Paarverbindungen und Inter-Paarverbindungen hergestellt. Ein Beispiel eines ersten Paars langer Säulenstrukturen, das Intra-Paar- und Inter-Paarverbindungen hat, ist das Paar langer Säulenstrukturen 804B und langer Säulenstrukturen 808A der 8A, die durch kurze Säulenstrukturen 820A und 82oB sowie entsprechende Durchkontaktierungsstrukturen 830 Intra-Paar-verbunden sind, und für welches Mitglied die lange Säulenstruktur 804B durch kurze Säulenstrukturen 820C und 820D sowie entsprechende Durchkontaktierungsstrukturen 830 mit der langen Säulenstruktur 8o8B Inter-Paar-verbunden sind, wobei die lange Säulenstruktur 8o8B ein Mitglied eines zweiten Paars ist. Ein anderes Beispiel eines ersten Paars langer Säulenstrukturen, das Intra-Paar- und Inter-Paarverbindungen hat, ist das Paar langer Säulenstrukturen 904F und langer Säulenstrukturen 904P der 9A, die: durch Leitungsstrukturen 902E, 902F, 9021 und 902J sowie entsprechende Durchkontaktierungsstrukturen 910 Intra-Paar-verbunden sind, und durch Leitungsstrukturen 902E, 902F, 9021 und 902J sowie entsprechende Durchkontaktierungsstrukturen 910 mit mindestens langen Säulenstrukturen 904A und 904K Inter-Paar-verbunden sind, wobei die langen Säulenstrukturen 904A und 904K Mitglieder eines zweiten Paars sind. In 9A ist das bezeichnete zweite Paar in einer entsprechenden zweiten Gruppe langer Säulenstrukturen enthalten, die nicht nur die langen Säulenstrukturen 904A und 904K sondern auch die lange Säulenstruktur 904U enthält. Bei einigen Ausführungsformen weist die zweite Gruppe ferner andere entsprechende lange Säulenstrukturen auf, die vertikal mit den langen Säulenstrukturen 904A, 904K und 904U ausgerichtet sind, wobei die anderen entsprechenden langen Säulenstrukturen in 9A nicht gezeigt aber von den Ellipsen oben und unten an der 9A angedeutet werden. Bei einigen Ausführungsformen ist das bezeichnete erste Paar in einer entsprechenden ersten Gruppe enthalten, die ferner andere entsprechende lange Säulenstrukturen aufweist, die vertikal mit den langen Säulenstrukturen 904F und 904P ausgerichtet sind, wobei die anderen entsprechenden langen Säulenstrukturen in 9A nicht gezeigt aber von den Ellipsen oben und unten an der 9A angedeutet werden. Von Block 1040 geht der Prozessablauf dann weiter zu Block 1042 (oben besprochen).
  • 11 ist ein Blockschaltbild eines elektronischen Designautomatisierungs-(Electronic Design Automation - EDA)-Systems in Übereinstimmung mit mindestens einer Ausführungsform der vorliegenden Offenbarung.
  • Bei einigen Ausführungsformen weist das EDA-System 1100 ein APR-System auf. Das Verfahren der Ablaufdiagramme der 6 und 10 wird zum Beispiel unter Verwenden des EDA-Systems 1100 in Übereinstimmung mit einigen Ausführungsformen umgesetzt.
  • Bei einigen Ausführungsformen ist das EDA-System 1100 eine Allzweck-Rechenvorrichtung, die einen Hardwareprozessor 1102 und ein nicht flüchtiges computerlesbares Speichermedium 1104 aufweist. Das Speichermedium 1004 ist unter anderem codiert mit, das heißt speichert, Computerprogrammcode 1106, das heißt mit einem Satz ausführbarer Anweisungen. Die Ausführung der Anweisungen 1106 durch den Hardwareprozessor 1102 stellt (mindestens zum Teil) ein EDA-Tool dar, das einen Abschnitt oder alle zum Beispiel der Verfahren der 3A-3F in Übereinstimmung mit einer oder mehreren Ausführungsformen (unten die bezeichneten Prozesse und/oder Verfahren) umsetzt.
  • Der Prozessor 1102 ist elektrisch mit dem computerlesbaren Speichermedium 1104 über einen Bus 1108 gekoppelt. Der Prozessor 1102 ist auch elektrisch mit einer E/A-Schnittstelle 1110 durch den Bus 1108 gekoppelt. Eine Netzwerkschnittstelle 1112 ist ebenfalls elektrisch mit dem Prozessor 1102 über den Bus 1108 gekoppelt. Die Netzwerkschnittstelle 1112 ist mit einem Netzwerk 1114 verbunden, so dass der Prozessor 1102 und das computerlesbare Speichermedium 1104 in der Lage sind, sich mit externen Elementen über das Netzwerk 1114 zu verbinden. Der Prozessor 1102 ist konfiguriert, um Computerprogrammcode 1106, der in dem computerlesbaren Speichermedium 1104 codiert ist, auszuführen, um das System 1100 zu veranlassen, zum Ausführen eines Abschnitts oder aller bezeichneten Prozesse und/oder Verfahren verwendbar zu sein. Das computerlesbare Speichermedium 1104 weist auch eine oder mehrere Layouts 1107 auf, die gemäß einem Abschnitt oder allen bezeichneten Prozessen und/oder Verfahren erzeugt werden. Bei einer oder mehreren Ausführungsformen ist der Prozessor 1102 eine Zentraleinheit (Central Processing Unit - CPU), ein Multi-Prozessor, ein verteiltes Verarbeitungssystem, eine anwendungsspezifische integrierte Schaltung (ASIC) und/oder eine geeignete Verarbeitungseinheit.
  • Bei einer oder mehreren Ausführungsformen ist das computerlesbare Speichermedium 1104 ein elektronisches, magnetisches, optisches, elektromagnetisches, Infrarot- und/oder ein Halbleitersystem (oder Gerät oder eine Vorrichtung). Das computerlesbare Speichermedium 1104 weist zum Beispiel einen Halbleiter- oder Festkörperspeicher, ein Magnetband, eine entfernbare Computerdiskette, einen Direktzugriffsspeicher (Random Access Memory - RAM), einen Nurlesespeicher (Read-Only Memory - ROM), eine starre Magnetplatte und/oder eine optische Platte auf. Bei einer oder mehreren Ausführungsformen, die optische Platten verwenden, weist das computerlesbare Speichermedium 1104 einen Compact Disk-Nurlesespeicher (CD-ROM), eine Compact Disk-Lese-/Schreibplatte (CD-R/W) und/oder eine digitale Videoplatte (DVD) auf.
  • Bei einer oder mehreren Ausführungsformen speichert das Speichermedium 1104 den Computerprogrammcode 1106, der konfiguriert ist, um das System 1100 (wobei eine solche Ausführung (mindestens teilweise) das EDA-Tool darstellt) zu veranlassen, zum Ausführen eines Abschnitts oder aller bezeichneten Prozesse und/oder Verfahren verwendbar zu sein. Bei einer oder mehreren Ausführungsformen speichert das Speichermedium 1104 auch Informationen, die das Ausführen eines Abschnitts oder aller bezeichneten Prozesse und/oder Verfahren erleichtern. Bei einer oder mehreren Ausführungsformen speichert das Speichermedium 1104 eine Bibliothek (nicht gezeigt) von Standardzellen.
  • Das EDA-System 1100 weist eine E/A-Schnittstelle 1110 auf. Die E/A-Schnittstelle 1110 ist mit externen Schaltungen gekoppelt. Bei einer oder mehreren Ausführungsformen weist die E/A-Schnittstelle 1110 eine Tastatur, ein Tastenfeld, eine Maus, einen Trackball, ein Trackpad, einen Touchscreen und/oder Cursor-Richtungspfeile zum Kommunizieren von Informationen und Befehlen zu dem Prozessor 1102 auf.
  • Das EDA-System 1100 weist wieder die Netzwerkschnittstelle 1112 auf. Die Netzwerkschnittstelle 1112 weist drahtlose Netzwerkschnittstellen wie BLUETOOTH, WIFI, WIMAX, GPRS oder WCDMA oder verdrahtete Netzwerkschnittstellen wie ETHERNET, USB oder IEEE-1364 auf. Bei einer oder mehreren Ausführungsformen werden ein Abschnitt oder alle bezeichneten Prozesse und/oder Verfahren in zwei oder mehreren Systemen 1100 umgesetzt.
  • Das System 1100 ist konfiguriert, um Informationen über die E/A-Schnittstelle 1110 zu empfangen. Die Informationen, die durch die E/A-Schnittstelle 1110 empfangen werden, weisen eine oder mehrere Anweisungen, Daten, Designregeln, Bibliotheken von Standardzellen und/oder andere Parameter zum Verarbeiten durch den Prozessor 1102 auf. Die Informationen werden zu dem Prozessor 1102 über den Bus 1108 übertragen. Das EDA-System 1100 ist konfiguriert, um Informationen in Zusammenhang mit einer UI über die E/A-Schnittstelle 1110 zu empfangen. Die Informationen werden in dem computerlesbaren Medium 1104 als Benutzeroberfläche (User Interface - UI) 1142 gespeichert.
  • Bei einigen Ausführungsformen werden ein Abschnitt oder alle bezeichneten Prozesse und/oder Verfahren als eine eigenständige Softwareanwendung zur Ausführung durch einen Prozessor umgesetzt. Bei einigen Ausführungsformen werden ein Abschnitt oder alle bezeichneten Prozesse und/oder Verfahren als eine Softwareanwendung, die Teil einer zusätzlichen Softwareanwendung ist, umgesetzt. Bei einigen Ausführungsformen werden ein Abschnitt oder alle bezeichneten Prozesse und/oder Verfahren als ein Plug-In zu einer Softwareanwendung umgesetzt. Bei einigen Ausfiihrungsformen wird mindestens eine/eines der bezeichneten Prozesse und/oder Verfahren als eine Softwareanwendung, die ein Abschnitt eines EDA-Tools ist, umgesetzt. Bei einigen Ausführungsformen werden ein Abschnitt oder alle bezeichneten Prozesse und/oder Verfahren als eine Softwareanwendung, die von dem EDA-System 1100 verwendet wird, umgesetzt. Bei einigen Ausführungsformen wird ein Layout unter Verwenden eines Tools wie VIRTUOSO®, erhältlich bei CADENCE DESIGN SYSTEMS, Inc., oder einem anderen geeigneten Layouterzeugungstool erzeugt.
  • Bei einigen Ausführungsformen werden die Prozesse als Funktionen eines Programmes, das in einem nichtflüchtigen computerlesbaren Aufzeichnungsmedium gespeichert ist, ausgeführt. Beispiele für ein nicht flüchtiges computerlesbares Aufzeichnungsmedium weisen, ohne darauf beschränkt zu sein, eine externe/herausnehmbare und/oder interne/eingebaute Speichereinheit auf, zum Beispiel eine oder mehrere einer optischen Platte, wie zum Beispiel eine DVD, eine Magnetplatte, wie zum Beispiel eine Festplatte, ein Halbleiterspeicher, wie zum Beispiel ein ROM, ein RAM, eine Speicherkarte und dergleichen.
  • 12 ist ein Blockschaltbild eines Systems 1200 zur Herstellung einer integrierten Schaltung (IC) und ein IC-Herstellungsablauf, der damit verbunden ist, in Übereinstimmung mit mindestens einer Ausführungsform der vorliegenden Offenbarung.
  • In 12, weist das IC-Herstellungssystem 1200 Einheiten auf, wie zum Beispiel ein Designhaus 1220, ein Maskenhaus 1230 und einen IC-Hersteller/Fabrikator („Fab“) 1250, die miteinander bei den Design-, Entwicklungs- und Herstellungszyklen und/oder Diensten in Zusammenhang mit dem Herstellen einer IC-Vorrichtung 1260 in Wechselwirkung stehen. Die Einheiten in dem System 1200 sind durch ein Kommunikationsnetzwerk verbunden. Bei einigen Ausführungsformen ist das Kommunikationsnetzwerk ein einfaches Netzwerk. Bei einigen Ausführungsformen besteht das Kommunikationsnetzwerk aus einer Vielfalt unterschiedlicher Netzwerke, wie zum Beispiel aus einem Intranet und dem Internet. Das Kommunikationsnetzwerk weist verdrahtete und/oder drahtlose Kommunikationskanäle auf. Jede Einheit steht mit einer oder mehreren der Einheiten in Wechselwirkung und stellt zu einer oder mehreren der anderen Einheiten Dienstleistungen bereit und/oder empfängt sie von ihnen. Bei einigen Ausführungsformen sind zwei oder mehr des Designhauses 1220, des Maskenhauses 1230 und des IC-Fab 1250 im Besitz eines einzigen größeren Unternehmens. Bei einigen Ausführungsformen existieren zwei oder mehr des Designhauses 1220, des Maskenhauses 1230 und des IC-Fab 1250 in einer gemeinsamen Anlage und verwenden gemeinsame Ressourcen.
  • Das Designhaus (oder Designteam) 1220 erzeugt ein IC-Designlayout 1222. Das IC-Designlayout 1222 weist diverse geometrische Strukturen, die für eine IC-Vorrichtung 1260 konzipiert werden, auf. Die geometrischen Strukturen entsprechen Strukturen von Metall-, Oxid- oder Halbleiterschichten, die die diversen Bauteile der IC-Vorrichtung 1260, die zu fertigen ist, bilden. Die diversen Schichten werden kombiniert, um diverse IC-Merkmale zu bilden. Ein Abschnitt das IC-Designlayouts 1222 weist zum Beispiel diverse IC-Merkmale auf, wie einen aktiven Bereich, eine Gate-Elektrode, Source und Drain, Metallleitungen oder Durchkontaktierungen einer Grenzschicht-Zwischenverbindung und Öffnungen für Bonding-Pads, die in einem Halbleitersubstrat (wie zum Beispiel einem Siliziumwafer) zu bilden sind, und diverse Materialschichten, die auf das Halbleitersubstrat aufgebracht werden. Das Designhouse 1220 setzt eine eigene Designvorgehensweise um, um das Designlayout 1222 zu bilden. Die Designvorgehensweise weist Logikdesign und/oder physisches Design und/oder Platzieren und Routen auf. Das IC-Designlayout 1222 wird in einer oder mehreren anderen Datendateien, die Informationen der geometrischen Strukturen haben, präsentiert. Das IC-Designlayout 1222 kann zum Beispiel in einem GDSII-Dateiformat oder DFII-Dateiformat ausgedrückt werden.
  • Das Maskenhaus 1230 weist Datenvorbereitung 1232 und Maskenfertigung 1244 auf. Das Maskenhaus 1230 verwendet das IC-Designlayout 1222 zum Herstellen einer oder mehrerer Masken, die zur Fertigung der diversen Schichten der IC-Vorrichtung 1260 gemäß dem IC-Designlayout 1222 zu verwenden sind. Das Maskenhaus 1230 führt Maskendatenvorbereitung 1232 aus, wobei das IC-Designlayout 1222 in eine repräsentative Datendatei (Representative Data File - „RDF“) übersetzt wird. Die Maskendatenvorbereitung 1232 stellt die RDF der Maskenfertigung 1244 bereit. Die Maskenfertigung 1244 weist einen Maskenschreiber auf. Der Maskenschreiber wandelt die RDF in ein Bild auf einem Substrat, wie einer Maske (Retikel), oder einem Halbleiterwafer um. Das Designlayout wird von der Maskendatenvorbereitung 1232 manipuliert, um mit besonderen Merkmalen des Maskenschreibers und/oder Anforderungen des IC-Fab 1250 übereinzustimmen. In 12 sind die Maskendatenvorbereitung 1232 und die Maskenfertigung 1244 als separate Elemente veranschaulicht. Bei einigen Ausführungsformen können die Maskendatenvorbereitung 1232 und die Maskenfertigung 1244 gemeinsam eine Maskendatenvorbereitung genannt werden.
  • Bei einigen Ausführungsformen weist eine Maskendatenvorbereitung 1232 optische Nahbereichskorrektur (Optical Proximity Correction - OPC) auf, die Lithographie-Verstärkungstechniken verwendet, um Bildfehler auszugleichen, wie diejenigen, die sich aus Beugung, Interferenz, anderen Prozesseffekten und dergleichen ergeben können. OPC passt das IC-Designlayout 1222 an. Bei einigen Ausführungsformen weist die Maskendatenvorbereitung 1232 weitere Auflösungsverstärkungstechniken (Resolution Enhancement Techniques- RET) wie Off-Axis-Beleuchtung, Subauflösungs-Unterstützungsmerkmale, Phasenverschiebung Masken, andere geeignete Techniken und dergleichen oder ihre Kombinationen auf. Bei einigen Ausführungsformen wird auch umgekehrte Lithographietechnologie (Inverse Lithography Technology - ILT) verwendet, die OPC wie ein umgekehrtes Bildgebungsproblem behandelt.
  • Bei einigen Ausführungsformen weist die Maskendatenvorbereitung 1232 einen Maskenregelprüfer (Mask Rule Checker- MRC) auf, der das IC-Designlayout, das Prozessen in OPC unterzogen wurde, mit einem Satz von Maskenanlegungsregeln abstimmt, die bestimmte geometrische und/oder Konnektivitätseinschränkungen enthalten, um ausreichend Margen sicherzustellen, um die Variabilität bei Halbleiter-Herstellungsprozessen und dergleichen zu berücksichtigen. Bei einigen Ausführungsformen modifiziert der MRC das IC-Designlayout, um Einschränkungen während der Maskenfertigung 1244 zu kompensieren, die einen Teil der Änderungen, die der OPC ausgeführt hat, rückgängig machen können, um Maskenanlegungsregeln zur erfüllen.
  • Bei einigen Ausführungsformen weist die Maskendatenvorbereitung 1232 Lithographie-Prozessprüfung (Lithography Process Checking - LPC) auf, die das Verarbeiten simuliert, das von dem IC-Fab 1250 ausgeführt wird, um die IC-Vorrichtung 1260 herzustellen. Die LPC simuliert diese Verarbeitung basierend auf dem IC-Designlayout 1222, um eine simulierte hergestellte Vorrichtung, wie die IC-Vorrichtung 1260 anzulegen. Die Verarbeitungsparameter bei der LPC-Simulation können Parameter aufweisen, die mit diversen Prozessen des IC-Herstellungszyklus zusammenhängen, Parameter, die mit Tools, die für die Herstellung der IC verwendet werden, und/oder anderen Aspekten des Herstellungsprozesses zusammenhängen. Die LPC berücksichtigt diverse Faktoren, wie zum Beispiel Luftbildkontrast, Tiefenschärfe (Depth of Focus - „DOF“), Maskenfehlerverstärkungsfaktor (Mask Error Enhancement Factor („MEEF“) oder andere geeignete Faktoren und dergleichen oder ihre Kombinationen. Wenn bei einigen Ausführungsformen nach dem Anlegen einer simulierten hergestellten Vorrichtung durch LPC die simulierte Vorrichtung der Form nicht nahe genug ist, um die Designregeln zu erfüllen, können OPC und/oder MRC wiederholt werden, um das IC-Designlayout 1222 weiter zu verfeinern.
  • Es ist klar, dass die oben stehende Beschreibung der Maskendatenvorbereitung 1232 im Sinne der Klarheit vereinfacht wurde. Bei einigen Ausführungsformen weist die Datenvorbereitung 1232 zusätzliche Merkmale wie eine Logic Operation (LOP) auf, um das IC-Designlayout gemäß Herstellungsregeln zu modifizieren. Zusätzlich können die Prozesse, die auf das IC-Designlayout 1222 während der Datenvorbereitung 1232 angewandt werden, in einer Vielfalt unterschiedlicher Reihenfolgen ausgeführt werden.
  • Nach der Maskendatenvorbereitung 1232 und während der Maskenfertigung 1244, werden eine Maske oder eine Gruppe von Masken basierend auf dem modifizierten IC-Designlayout gefertigt. Bei einigen Ausführungsformen wird ein Elektronenstrahl („e-beam“) oder ein Mechanismus mit mehreren e-beams verwendet, um eine Struktur auf einer Maske (Fotomaske oder Retikel) basierend auf dem modifizierten IC-Designlayout zu bilden. Die Maske kann in diversen Technologien ausgeführt werden. Bei einigen Ausführungsformen wird die Maske unter Verwenden binärer Technologie gebildet. Bei einigen Ausführungsformen weist eine Maskenstruktur opake Bereiche und durchsichtige Bereiche auf. Ein Strahlungsstrahl, wie ein Ultraviolettstrahl (UV), der verwendet wird, um die bildsensitive Materialschicht (zum Beispiel einen Fotolack), der auf einem Wafer abgeschieden wurde, zu exponieren, wird von dem opaken Bereich blockiert und überträgt durch die durchsichtigen Bereiche. Bei einem Beispiel weist eine binäre Maske ein durchsichtiges Substrat (zum Beispiel geschmolzenen Quarz) und ein opakes Material (zum Beispiel Chrom), die in dem opaken Bereich in der Maske aufgebracht sind, auf. Bei einem anderen Beispiel wird die Maske unter Verwenden einer Phasenverschiebungstechnologie gebildet. Bei der Phasenverschiebungsmaske (Phase Shift Mask- PSM) werden diverse Merkmale in den Strukturen, die auf der Maske gebildet werden, konfiguriert, um einen zweckdienlichen Phasenunterschied zu haben, um die Auflösung und die Bildgebungsqualität zu verstärken. Bei diversen Beispielen kann die Phasenverschiebungsmaske eine gedämpfte PSM oder abwechselnde PSM sein. Die Maske(n), die von der Maskenfertigung 1244 gefertigt wird/werden, wird/werden in einer Vielfalt von Prozessen verwendet. Solche Maske(n) wird/werden zum Beispiel bei einem Ionenimplantationsprozess zum Bilden diverser dotierter Bereiche in dem Halbleiterwafer, bei einem Ätzprozess zum Bilden diverser Arbeitsbereiche in dem Halbleiterwafer und/oder bei anderen geeigneten Prozessen verwendet.
  • Der IC-Fab 1250 ist ein IC-Fertigungsunternehmen, das ein oder mehrere Herstellungswerke für die Fertigung einer Vielfalt unterschiedlicher IC-Produkte aufweist. Bei einigen Ausführungsformen ist der IC-Fab 1250 eine Halbleiter-Foundry. Es kann zum Beispiel eine Herstellungsanlage für die Frontend-Fertigung einer Vielzahl von IC-Produkten (FrontEnd-Of-Line (FEOL)-Fertigung) geben, während eine zweite Herstellungsanlage die Back End Fertigung für die Zusammenschaltung und Verpackung von IC-Produkten (Back-End-Of-Line (BEOL)-Fertigung) bereitstellen kann, und eine dritte Herstellungsanlage andere Dienstleistungen für das Foundry-Business bereitstellen kann.
  • Der IC-Fab 1250 verwendet die Maske (oder Masken), die von dem Maskenhaus 1230 gefertigt werden, um die IC-Vorrichtung 1260 zu fertigen. Der IC-Fab 1250 verwendet daher indirekt das IC-Designlayout 1222 zum Herstellen der IC-Vorrichtung 1260. Bei einigen Ausführungsformen werden Halbleiterwafer 1252 von dem IC-Fab 1250 unter Verwenden der Maske (oder Masken) zum Herstellen der IC-Vorrichtung 1260 gefertigt. Der Halbleiterwafer 125 weist ein Siliziumsubstrat oder ein anderes zweckdienliches Substrat, auf dem Materialschichten gebildet sind, auf. Der Halbleiterwafer weist ferner einen oder mehrere diverser dotierter Bereiche, dielektrische Merkmale, Multiniveau-Zusammenschaltungen und dergleichen (die bei aufeinanderfolgenden Herstellungsschritten gebildet werden) auf.
  • Einzelheiten in Zusammenhang mit einem Herstellungssystem integrierter Schaltungen (IC) (zum Beispiel das System 1200 der 12) und ein IC-Herstellungsablauf, der dazu gehört, findet man zum Beispiel in U.S.-Patent Nr. 9 256 709 , erteilt am 9. Februar 2016, U.S.-Vorerteilungs-Veröffentlichung Nr. 201502128429 , veröffentlicht am 1. Oktober 2015, U.S. Vorerteilungs-Veröffentlichung Nr. 20140040838 , veröffentlicht am 6. Februar 2014, und U.S.-Patent Nr. 7 260 442 , erteilt am 21. August 2012, die hier durch Verweis vollständig aufgenommen werden.
  • Die 13A und 13B sind Layoutskizzen eines Abschnitts einer verlagerten Säule einer IC-Struktur in Übereinstimmung mit mindestens einer Ausführungsform der vorliegenden Offenbarung.
  • In 13A weist eine leitfähige Leitungsstruktur in einer IC ein erstes Leitungssegment 1302, ein zweites Leitungssegment 1308 auf, die in Bezug auf ein Raster eingerichtet sind. Das Raster weist Bahnen 1a bis 7a auf, die zu einer ersten Richtung parallel sind, und Bahnen 1b bis 17b, die zu einer zweiten Richtung parallel sind, wobei die zweite Richtung im Wesentlichen zu der ersten Richtung senkrecht ist. In 13A ist die erste Richtung vertikal, und die zweite Richtung ist horizontal. Bei einigen Ausführungsformen sind die erste und die zweite Richtung andere Richtungen als die entsprechende vertikale und horizontale Richtung.
  • Das erste 1302 und das zweite 1304 Leitungssegment: sind leitfähig, überlappen einander nicht und haben lange Achsen, die im Wesentlichen zu einer ersten Richtung parallel sind. Das dritte 1306 und das vierte 1308 Leitungssegment: sind leitfähig; überlappen einander nicht; haben lange Achsen, die im Wesentlichen zu einer zweiten Richtung parallel sind, wobei die zweite Richtung zu der ersten Richtung orthogonal ist, und überlappen das entsprechende erste 1302 und zweite 1304 Leitungssegment. Das zweite 1304 und vierte 1308 Leitungssegment sind in die zweite Richtung in Bezug auf das entsprechende erste 1302 und dritte 1306 Leitungssegment versetzt. Das erste 1302 und das zweite 1304 Leitungssegment sind zur Bahn 4a koaxial. Das dritte 1306 und das vierte 1308 Leitungssegment sind zu entsprechenden Bahnen 4b und 14b koaxial. Bei einigen Ausführungsformen sind die Leitungssegmente 1302 bis 1308 kurze Säulen.
  • In 13A sind das erste Leitungssegment 1302 und das zweite Leitungssegment 1304 im Wesentlichen entlang der Bahn 4a ausgerichtet. Wie durch das X-Symbol auf Bahn 4a angegeben, ist die vertikale Trennung zwischen dem ersten Leitungssegment 1302 und dem zweiten Leitungssegment 1304 kleiner als ein von der Designregel zugelassenes Mindestoffset, MINcoax, zwischen vertikal benachbarten Co-Bahnen /koaxialen Leitungssegmenten, so dass 13A der Designregeln nicht entspricht. Bei einigen Ausführungsformen besteht ein Zweck der Designregel darin, eine vertikale Trennung sicherzustellen, die ausreichend breit ist, um ein leitfähiges Segment mit Mindestlänge zwischen dem ersten Leitungssegment 1302 und dem zweiten Leitungssegment 1304 unterzubringen.
  • Wie in 13B gezeigt, wird zum Erhöhen der vertikalen Trennung zwischen vertikal benachbarten Co-Bahn/koaxialen Leitungssegmenten das zweite Leitungssegment 304 um eine Verlagerungsmenge Δ in die erste Richtung in Bezug auf das erste Leitungssegment 1302 derart verlagert, dass das zweite Leitungssegment 1304 mit der Bahn 5a ausgerichtet ist. In 13B beträgt die Verlagerungsmenge Δ eine Bahnbreite. Bei einigen Ausführungsformen ist die Verlagerungsmenge Δ ein Vielfaches einer Bahnbreite. Bei einigen Ausführungsformen ist das Offset des Mengen-Delta gleich einem Offset zwischen benachbarten Bahnen 1a bis 7a. Die resultierende vertikale Trennung entlang der Bahn 5a ist gleich oder größer als das von der Designregel zugelassene Mindestoffset MINCOAX zwischen vertikal benachbarten Co-Bahn/koaxialen Leitungssegmenten, so dass 13B mit der Designregel übereinstimmt. In 13B, ist das zweite Leitungssegment 1304 nach rechts verlagert. Bei einigen Ausführungsformen wird das zweite Leitungssegment 1304 nach links verlagert.
  • Bei einigen Ausführungsformen weist die leitfähige Leitungsstruktur der 13A ein fünftes Leitungssegment (nicht abgebildet) und ein sechstes Leitungssegment (nicht abgebildet) auf, die in Bezug auf das Raster derart eingerichtet sind, dass das fünfte Leitungssegment auch entlang der Bahn 4a ausgerichtet ist, das sechste Leitungssegment im Wesentlichen entlang einer Bahn 24b (nicht gezeigt) ausgerichtet ist; das fünfte und das sechste Leitungssegment ähnlich wie das erste 1302 und das zweite 1304 Leitungssegment mit dem entsprechenden dritten 1306 und vierten 1308 Leitungssegment überlappen; die vertikale Trennung zwischen dem zweiten Leitungssegment 1304 und dem fünften Leitungssegment ist kleiner als das von der Designregel zugelassene Mindestoffset MINCOAX zwischen vertikal benachbarten Co-Bahn/koaxialen Leitungssegment. Bei einigen Ausführungsformen werden folglich das fünfte und das sechste Leitungssegment ähnlich verlagert wie das zweite Leitungssegment 1304 und das vierte Leitungssegment 1308 in 9B verlagert sind, so dass das fünfte Leitungssegment um die Verlagerungsmenge Δ in die erste Richtung in Bezug auf das zweite Leitungssegment 1304 derart verlagert wird, dass das fünfte Leitungssegment (wieder nicht gezeigt) mit der Bahn 6a ausgerichtet wird. Bei einigen Ausführungsformen werden anders als wie das zweite Leitungssegment 1304 und das vierte Leitungssegment 1308 in 9B verlagert sind, das fünfte und das sechste Leitungssegment nicht in Bezug auf das erste Leitungssegment 1302 verlagert, weil das Verlagern des zweiten Leitungssegments 1304 und des vierten Leitungssegments 1308 in Bezug auf das erste Leitungssegment 1302 nicht nur angemessene vertikale Trennung (zwischen vertikal benachbarten Co-Bahn/koaxialen Leitungssegmenten) entlang der Bahn 5a verwirklicht, sondern das auch für die Bahn 4a verwirklicht (wobei Letztere zwischen dem ersten Leitungssegment 1302 und dem fünften Leitungssegment liegt).
  • Ein Vorteil der 13B besteht daher darin, dass ein Layout, das solche Säulenverlagerung aufweist, einen verringerten Verbrauch an Verlegungsressourcen hat, so dass das Layout mit der leitfähigen Leitungsstruktur dichter ist. Bei einigen Ausführungsformen wird der Abschnitt der Bahn 4a unter dem ersten Leitungssegment 1302 (der ansonsten von dem zweiten Leitungssegment 1304 in 13A belegt wird) zur Signalführung verwendet. Bei einigen Ausführungsformen ist ein Layout, das eine solche leitungsfähige Leitungsstruktur enthält, um zwei bis mehrere Instanzen der ersten Referenzleitung in Bezug auf einen Strom-Pitch dichter. Bei einigen Ausführungsformen ist der Strom-Pitch ein Abstand zwischen einem Leitungssegment, das einen Spannungspegel mit einer ersten Referenzspannung hat, und einem Leitungssegment, das einen Spannungspegel mit einer zweiten Referenzspannung hat. Bei einigen Ausführungsformen ist die erste Referenzspannung VDD und die zweite Referenzspannung ist VSS.
  • Die 14A und 14B sind Layoutskizzen eines Abschnitts von zwei verlagerten Säulen einer IC-Struktur in Übereinstimmung mit mindestens einer Ausführungsform der vorliegenden Offenbarung.
  • Die 14A-14B sind Variationen der 13A-13B, so dass die 14A-14B ferner ein fünftes Leitungssegment 1410 und ein sechstes Leitungssegment 1412 aufweisen, die: leitfähig sind; einander nicht überlappen; lange Achsen haben, die im Wesentlichen zu der ersten Richtung parallel sind, und von dem entsprechenden dritten und vierten Leitungssegment überlappt werden. Das fünfte und das sechste Leitungssegment sind in die zweite Richtung in Bezug auf das entsprechende erste und zweite dritte Leitungssegment versetzt. Das sechste Leitungssegment ist um eine Menge Delta, Δ, in die erste Richtung in Bezug auf das fünfte Leitungssegment versetzt. Bei einigen Ausführungsformen, bei welchen die Leitungssegmente 1302 bis 1308 kurze Säulen sind, sind die Leitungssegmente 1410 und 1412 auch kurze Säulen.
  • Die leitfähige Leitungsstruktur und das Verfahren zum Erzeugen eines Layouts einer solchen leitfähigen Leitungsstruktur ergeben sich in dem Kontext eines Prozessknotens für eine gegebene Halbleitertechnologie. Bei einigen Ausführungsformen ist der Versatz der Menge Delta gleich einem Poly-Abstand des Prozessknotens.
  • Bei einigen Ausführungsformen sind das erste und das zweite Leitungssegment lange Säulen. Bei einigen Ausführungsformen sind das dritte und das vierte Leitungssegment lange Säulen. Bei einigen Ausführungsformen sind das erste bis vierte Leitungssegment lange Säulen. Bei einigen Ausführungsformen haben die Leitungssegmente, die lange Säulen sind, eine Länge LL, die kleiner oder gleich einer vorbestimmten Länge LLIMIT ist, wobei LL ≤ LLIMIT. Bei einigen Ausführungsformen ist LLIMIT im Wesentlichen gleich, obschon ohne größer zu sein als die Blechlänge, LBlech, wobei LLIMIT ≈ LBlech und LLIMIT LBlech. Zu bemerken ist, dass LBlech eine Länge eines Leiters darstellt, unter der im Wesentlichen keine Elektromigration auftritt. Bei einigen Ausführungsformen ist LLIMIT eine andere Länge als die Blechlänge, LBlech.
  • Bei einigen Ausführungsformen sind das erste und das zweite Leitungssegment kurze Säulen. Bei einigen Ausführungsformen sind das dritte und das vierte Leitungssegment kurze Säulen. Bei einigen Ausführungsformen sind das erste bis vierte Leitungssegment kurze Säulen. Bei einigen Ausführungsformen haben die Leitungssegmente, die kurze Säulen sind, eine Länge LS, die kleiner ist als die vorbestimmte Länge LLIMIT ist, wobei LS < LLIMIT.
  • 15 ist ein High-Level-Prozessablauf eines Verfahrens 1500 zum Verlagern mindestens einer Säule einer IC-Struktur in einem Layout in Übereinstimmung mit mindestens einer Ausführungsform der vorliegenden Offenbarung.
  • In 15, weist das Ablaufdiagramm des Verfahrens 1500 Blöcke 1502 bis 1518 auf. Mindestens einer der Blöcke 1502 bis 1516 wird von einem Prozessor eines Computers ausgeführt. Ein Beispiel für den Prozessor ist ein Prozessor 1102 der 11 (oben besprochen). Ein Beispiel des Computers ist ein Designautomatisierungs- (Electronic Design Automation - EDA)-System 1100 der 11 (oben besprochen). Bei einigen Ausführungsformen wird jeder der Blöcke von dem Prozessor des Computers ausgeführt. Bei einigen Ausführungsformen wird das Layout, das von dem Verfahren 1500 erzeugt wird, auf einem nichtflüchtigen computerlesbaren Medium gespeichert. Ein Beispiel des nichtflüchtigen computerlesbaren Mediums ist das Layout 1107, das in dem Speicher 1104 gespeichert ist, der 11 (oben besprochen).
  • Bei Block 1502, wird eine IR-Abfallanalyse für leitfähige Segmente in einer Mn-Schicht ausgeführt. Unter der Annahme, dass die leitfähigen Segmente in der Mn-Schicht die IR-Abfallanalyse des Blocks 1502 erfüllen, geht der Prozessablauf dann zu Block 1504 weiter, bei dem bestimmt wird, ob ein Elektromigrationsproblem in einem oder mehreren Streifen in der Mn-Schicht besteht. Falls das Resultat des Blocks 1504 negativ ist, geht der Prozessablauf weiter zu Block 1506, in dem ein Streifen in das Layout verwendet wird, was in dem Layout resultiert. Bei einigen Ausführungsformen ist ein Streifen eine leitfähige Leitung, die länger ist als eine lange Säule (wobei eine Länge einer langen Säule mit der Blechlänge, LBlech, die oben besprochen wurde, zusammenhängt). Von 1506 geht der Prozessablauf dann zu Block 1522 weiter, wo basierend auf dem Layout, mindestens eine von (A) einer oder mehrerer Halbleitermasken gefertigt (siehe 12, oben besprochen), oder (B) mindestens ein Bauteil in einer Schicht einer unvollständigen integrierten Halbleiterschaltung gefertigt wird (siehe wieder 12, oben besprochen).
  • Falls das Resultat von Block 1504 positiv ist, das heißt, dass in dem Layout ein Elektromigrationsproblem bestimmt wird, geht der Prozessablauf zu Block 1508 weiter, bei dem kurze Säulen probiert werden. Die Ausführung des Blocks 1508 veranlasst das Einfügen kurzer Säulen in das Layout als Ersatz für entsprechende(n) Streifen, die das Elektromigrationsproblem haben. Ein Beispiel der kurzen Säulen sind die kurzen Säulen 1302 und 1304 der 13A. Der Prozessablauf geht dann weiter zu Block 1510, in dem bestimmt wird, ob unzureichende vertikale Trennung besteht, in die ein Metallsegment mit Mindestlänge zwischen zwei gegebene Säulen der IC-Struktur passt. Falls das Resultat von Block 1510 negativ ist, geht der Prozessablauf zu Block 1512 weiter, in dem eine Säule ohne eine Verlagerung in Position/Bahnausrichtung verwendet wird, was in einem Layout derart resultiert, dass die zwei gegebenen Säulen Co-Bahn-ausgerichtet bleiben. Von Block 1512 geht der Prozessablauf dann weiter zu Block 1518 (oben besprochen).
  • Falls das Resultat von Block 1510 positiv ist, geht der Prozessablauf zu Block 1514 weiter, in dem die Säulenposition um eine Bahn in eine Richtung senkrecht zu der Richtung, in die der unzureichende Raum bestimmt wurde, verlagert wird. Der Prozessablauf geht dann weiter zu Block 1516, in dem die verlagerte Säule verwendet wird, was in dem Layout resultiert. Von Block 1516 geht der Prozessablauf dann weiter zu Block 1518 (oben besprochen).
  • Ein Aspekt der vorliegenden Offenbarung betrifft eine IC-Struktur, die eine Stromschiene umfasst, die auf einem Stromschienenniveau positioniert und in eine Stromschienenrichtung ausgerichtet ist, und eine Vielzahl erster Metallsegmente, die auf einem ersten Metallniveau über dem Stromschienenniveau positioniert sind, wobei die Vielzahl erster Metallsegmente in eine erste Metallniveaurichtung senkrecht zu der Stromschienenrichtung ausgerichtet ist. Die IC-Struktur umfasst ferner eine Vielzahl von Durchkontaktierungen zwischen dem Stromschienenniveau und dem ersten Metallniveau, wobei jede erste Durchkontaktierung der Vielzahl erster Durchkontaktierungen an einer Stelle positioniert ist, an welcher ein entsprechendes erstes Metallsegment der Vielzahl erster Metallsegmente die Stromschiene überlappt. Die IC-Struktur umfasst ferner ein zweites Metallsegment, das auf einem zweiten Metallniveau über dem ersten Metallniveau positioniert ist, wobei das zweite Metallsegment die Stromschiene überlappt und in die Stromschienenrichtung ausgerichtet ist. Die IC-Struktur umfasst ferner eine Vielzahl zweiter Durchkontaktierungen zwischen dem ersten Metallniveau und dem zweiten Metallniveau, wobei jede zweite Durchkontaktierung der Vielzahl zweiter Durchkontaktierungen an einer Stelle über einer entsprechenden ersten Durchkontaktierung der Vielzahl erster Durchkontaktierungen positioniert ist, und ein Strom-Strap auf einem Strom-Strap-Niveau über dem zweiten Metallniveau positioniert ist. Die IC-Struktur ist konfiguriert, um den Strom-Strap mit der Stromschiene elektrisch zu verbinden, wobei jedes erste Metallsegment der Vielzahl erster Metallsegmente eine Breite hat, die einer vorbestimmten Mindestbreite für das erste Metallniveau entspricht, und der Strom-Strap eine Breite hat, die größer ist als eine vorbestimmte Mindestbreite für das Strom-Strap-Niveau.
  • Was den Aspekt der vorliegenden Offenbarung betrifft, ist der Strom-Strap ein Strom-Strap einer Vielzahl von Strom-Straps, die in die erste Metallniveaurichtung ausgerichtet ist, und die IC-Struktur weist ferner Folgendes auf: eine Vielzahl dritter Metallsegmente auf einem dritten Metallniveau über dem zweiten Metallniveau, wobei die Vielzahl dritter Metallsegmente in die erste Metallniveaurichtung ausgerichtet ist; eine Vielzahl dritter Durchkontaktierungen zwischen dem zweiten Metallniveau und dem dritten Metallniveau, wobei jede dritte Durchkontaktierung der Vielzahl dritter Durchkontaktierungen an einer Stelle positioniert ist, an welcher ein drittes Metallsegment der Vielzahl dritter Metallsegmente die Stromschiene überlappt; eine Vielzahl vierter Metallsegmente auf einem vierten Metallniveau über dem dritten Metallniveau und unter dem Strom-Strap-Niveau, wobei die Vielzahl vierter Metallsegmente in die Stromschienenrichtung ausgerichtet ist; eine Vielzahl vierter Durchkontaktierungen zwischen der dritten Metallschicht und der vierten Metallschicht, wobei jede vierte Durchkontaktierung der Vielzahl vierter Durchkontaktierungen an einer Stelle über einer entsprechenden dritten Durchkontaktierung der Vielzahl dritter Durchkontaktierungen positioniert ist, und eine Vielzahl fünfter Durchkontaktierungen zwischen der vierten Metallschicht und dem Strom-Strap-Niveau, wobei jede fünfte Durchkontaktierung der Vielzahl fünfter Durchkontaktierungen an einer Stelle positioniert ist, an welcher ein Strom-Strap der Vielzahl von Strom-Strap ein entsprechendes viertes Metallsegment der Vielzahl vierter Metallsegmente überlappt. Jedes dritte Metallsegment der Vielzahl dritter Metallsegmente hat eine Breite, die einer vorbestimmten Mindestbreite für das dritte Metallniveau entspricht. Jedes vierte Metallsegment der Vielzahl vierter Metallsegmente hat eine Breite, die einer vorbestimmten Mindestbreite für das vierte Metallniveau entspricht.
  • Was den Aspekt der vorliegenden Offenbarung betrifft, weist die Vielzahl erster Metallsegmente Folgendes auf: einen ersten Satz von Paaren erster Metallsegmente abwechselnd mit einem zweiten Satz von Paaren erster Metallsegmente. Die Vielzahl erster Durchkontaktierungen verbindet den ersten Satz erster Paare erster Metallsegmente mit der Stromschiene und ist frei von elektrischem Verbinden des zweiten Satzes von Paaren erster Metallsegmente mit der Stromschiene. Das zweite Metallsegment ist ein zweites Metallsegment einer Vielzahl zweiter Metallsegmente. Jedes zweite Metallsegment der Vielzahl zweiter Metallsegmente überlappt die Stellen, an welchen ein entsprechendes Paar des ersten Satzes von Paaren erster Metallsegmente die Stromschiene überlappt, und überlappt die Stellen nicht, an welchen der zweite Satz von Paaren erster Metallsegmente die Stromschiene überlappt. Jedes zweite Metallsegment der Vielzahl zweiter Metallsegmente hat eine Breite, die einer vorbestimmten Mindestbreite für das zweite Metallniveau entspricht. Was auch den ersten Aspekt der vorliegenden Offenbarung betrifft, hat jedes Paar erster Metallsegmente des ersten Satzes von Paaren erster Metallsegmente und des zweiten Satzes von Paaren erster Metallsegmente einen ersten Abstand. Die Paare erster Metallsegmente des ersten Satzes von Paaren erster Metallsegmente und die Paare erster Metallsegmente des zweiten Satzes von Paaren erster Metallsegmente haben einen zweiten Abstand. Der zweite Abstand ist ein Vielfaches des ersten Abstands.
  • Was den Aspekt der vorliegenden Offenbarung betrifft, sind die ersten Durchkontaktierungen der Vielzahl erster Durchkontaktierungen an jeder Stelle positioniert, an welcher entsprechende erste Metallsegmente der Vielzahl erster Metallsegmente die Stromschiene überlappen. Die IC-Struktur umfasst ferner: eine erste Vielzahl dritter Metallsegmente, die auf einem dritten Metallniveau über dem zweiten Metallniveau positioniert sind, wobei die Vielzahl dritter Metallsegmente in die erste Metallniveaurichtung ausgerichtet ist und einen ersten Satz dritter Metallsegmente abwechselnd mit einem zweiten Satz dritter Metallsegmente aufweist, und eine Vielzahl dritter Durchkontaktierungen, wobei jede dritte Durchkontaktierung der Vielzahl dritter durch Durchkontaktierungen an einer Stelle positioniert ist, an welcher ein entsprechendes drittes Metallsegment des ersten Satzes dritter Metallsegmente die Stromschiene überlappt. Jedes dritte Metallsegment der Vielzahl dritter Metallsegmente hat eine Breite, die einer vorbestimmten Mindestbreite für das dritte Metallniveau entspricht. Was auch den ersten Aspekt der vorliegenden Offenbarung betrifft, ist das zweite Metallsegment ein zweites Metallsegment einer Vielzahl zweiter Metallsegmente. Jedes zweite Metallsegment der Vielzahl zweiter Metallsegmente verbindet elektrisch eine entsprechende zweite Durchkontaktierung der Vielzahl zweiter Durchkontaktierungen mit einer dritten Durchkontaktierung der Vielzahl dritter Durchkontaktierungen. Jedes zweite Metallsegment der Vielzahl zweiter Metallsegmente hat eine Breite, die einer vorbestimmten Mindestbreite für das zweite Metallniveau entspricht. Ferner, was den ersten Aspekt der vorliegenden Offenbarung betrifft, verbindet das zweite Metallsegment elektrisch die Vielzahl zweiter Durchkontaktierungen mit der Vielzahl dritter Durchkontaktierungen. Das zweite Metallsegment hat eine Breite, die größer ist als eine vorbestimmte Mindestbreite für das zweite Metallniveau.
  • Ein anderer Aspekt der vorliegenden Offenbarung betrifft eine IC-Struktur, die eine erste Stromschiene umfasst, die auf einem Stromschienenniveau positioniert und in eine Stromschienenrichtung ausgerichtet ist, eine zweite Stromschiene, die auf dem Stromschienenniveau positioniert und in die Stromschienenrichtung ausgerichtet ist, und ein erstes Metallsegment, das auf einem Metallsegmentniveau über der ersten Stromschiene positioniert ist, wobei das erste Metallsegment die erste Stromschiene überlappt und in die Stromschienenrichtung ausgerichtet ist. Die IC-Struktur umfasst ferner ein zweites Metallsegment, das auf dem Metallsegmentniveau positioniert ist, wobei das zweite Metallsegment die zweite Stromschiene überlappt und in die Stromschienenrichtung ausgerichtet ist, eine Vielzahl von Metall-Straps, die auf einem Metall-Strap-Niveau über dem Stromschienenniveau positioniert ist, wobei jeder Metall-Strap der Vielzahl von Metall-Straps die erste Stromschiene und die zweite Stromschiene überlappt und in eine Metall-Strap-Richtung senkrecht zu der Stromschienenrichtung ausgerichtet ist, und eine Vielzahl von Metallstummeln, die auf einem Metallstummelniveau über dem Stromschienenniveau positioniert ist, wobei jeder Metallstummel der Vielzahl von Metallstummeln entweder die erste Stromschiene oder die zweite Stromschiene überlappt und in die Metall-Strap-Richtung ausgerichtet ist. Ein erster Strom-Strap ist auf einem Strom-Strap-Niveau über dem Metallsegmentniveau, dem Metall-Strap-Niveau und dem Metallstummelniveau positioniert, und ein zweiter Strom-Strap ist auf dem Strom-Strap-Niveau positioniert. Die IC-Struktur umfasst ferner eine Vielzahl von Durchkontaktierungsanordnungen, wobei die Anzahl von Durchkontaktierungsanordnungen eine weniger beträgt als eine Gesamtanzahl von Metallniveaus, wobei jede Durchkontaktierungsanordnung Durchkontaktierungen umfasst, die an Stellen positioniert sind, an welchen Metallelemente entsprechender benachbarter Metallniveaus überlappen. Die IC-Struktur ist konfiguriert, um den ersten Strom-Strap mit der ersten Stromschiene elektrisch zu verbinden und separat den zweiten Strom-Strap mit der zweiten Stromschiene elektrisch zu verbinden, wobei jeder Metall-Strap der Vielzahl von Metall-Straps eine Breite hat, die einer vorbestimmten Mindestbreite für das Metall-Strap-Niveau entspricht, wobei jeder Metallstummel der Vielzahl von Metallstummeln eine Breite hat, die einer vorbestimmten Mindestbreite für das Metallstummelniveau entspricht, und der erste Strom-Strap und der zweite Strom-Strap eine Breite haben, die größer ist als eine vorbestimmte Mindestbreite für das Strom-Strap-Niveau.
  • Was einen anderen Aspekt der vorliegenden Offenbarung betrifft, ist der erste Strom-Strap ein erster Strom-Strap einer Vielzahl von Strom-Straps, die in die erste Metallniveaurichtung ausgerichtet sind. Der zweite Strom-Strap ist ein zweiter Strom-Strap einer Vielzahl zweiter Strom-Straps, die in die erste Metallniveaurichtung ausgerichtet sind. Die IC-Struktur umfasst ferner: eine Vielzahl von Metallinseln auf einem Metallinselniveau über dem Metallsegmentniveau, dem Metall-Strap-Niveau und dem Metallstummelniveau und unter dem Strom-Strap-Niveau, wobei die Vielzahl von Metallinseln in die Stromschienenrichtung ausgerichtet ist. Jedes Metallsegment der Vielzahl von Metallinseln hat eine Breite, die einer vorbestimmten Mindestbreite für das Metallinselniveau entspricht.
  • Was einen anderen Aspekt der vorliegenden Offenbarung betrifft, ist das Metallsegmentniveau ein Niveau über dem Metall-Strap-Niveau, und das Metallstummelniveau ist ein Niveau über dem Metallsegmentniveau. Die Vielzahl von Metall-Straps weist Folgendes auf: einen ersten Satz von Paaren erster Metall-Straps abwechselnd mit einem zweiten Satz aus ersten Paaren von Metall-Straps. Das erste Metallsegment ist ein erstes Metallsegment einer Vielzahl erster Metallsegmente. Jedes erste Metallsegment der Vielzahl erster Metallsegmente überlappt die Stellen, an welchen ein entsprechendes Paar des ersten Satzes von Paaren von Metall-Straps die erste Stromschiene überlappt. Das zweite Metallsegment ist ein zweites Metallsegment einer Vielzahl zweiter Metallsegmente. Jedes zweite Metallsegment der Vielzahl zweiter Metallsegmente überlappt die Stellen, an welchen ein entsprechendes Paar des zweiten Satzes von Paaren von Metall-Straps die zweite Stromschiene überlappt. Jedes erste Metallsegment der Vielzahl erster Metallsegmente und jedes zweite Metallsegment der Vielzahl zweiter Metallsegmente hat eine Breite, die einer vorbestimmten Mindestbreite für das Metallsegmentniveau entspricht. Was auch den zweiten Aspekt der vorliegenden Offenbarung betrifft, hat jedes Paar von Metall-Straps des ersten Satzes von Paaren von Metall-Straps und des zweiten Satzes von Paaren von Metall-Straps einen ersten Abstand. Die Paare von Metall-Straps des ersten Satzes von Paaren von Metall-Straps und der Paare von Metall-Straps des zweiten Satzes von Paaren von Metall-Straps haben einen zweiten Abstand. Der zweite Abstand ist ein Vielfaches des ersten Abstands.
  • Was einen anderen Aspekt der vorliegenden Offenbarung betrifft, ist das Metallstummelniveau ein Niveau über dem Stromschienenniveau, das Metallsegmentniveau ist ein Niveau über dem Metallstummelniveau, und das Metall-Strap-Niveau ist ein Niveau über dem Metallsegmentniveau. Das erste Metallsegment ist ein erstes Metallsegment einer Vielzahl erster Metallsegmente, die die erste Stromschiene überlappen. Das zweite Metallsegment ist ein zweites Metallsegment einer Vielzahl zweiter Metallsegmente, die die zweite Stromschiene überlappen. Jedes erste Metallsegment der Vielzahl erster Metallsegmente und jedes zweite Metallsegment der Vielzahl zweiter Metallsegmente hat eine Breite, die einer vorbestimmten Mindestbreite für das Metallsegmentniveau entspricht. Die Vielzahl von Metall-Straps weist Folgendes auf: einen ersten Satz von Metall-Straps abwechselnd mit einem zweiten Satz von Metall-Straps. Jeder Metall-Strap des ersten Satzes von Metall-Straps überlappt ein entsprechendes erstes Metallsegment der Vielzahl erster Metallsegmente. Jeder Metall-Strap des zweiten Satzes von Metall-Straps überlappt ein entsprechendes zweites Metallsegment der Vielzahl zweiter Metallsegmente.
  • Was den anderen Aspekt der vorliegenden Offenbarung betrifft, ist das Metallsegmentniveau ein Niveau über dem Metallstummelniveau, und das Metall-Strap-Niveau ist ein Niveau über dem Metallsegmentniveau. Die Vielzahl von Metall-Straps weist Folgendes auf: einen ersten Satz von Metall-Straps abwechselnd mit einem zweiten Satz von Metall-Straps. Jeder Metall-Strap des ersten Satzes von Metall-Straps ist mit dem ersten Metallsegment elektrisch verbunden. Jeder Metall-Strap des zweiten Satzes von Metall-Straps ist mit dem zweiten Metallsegment elektrisch verbunden. Das erste Metallsegment und das zweite Metallsegment überlappen mehrere Metallstummel der Vielzahl von Metallstummeln und haben eine Breite, die größer ist als eine vorbestimmte Mindestbreite des Metallsegmentniveaus. Was auch den zweiten Aspekt der vorliegenden Offenbarung betrifft, entspricht jede der Stellen, an welchen das erste Metallsegment oder das zweite Metallsegment die Metallstummel der Vielzahl von Metallstummeln überlappen, einer Stelle, an welcher ein Metall-Strap der Vielzahl von Metall-Straps das erste Metallsegment oder das zweite Metallsegment überlappt. Was ferner den zweiten Aspekt der vorliegenden Offenbarung betrifft, entsprechen die Stellen, an welchen die Metall-Straps der Vielzahl von Metall-Strap das erste Metallsegment oder das zweite Metallsegment überlappen, eine Untermenge der Stellen, an welchen das erste Metallsegment oder das zweite Metallsegment die Metallstummel der Vielzahl von Metallstummeln überlappt.
  • Ein weiterer Aspekt der vorliegenden Offenbarung betrifft ein Verfahren zum Herstellen einer IC-Struktur, das das Bilden einer ersten Stromschiene und einer zweiten Stromschiene auf einem Stromschienenniveau umfasst, wobei die erste Stromschiene und die zweite Stromschiene in eine Stromschienenrichtung ausgerichtet sind und eine Vielzahl erster Metallsegmente auf einem ersten Metallniveau über dem Stromschienenniveau bilden, wobei die Vielzahl erster Metallsegmente in eine erste Metallniveaurichtung senkrecht zu der Stromschienenrichtung ausgerichtet ist, wobei jedes erste Metallsegment der Vielzahl erster Metallsegmente eine oder beide der ersten Stromschiene und der zweiten Stromschiene überlappt. Das Verfahren umfasst ferner das Bilden einer Vielzahl erster Durchkontaktierungen zwischen dem Stromschienenniveau und dem ersten Metallniveau, wobei jede erste Durchkontaktierung der Vielzahl erster Durchkontaktierungen an einer Stelle positioniert ist, an welcher ein entsprechendes erstes Metallsegment der Vielzahl erster Metallsegmente die erste Stromschiene oder die zweite Stromschiene überlappt und eine Vielzahl zweiter Metallsegmente auf einem zweiten Metallniveau über dem ersten Metallniveau bildet, wobei jedes zweite Metallsegment der Vielzahl zweiter Metallsegmente in die Stromschienenrichtung ausgerichtet ist, mindestens ein zweites Metallsegment der Vielzahl zweiter Metallsegmente die erste Stromschiene überlappt, und mindestens ein zweites Metallsegment der Vielzahl zweiter Metallsegmente die zweite Stromschiene überlappt. Das Verfahren umfasst ferner das Bilden einer Vielzahl zweiter Durchkontaktierungen zwischen dem ersten Metallniveau und dem zweiten Metallniveau, wobei jede zweite Durchkontaktierung der Vielzahl zweiter Durchkontaktierungen an einer Stelle über einer entsprechenden ersten Durchkontaktierung der Vielzahl erster Durchkontaktierungen positioniert ist, das Bilden eines ersten Strom-Straps auf einem Strom-Strap-Niveau über dem zweiten Metallniveau und das Bilden eines zweiten Strom-Straps auf dem Strom-Strap-Niveau. Das Bilden der IC-Struktur umfasst das Konfigurieren der IC-Struktur, um den ersten Strom-Strap mit der ersten Stromschiene elektrisch zu verbinden, und den zweiten Strom-Strap mit der zweiten Stromschiene elektrisch zu verbinden, das Bilden der Vielzahl erster Metallsegmente umfasst das Bilden jedes ersten Metallsegments der Vielzahl erster Metallsegmente, die eine Breite haben, die einer vorbestimmten Mindestbreite für das erste Metallniveau entspricht, das Bilden des ersten Strom-Straps umfasst das Bilden des ersten Strom-Straps, der eine Breite hat, die größer ist als eine vorbestimmte Mindestbreite für das Strom-Strap-Niveau, und das Bilden des zweiten Strom-Straps umfasst das Bilden des zweiten Strom-Straps, der eine Breite hat, die größer ist als die vorbestimmte Mindestbreite für das Strom-Strap-Niveau.
  • Was den weiteren Aspekt der vorliegenden Offenbarung betrifft, weist das Bilden der Vielzahl erster Metallsegmente Folgendes auf: Bilden eines ersten Satzes von Paaren erster Metallsegmente abwechselnd mit einem zweiten Satz von Paaren erster Metallsegmente. Jedes Paar erster Metallsegmente des ersten Satzes von Paaren erster Metallsegmente und des zweiten Satzes von Paaren erster Metallsegmente hat einen ersten Abstand. Die Paare erster Metallsegmente des ersten Satzes von Paaren erster Metallsegmente und die Paare erster Metallsegmente des zweiten Satzes von Paaren erster Metallsegmente haben einen zweiten Abstand. Der zweite Abstand ist ein Vielfaches des ersten Abstands. Das Bilden der Vielzahl zweiter Metallsegmente weist Folgendes auf: Bilden eines ersten Satzes zweiter Metallsegmente, der den ersten Satz von Paaren erster Metallsegmente und die erste Stromschiene überlappt; Bilden eines zweiten Satzes zweiter Metallsegmente, der den zweiten Satz von Paaren erster Metallsegmente und die zweite Stromschiene überlappt, und Bilden jedes zweiten Metallsegments der Vielzahl zweiter Metallsegmente, die eine Breite haben, die einer vorbestimmten Mindestbreite für das zweite Niveau entspricht.
  • Was den weiteren Aspekt der vorliegenden Offenbarung betrifft, weist das Bilden der Vielzahl zweiter Metallsegmente Folgendes auf: Bilden eines ersten Satzes zweiter Metallsegmente, die entsprechende erste Metallsegmente der Vielzahl erster Metallsegmente und die erste Stromschiene überlappen; Bilden eines zweiten Satzes zweiter Metallsegmente, die entsprechende erste Metallsegmente der Vielzahl erster Metallsegmente und die zweite Stromschiene überlappen, und Bilden jedes zweiten Metallsegments der Vielzahl zweiter Metallsegmente, die eine Breite haben, die einer vorbestimmten Mindestbreite für das zweite Niveau entspricht. Das Bilden der IC-Struktur weist ferner Folgendes auf: Bilden einer Vielzahl dritter Metallsegmente auf einem dritten Metallniveau über dem zweiten Metallniveau, wobei die Vielzahl dritter Metallsegmente in die erste Metallniveaurichtung ausgerichtet ist und einen ersten Satz dritter Metallsegmente abwechselnd mit einem zweiten Satz dritter Metallsegmente aufweist. Das Bilden des ersten Satzes dritter Metallsegmente weist Folgendes auf: das Bilden dritter Metallsegmente, die entsprechende zweite Metallsegmente des ersten Satzes zweiter Metallsegmente überlappen. Das Bilden des zweiten Satzes dritter Metallsegmente weist Folgendes auf: das Bilden dritter Metallsegmente, die entsprechende zweite Metallsegmente des zweiten Satzes zweiter Metallsegmente überlappen. Das Bilden der Vielzahl dritter Metallsegmente weist Folgendes auf: das Bilden jedes dritten Metallsegments der Vielzahl dritter Metallsegmente mit einer Breite, die einer vorbestimmten Mindestbreite für das dritte Metallniveau entspricht.
  • Was den weiteren Aspekt der vorliegenden Offenbarung betrifft, weist das Bilden erster Durchkontaktierungen Folgendes auf: Bilden einer Durchkontaktierung an jeder Stelle, an welcher die ersten Metallsegmente der Vielzahl erster Metallsegmente die erste Stromschiene überlappen und an jeder Stelle, an welcher die ersten Metallsegmente der Vielzahl erster Metallsegmente die zweite Stromschiene überlappen. Das Bilden der Vielzahl zweiter Metallsegmente weist Folgendes auf: das Bilden jedes zweiten Metallsegments, das mehrere erste Metallsegmente der Vielzahl erster Metallsegmente überlappt und eine Breite hat, die größer ist als eine vorbestimmte Mindestbreite für das zweite Metallsegmentniveau. Das Bilden der IC-Struktur weist ferner Folgendes auf: das Bilden einer Vielzahl dritter Metallsegmente auf einem dritten Metallniveau unmittelbar über dem zweiten Metallniveau, wobei die Vielzahl dritter Metallsegmente in die erste Metallniveaurichtung ausgerichtet ist und einen ersten Satz dritter Metallsegmente abwechselnd mit einem zweiten Satz dritter Metallsegmente aufweist. Das Bilden der Vielzahl dritter Metallsegmente weist Folgendes auf: das Bilden jedes dritten Metallsegments der Vielzahl dritter Metallsegmente, die die erste Stromschiene und die zweite Stromschiene überlappen und eine Breite haben, die einer vorbestimmten Mindestbreite für das dritte Metallniveau entspricht, und das Bilden einer Vielzahl dritter Durchkontaktierungen, wobei die Vielzahl dritter Durchkontaktierungen den ersten Satz dritter Metallelemente mit mindestens einem zweiten Metallsegment der Vielzahl zweiter Metallsegmente, die die erste Stromschiene überlappen, elektrisch verbindet, und elektrisches Verbinden des zweiten Satzes dritter Metallsegmente mit mindestens einem zweiten Metallsegment der Vielzahl zweiter Metallsegmente, die die zweite Stromschiene überlappen.
  • Noch ein anderer Aspekt der vorliegenden Offenbarung betrifft eine leitfähige Leitungsstruktur in einer integrierten Schaltung (IC), wobei die leitfähige Leitungsstruktur Folgendes umfasst: erste und entsprechende zweite Sätze langer Säulen; einen dritten Satz kurzer Säulen und erste Durchkontaktierungen. Mitglieder des ersten und des zweiten Satzes: überlappen einander nicht; haben lange Achsen, die im Wesentlichen koaxial und im Wesentlichen zu einer ersten Richtung parallel sind. Der zweite Satz ist in eine zweite Richtung in Bezug auf den ersten Satz versetzt, wobei die zweite Richtung zu der ersten Richtung orthogonal ist. Mitglieder des dritten Satzes kurzer Säulen: überlappen einander nicht; haben lange Achsen, die im Wesentlichen zu der zweiten Richtung parallel sind; überlappen entsprechende lange Säulen in dem ersten und dem zweiten Satz und sind in Gruppen geordnet. Jede Gruppe hat eine erste Menge kurzer Säulen in dem dritten Satz. Die ersten Durchkontaktierungen verbinden elektrisch die kurzen Säulen in dem dritten Satz mit entsprechenden der langen Säulen in dem ersten und zweiten Satz. Jede Gruppe des dritten Satzes überlappt und ist elektrisch verbunden zwischen einem entsprechenden Paar einer der langen Säulen in dem ersten Satz und einer entsprechenden der langen Säulen in dem zweiten Satz, so dass in jeder Gruppe jede kurze Säule das entsprechende Paar überlappt und mit ihm elektrisch verbunden ist. Jede lange Säule in dem ersten und dem zweiten Satz wird von einer zweiten Menge entsprechender kurzer Säulen in dem dritten Satz überlappt und ist elektrisch mit ihnen verbunden. Die erste Menge ist kleiner als die zweite Menge.
  • Was noch einen anderen Aspekt der vorliegenden Offenbarung betrifft, sind Mitglieder des dritten Satzes in die erste Richtung ausgerichtet. Die leitfähige Leitungsstruktur umfasst ferner: vierte und entsprechende fünfte Sätze langer Säulen; einen sechsten Satz kurzer Säulen und zweite Durchkontaktierungen. Mitglieder des vierten und des fünften Satzes: überlappen einander nicht und haben lange Achsen, die im Wesentlichen koaxial und im Wesentlichen zu der ersten Richtung parallel sind. Der fünfte Satz ist in die zweite Richtung in Bezug auf den vierten Satz versetzt. Mitglieder des sechsten Satzes kurzer Säulen: überlappen einander nicht; haben lange Achsen, die im Wesentlichen zu der zweiten Richtung parallel sind; überlappen entsprechende lange Säulen in dem vierten und dem fünften Satz, und sind in Gruppen geordnet, wobei jede Gruppe die erste Menge kurzer Säulen in dem sechsten Satz hat. Die zweiten Durchkontaktierungen verbinden elektrisch die kurzen Säulen in dem sechsten Satz mit entsprechenden der langen Säulen in dem vierten und fünften Satz. Jede lange Säule in dem vierten und dem fünften Satz wird von der zweiten Menge entsprechender kurzer Säulen in dem sechsten Satz überlappt und ist elektrisch mit ihnen verbunden. Jede kurze Säule in jeder Gruppe des sechsten Satzes überlappt eine entsprechende der langen Säulen in dem vierten Satz, und eine entsprechende der langen Säulen in dem fünften Satz und ist elektrisch mit ihnen verbunden. Der vierte Satz ist in die zweite Richtung um einen ersten Abstand in Bezug auf den ersten Satz versetzt. Der fünfte Satz ist in die zweite Richtung um den ersten Abstand in Bezug auf den zweiten Satz versetzt. Der sechste Satz ist in die erste Richtung um einen zweiten Abstand in Bezug auf den dritten Satz versetzt.
  • Was noch einen anderen Aspekt der vorliegenden Offenbarung betrifft, ist der zweite Satz in die zweite Richtung um einen dritten Abstand in Bezug auf den ersten Satz versetzt. Der fünfte Satz ist in die zweite Richtung um den dritten Abstand in Bezug auf den vierten Satz versetzt. Der dritte Abstand ist kleiner als der erste Abstand. Mitglieder des ersten und des zweiten Satzes befinden sich in einer Metallisierungsschicht M(i) der IC, wobei eine nicht negative Ganzzahl ist. Die Mitglieder des dritten Satzes befinden sich in einer Metallisierungsschicht M(i+1) der IC.
  • Noch ein weiterer Aspekt der vorliegenden Offenbarung betrifft eine leitfähige Leitungsstruktur in einer integrierten Schaltung (IC), wobei die leitfähige Leitungsstruktur Folgendes umfasst: Leitungen; lange Säulen und Durchkontaktierungen. Was die Leitungen betrifft, sind sie leitfähig; überlappen sie einander nicht und haben lange Achsen, die im Wesentlichen zu einer ersten Richtung parallel sind, und sind in eine zweite Richtung in Bezug zueinander versetzt, wobei die zweite Richtung senkrecht zu der ersten Richtung ist. Die langen Säulen sind in Sätze geordnet, wobei Mitglieder jedes Satzes: einander nicht überlappen; lange Achsen haben, die im Wesentlichen koaxial und im Wesentlichen zu der zweiten Richtung parallel sind; in die zweite Richtung in Bezug zueinander versetzt sind und entsprechende der Leitungen überlappen. Die langen Säulen sind auch in Gruppen geordnet, wobei die Gruppen: voneinander in die erste Richtung versetzt sind und jeweils eine selbe Menge langer Säulen haben. Für jede Gruppe sind Gruppenmitglieder langer Säulen: nicht überlappend und sind in die erste Richtung in Bezug zueinander versetzt und ausgerichtet. Die Durchkontaktierungen verbinden die langen Säulen mit entsprechenden der Leitungen. Für einen Referenzabschnitt jeder der Leitungen ist eine erste Anzahl langer Säulen, die den Referenzabschnitt überlappt größer als eine zweite Anzahl langer Säulen, die mit dem Referenzabschnitt elektrisch verbunden ist.
  • Was den noch weiteren Aspekt der vorliegenden Offenbarung betrifft, sind Mitglieder jedes Satzes in die erste Richtung ausgerichtet. Die Sätze sind in die zweite Richtung voneinander versetzt. Die Leitungen sind in Bündel geordnet, wobei jedes Bündel J Cluster aufweist, wobei jedes Cluster K Leitungen aufweist, wobei J und K positive Ganzzahlen sind. Benachbarte Cluster sind voneinander in die zweite Richtung um einen ersten Abstand versetzt. Benachbarte Leitungen in jedem der Cluster sind voneinander in die zweite Richtung um einen zweiten Abstand versetzt, wobei der zweite Abstand kleiner ist als der erste Abstand. Für jeden der Sätze langer Säulen, überlappt jedes der langen Säulenmitglieder J-1 Cluster. Für jeden der Sätze ist jedes seiner langen Säulenmitglieder mit jedem der Mitglieder von J-2 Clustern elektrisch verbunden.
  • Was den noch weiteren Aspekt der vorliegenden Offenbarung betrifft, sind die Leitungen in dem ersten Satz in erste und zweite Leitungscluster geordnet. Benachbarte Mitglieder des ersten Clusters sind voneinander in die zweite Richtung um einen ersten Abstand versetzt. Benachbarte Mitglieder des zweiten Clusters sind voneinander in die zweite Richtung um den ersten Abstand versetzt. Mitglieder des zweiten Clusters sind in die zweite Richtung um einen zweiten Abstand in Bezug auf entsprechende Mitglieder des ersten Clusters versetzt. Der zweite Abstand ist kleiner als der erste Abstand. Mitglieder des ersten Satzes befinden sich in einer Metallisierungsschicht M(i) der IC, wobei i eine nicht negative Ganzzahl ist, und Mitglieder der F Sätze befinden sich in einer Metallisierungsschicht M(i+1) der IC. Die Gesamtanzahl der Gruppen beträgt F, wobei F eine positive Ganzzahl ist, und wobei: die erste Anzahl langer Säulen F beträgt, und die zweite Anzahl langer Säulen F-1 beträgt.
  • Ein zusätzlicher Aspekt der vorliegenden Offenbarung betrifft ein Verfahren zum Erzeugen eines Layouts einer leitfähigen Leitungsstruktur für eine integrierte Schaltung (IC), wobei das Layout auf einem nichtflüchtigen computerlesbaren Medium gespeichert wird. Ein solches Verfahren weist Folgendes auf: Erzeugen eines ersten und entsprechenden zweiten Satzes langer Säulenstrukturen; Erzeugen eines dritten Satzes kurzer Säulenstrukturen und Erzeugen erster Durchkontaktierungsstrukturen. Das Erzeugen erster und entsprechender zweiter Sätze langer Säulenstrukturen weist das Einrichten von Mitgliedern des ersten und des zweiten Satzes derart auf, dass sie: einander nicht überlappen; lange Achsen haben, die im Wesentlichen koaxial und im Wesentlichen zu einer ersten Richtung parallel sind, und dass der zweite Satz in eine zweite Richtung in Bezug auf den ersten Satz versetzt ist, wobei die zweite Richtung zu der ersten Richtung orthogonal ist. Das Erzeugen eines dritten Satzes kurzer Säulenstrukturen weist das Einrichten von Mitgliedern des dritten Satzes derart auf, dass: sie einander nicht überlappen; lange Achsen haben, die im Wesentlichen zu der zweiten Richtung parallel sind; entsprechende lange Säulenstrukturen in dem ersten und dem zweiten Satz überlappen und in Gruppen geordnet sind, wobei jede Gruppe eine erste Menge kurzer Säulenstrukturen hat. Das Erzeugen erster Säulenstrukturen weist das Einrichten der ersten Durchkontaktierungsstrukturen derart auf, dass die kurzen Säulenstrukturen in dem dritten Satz mit entsprechenden der langen Säulenstrukturen in dem ersten und zweiten Satz elektrisch verbunden werden. Das Erzeugen eines dritten Satzes weist ferner Folgendes auf: Einrichten der Mitglieder des dritten Satzes derart, dass jede kurze Säulenstruktur in jeder Gruppe des dritten Satzes eine entsprechende der langen Säulenstrukturen in dem ersten Satz und eine entsprechende der langen Säulenstrukturen in dem zweiten Satz überlappt und mit ihnen elektrisch verbunden ist; Einrichten von Mitgliedern des dritten Satzes derart, dass jede lange Säulenstruktur in dem ersten und zweiten Satz von einer zweiten großen Menge entsprechender kurzer Säulenstrukturen überlappt wird und mit ihnen elektrisch verbunden ist, und Einstellen der ersten Menge auf weniger als die zweite Menge. Mindestens das Erzeugen des ersten und entsprechenden zweiten Satzes und/oder das Erzeugen eines dritten Satzes und/oder das Erzeugen erster Durchkontaktierungsstrukturen wird von einem Prozessor eines Computers ausgeführt.
  • Was den zusätzlichen Aspekt der vorliegenden Offenbarung betrifft, weist das Verfahren ferner Folgendes auf: basierend auf dem Layout, Fertigen mindestens eines (A) einer oder mehrerer Halbleitermasken oder (B) mindestens eines Bauteils in einer Schicht einer unvollständigen integrierten Halbleiterschaltung. Das Erzeugen eines dritten Satzes kurzer Säulenstrukturen weist ferner Folgendes auf: Einrichten von Mitgliedern des dritten Satzes, so dass sie in die erste Richtung ausgerichtet sind.
  • Was den zusätzlichen Aspekt der vorliegenden Offenbarung betrifft, weist das Verfahren ferner Folgendes auf: Erzeugen vierter und entsprechender fünfter Sätze langer Säulenstrukturen; Erzeugen eines sechsten Satzes kurzer Säulenstrukturen und Erzeugen vierter Durchkontaktierungsstrukturen. Das Erzeugen vierter und entsprechender fünfter Sätze langer Säulenstrukturen weist das Einrichten von Mitgliedern des vierten und des fünften Satzes derart auf, dass sie: einander nicht überlappen; lange Achsen haben, die im Wesentlichen koaxial und im Wesentlichen zu einer vierten Richtung parallel sind, und dass der fünfte Satz in eine fünfte Richtung in Bezug auf den vierten Satz versetzt ist, wobei die fünfte Richtung zu der vierten Richtung orthogonal ist. Das Erzeugen eines sechsten Satzes kurzer Säulenstrukturen weist das Einrichten von Mitgliedern des sechsten Satzes derart auf, dass: sie einander nicht überlappen; lange Achsen haben, die im Wesentlichen zu der fünften Richtung parallel sind; entsprechende lange Säulenstrukturen in dem vierten und dem fünften Satz überlappen und in Gruppen geordnet sind, wobei jede Gruppe die erste Menge der kurzen Säulenstrukturen in dem sechsten Satz hat. Das Erzeugen vierter Durchkontaktierungsstrukturen weist das Einrichten der vierten Durchkontaktierungsstrukturen derart auf, dass: die kurzen Säulenstrukturen in dem sechsten Satz mit entsprechenden der langen Säulenstrukturen in dem vierten und fünften Satz elektrisch verbunden werden. Das Erzeugen eines sechsten Satzes weist ferner Folgendes auf: Einrichten von Mitgliedern des sechsten Satzes derart, dass jede lange Säulenstruktur in dem vierten und fünften Satz von der zweiten Menge entsprechender kurzer Säulenstrukturen in dem sechsten Satz überlappt wird und mit ihnen elektrisch verbunden ist, und Einrichten der Mitglieder des sechsten Satzes derart, dass jede kurze Säulenstruktur in jeder Gruppe des sechsten Satzes eine entsprechende der langen Säulenstrukturen in dem vierten Satz und eine entsprechende der langen Säulenstrukturen in dem fünften Satz überlappt und mit diesen elektrisch verbunden ist. Das Erzeugen vierter und entsprechender fünfter Sätze weist Folgendes auf: Versetzen des vierten Satzes in die zweite Richtung um einen ersten Abstand in Bezug auf den ersten Satz; Versetzen des fünften Satzes in die zweite Richtung um den ersten Abstand in Bezug auf den zweiten Satz. Das Erzeugen eines sechsten Satzes weist ferner noch Folgendes auf: Versetzen des sechsten Satzes in die erste Richtung um einen zweiten Abstand in Bezug auf den dritten Satz.
  • Was den zusätzlichen Aspekt der vorliegenden Offenbarung betrifft, weist das Erzeugen des ersten und entsprechenden zweiten Satzes Folgendes auf: Versetzen des zweiten Satzes in die zweite Richtung um einen dritten Abstand in Bezug auf den ersten Satz und Einstellen des dritten Abstands auf weniger als den ersten Abstand. Das Erzeugen vierter und entsprechender fünfter Sätze weist Folgendes auf: Versetzen des fünften Satzes in die zweite Richtung um den dritten Abstand in Bezug auf den vierten Satz. Das Erzeugen des vierten und entsprechenden fünften Satzes weist Folgendes auf: das Lokalisieren von Mitgliedern des ersten und zweiten Satzes in einer Metallisierungsschicht M(i) der IC, wobei i eine nicht negative Ganzzahl ist, und das Erzeugen eines dritten Satzes kurzer Säulenstrukturen weist ferner Folgendes auf: Lokalisieren der Mitglieder des dritten Satzes in einer Metallisierungsschicht M(i+1) der IC.
  • Noch ein weiterer Aspekt der vorliegenden Offenbarung betrifft eine leitfähige Leitungsstruktur in einer integrierten Schaltung (IC). Die leitfähige Leitungsstruktur weist erste und zweite Leitungssegmente auf, die: leitfähig sind, einander nicht überlappen und lange Achsen haben, die im Wesentlichen zu einer ersten Richtung parallel sind. Die leitfähige Leitungsstruktur weist auch dritte und vierte Leitungssegmente auf, die: leitfähig sind; einander nicht überlappen; lange Achsen haben, die im Wesentlichen zu einer zweiten Richtung parallel sind, wobei die zweite Richtung zu der ersten Richtung orthogonal ist, und das entsprechende erste und zweite Leitungssegment überlappen. Das zweite und vierte Leitungssegment sind in die zweite Richtung in Bezug auf das entsprechende erste und dritte Leitungssegment versetzt; das zweite Leitungssegment ist um eine Menge Delta, Δ, in die erste Richtung in Bezug auf das erste Leitungssegment versetzt, und Delta ist kleiner als ein zulässiges Mindestoffset zwischen benachbarten koaxialen Leitungssegmenten, MINCOAX, so dass Δ < MINCOAX.
  • Was noch einen anderen weiteren Aspekt der vorliegenden Offenbarung betrifft, ist das vierte Leitungssegment in die zweite Richtung in Bezug auf das dritte Leitungssegment versetzt. Das erste und das zweite Leitungssegment sind kurze Säulen. Das dritte und das vierte Leitungssegment sind kurze Säulen. In Bezug auf ein Raster, das Instanzen einer ersten Referenzleitung und Instanzen einer zweiten Referenzleitung, die zu der entsprechenden ersten und zweiten Richtung parallel sind, betrifft: sind das erste und das zweite Leitungssegment zu entsprechenden Instanzen der ersten Referenzleitung koaxial, und das dritte und das vierte Leitungssegment sind zu entsprechenden Instanzen der zweiten Referenzleitung koaxial, und das Offset der Menge Delta ist gleich einem Offset zwischen benachbarten Instanzen der ersten Referenzleitung. Die leitfähige Leitungsstruktur ergibt sich in dem Kontext eines Prozessknotens für eine gegebene Halbleitertechnologie; das Offset in der Menge Delta ist gleich einem Poly-Abstand des Prozessknotens. Die erste Richtung ist die vertikale Richtung, und die zweite Richtung ist die horizontale Richtung. Noch ein weiterer Aspekt der vorliegenden Offenbarung weist ferner fünfte und sechste Leitungssegmente auf, die: leitfähig sind; einander nicht überlappen; lange Achsen haben, die im Wesentlichen zu der ersten Richtung parallel sind, und von dem entsprechenden dritten und vierten Leitungssegment überlappt werden; wobei das fünfte und das sechste Leitungssegment in die zweite Richtung in Bezug auf das erste und das zweite dritte Leitungssegment versetzt sind; wobei das sechste Leitungssegment um die Menge Delta Δ, in die erste Richtung in Bezug auf das fünfte Leitungssegment versetzt ist. Die leitfähige Leitungsstruktur ergibt sich in dem Kontext eines Prozessknotens für eine gegebene Halbleitertechnologie, und das Offset in der Menge Delta ist gleich einem Poly-Abstand des Prozessknotens. Das fünfte und das sechste Leitungssegment sind um eine Menge von zwei Mal Delta, 2*Δ, in die erste Richtung in Bezug zu dem entsprechenden ersten und zweiten Leitungssegment versetzt.
  • Ein anderer Aspekt der vorliegenden Offenbarung betrifft ein Verfahren zum Erzeugen eines Layouts einer leitfähigen Leitungsstruktur für eine integrierte Schaltung (IC), wobei das Layout auf einem nichtflüchtigen computerlesbaren Medium gespeichert wird. Das Verfahren weist Folgendes auf: Erzeugen einer ersten und zweiten Leitungssegmentstruktur, die: leitfähig sind; einander nicht überlappen und lange Achsen haben, die im Wesentlichen zu einer ersten Richtung parallel sind; Erzeugen einer dritten und vierten Leitungssegmentstruktur, die: leitfähig sind; einander nicht überlappen; lange Achsen haben, die im Wesentlichen zu einer zweiten Richtung parallel sind, wobei die zweite Richtung zu der ersten Richtung orthogonal ist, und die die entsprechende erste und zweite Leitungssegmentstruktur überlappen; Versetzen der zweiten und vierten Leitungssegmentstruktur in die zweite Richtung in Bezug auf die entsprechende erste und dritte Leitungssegmentstruktur, und Versetzen der zweiten Leitungssegmentstruktur um eine Menge Delta, Δ, in die erste Richtung in Bezug auf die erste Leitungssegmentstruktur. Delta ist kleiner als ein zulässiges Mindestoffset zwischen benachbarten koaxialen Leitungssegmentstrukturen, MINCOAX, so dass Δ < MINCOAX.
  • Was den anderen Aspekt der vorliegenden Offenbarung betrifft, weist das Verfahren ferner Folgendes auf: basierend auf dem Layout, Fertigen mindestens eines (A) einer oder mehrerer Halbleitermasken oder (B) mindestens eines Bauteils in einer Schicht einer unvollständigen integrierten Halbleiterschaltung. Das Verfahren weist ferner das Versetzen der vierten Leitungssegmentstruktur in die zweite Richtung in Bezug auf die dritte Leitungssegmentstruktur auf. Die erste und die zweite Leitungssegmentstruktur sind kurze Säulen. Die dritte und die vierte Leitungssegmentstruktur sind kurze Säulen. In Bezug auf ein Raster, das Instanzen einer ersten Referenzleitung und Instanzen einer zweiten Referenzleitung, die zu der entsprechenden ersten und zweiten Richtung parallel sind, betrifft, weist das Verfahren ferner Folgendes auf: Einrichten der ersten und zweiten Leitungssegmentstruktur, um zu entsprechenden Instanzen der ersten Referenzleitung koaxial zu sein, und Einrichten der dritten und vierten Leitungssegmentstruktur, um zu entsprechenden Instanzen der zweiten Referenzleitung koaxial zu sein, und wobei das Offset der Menge Delta gleich einem Offset zwischen benachbarten Instanzen der ersten Referenzleitung ist. Die leitfähige Leitungsstruktur ergibt sich in dem Kontext eines Prozessknotens für eine gegebene Halbleitertechnologie, und das Offset in der Menge Delta ist gleich einem Poly-Abstand des Prozessknotens. Die erste Richtung ist die vertikale Richtung, und die zweite Richtung ist die horizontale Richtung. Fünfte und sechste Leitungssegmentstrukturen, die: leitfähig sind; einander nicht überlappen; lange Achsen haben, die im Wesentlichen zu der ersten Richtung parallel sind, und von der entsprechenden dritten und vierten Leitungssegmentstruktur überlappt werden; wobei die fünfte und die sechste Leitungssegmentstruktur in die zweite Richtung in Bezug auf die erste und die zweite dritte Leitungssegmentstruktur versetzt sind; wobei die sechste Leitungssegmentstruktur um die Menge Delta, Δ, in die erste Richtung in Bezug auf die fünfte Leitungssegmentstruktur versetzt ist. Die leitfähige Leitungsstruktur ergibt sich in dem Kontext eines Prozessknotens für eine gegebene Halbleitertechnologie, und das Offset der Menge Delta ist gleich einem Poly-Abstand des Prozessknotens. Die fünfte und die sechste Leitungssegmentstruktur sind um eine Menge von zweimal Delta, 2*Δ in die erste Richtung in Bezug auf die entsprechende erste und zweite Leitungssegmentstruktur versetzt.
  • Ein weiterer Aspekt der vorliegenden Offenbarung betrifft ein nichtflüchtiges, computerlesbares Medium, das computerausführbare Anweisungen zum Ausführen eines Verfahrens zum Erzeugen eines Layouts einer leitfähigen Leitungsstruktur für integrierte Schaltung (IC) umfasst, wobei das Layout auf einem nichtflüchtigen computerlesbaren Medium gespeichert wird. Das Verfahren weist Folgendes auf: Erzeugen einer ersten und zweiten Leitungssegmentstruktur, die: leitfähig sind; einander nicht überlappen und lange Achsen haben, die im Wesentlichen zu einer ersten Richtung parallel sind; Erzeugen einer dritten und vierten Leitungssegmentstruktur, die: leitfähig sind; einander nicht überlappen; lange Achsen haben, die im Wesentlichen zu einer zweiten Richtung parallel sind, wobei die zweite Richtung zu der ersten Richtung orthogonal ist, und die entsprechende erste und zweite Leitungssegmentstruktur überlappt; Versetzen der zweiten und vierten Leitungssegmentstruktur in die zweite Richtung in Bezug auf die entsprechende erste und dritte Leitungssegmentstruktur, und Versetzen der zweiten Leitungssegmentstruktur um eine Menge Delta, Δ, in die erste Richtung in Bezug auf die erste Leitungssegmentstruktur. Delta ist kleiner als ein zulässiges Mindestoffset zwischen benachbarten koaxialen Leitungssegmentstrukturen, MINCOAX, so dass Δ < MINCOAX.
  • Oben Stehendes umreißt die Merkmale mehrerer Ausführungsformen derart, dass der Fachmann die Aspekte der vorliegenden Offenbarung besser versteht. Der Fachmann sollte zu schätzen wissen, dass er die vorliegende Offenbarung ohne Weiteres als eine Grundlage zum Konzipieren oder Ändern anderer Prozesse und Strukturen zum Ausführen derselben Zwecke und/oder Erzielen derselben Vorteile der Ausführungsformen, die hier eingeführt werden, verwenden kann. Der Fachmann sollte auch erkennen, dass solche äquivalenten Konstruktionen nicht vom Geist und Schutzbereich der vorliegenden Offenbarung abweichen, und dass er diverse Änderungen, Ersetzungen und Abänderungen hier ohne Abweichen vom Geist und Schutzbereich der vorliegenden Offenbarung ausführen kann.
  • ZITATE ENTHALTEN IN DER BESCHREIBUNG
  • Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.
  • Zitierte Patentliteratur
    • US 9256709 [0222]
    • US 201502128429 [0222]
    • US 20140040838 [0222]
    • US 7260442 [0222]

Claims (20)

  1. Integrierte Schaltungs-(IC)-Struktur, umfassend: eine Stromschiene, die auf einem Stromschienenniveau positioniert und in eine Stromschienenrichtung ausgerichtet ist; eine Vielzahl erster Metallsegmente, die auf einem ersten Metallniveau über dem Stromschienenniveau positioniert sind, wobei die Vielzahl erster Metallsegmente in eine erste Metallniveaurichtung senkrecht zu der Stromschienenrichtung ausgerichtet ist; eine Vielzahl erster Durchkontaktierungen zwischen dem Stromschienenniveau und dem ersten Metallniveau, wobei jede erste Durchkontaktierung der Vielzahl erster Durchkontaktierungen an einer Stelle positioniert ist, an welcher ein entsprechendes erstes Metallsegment der Vielzahl erster Metallsegmente die Stromschiene überlappt; ein zweites Metallsegment, das auf einem zweiten Metallniveau über dem ersten Metallniveau positioniert ist, wobei das zweite Metallsegment die Stromschiene überlappt und in die Stromschienenrichtung ausgerichtet ist. eine Vielzahl zweiter Durchkontaktierungen zwischen dem ersten Metallniveau und dem zweiten Metallniveau, wobei jede zweite Durchkontaktierung der Vielzahl zweiter Durchkontaktierungen an einer Stelle über einer entsprechenden ersten Durchkontaktierung der Vielzahl erster Durchkontaktierungen positioniert ist, und einen Strom-Strap, der auf einem Strom-Strap-Niveau über dem zweiten Metallniveau positioniert ist, wobei: die IC-Struktur konfiguriert ist, um den Strom-Strap mit der Stromschiene elektrisch zu verbinden; jedes erste Metallsegment der Vielzahl erster Metallsegmente eine Breite hat, die einer vorbestimmten Mindestbreite für das erste Metallniveau entspricht, und jeder Strom-Strap eine Breite hat, die größer ist als eine vorbestimmte Mindestbreite für das Strom-Strap-Niveau.
  2. IC-Struktur nach Anspruch 1, wobei: der Strom-Strap ein Strom-Strap einer Vielzahl von Strom-Straps, die in die erste Metallniveaurichtung ausgerichtet sind, ist, die IC-Struktur ferner umfasst: eine Vielzahl dritter Metallsegmente auf einem dritten Metallniveau über dem zweiten Metallniveau, wobei die Vielzahl dritter Metallsegmente in die erste Metallniveaurichtung ausgerichtet ist; eine Vielzahl dritter Durchkontaktierungen zwischen dem zweiten Metallniveau und dem dritten Metallniveau, wobei jede dritte Durchkontaktierung der Vielzahl dritter Durchkontaktierungen an einer Stelle positioniert ist, an welcher ein drittes Metallsegment der Vielzahl dritter Metallsegmente die Stromschiene überlappt; eine Vielzahl vierter Metallsegmente auf einem vierten Metallniveau über dem dritten Metallniveau und unter dem Strom-Strap-Niveau, wobei die Vielzahl vierter Metallsegmente in die Stromschienenrichtung ausgerichtet ist; eine Vielzahl vierter Durchkontaktierungen zwischen dem dritten Metallniveau und dem vierten Metallniveau, wobei jede vierte Durchkontaktierung der Vielzahl vierter Durchkontaktierungen an einer Stelle über einer entsprechenden dritten Durchkontaktierung der Vielzahl dritter Durchkontaktierungen positioniert ist, und eine Vielzahl fünfter Durchkontaktierungen zwischen dem vierten Metallniveau und dem Strom-Strap-Niveau, wobei jede fünfte Durchkontaktierung der Vielzahl fünfter Durchkontaktierungen an einer Stelle positioniert ist, an welcher ein Strom-Strap der Vielzahl von Strom-Straps ein entsprechendes viertes Metallsegment der Vielzahl vierter Metallsegmente überlappt; wobei jedes dritte Metallsegment der Vielzahl dritter Metallsegmente eine Breite hat, die einer vorbestimmten Mindestbreite für das dritte Metallniveau entspricht, und jedes vierte Metallsegment der Vielzahl vierter Metallsegmente eine Breite hat, die einer vorbestimmten Mindestbreite des vierten Metallniveaus entspricht.
  3. IC-Struktur nach Anspruch 1 oder 2, wobei: die Vielzahl erster Metallsegmente Folgendes aufweist: einen ersten Satz von Paaren erster Metallsegmente abwechselnd mit einem zweiten Satz von Paaren erster Metallsegmente; wobei die Vielzahl erster Durchkontaktierungen den ersten Satz von Paaren erster Metallsegmente mit der Stromschiene elektrisch verbindet und den zweiten Satz von Paaren erster Metallsegmente nicht mit der Stromschiene verbindet; das zweite Metallsegment ein zweites Metallsegment einer Vielzahl zweiter Metallsegmente ist, und jedes zweite Metallsegment der Vielzahl zweiter Metallsegmente die Stellen überlappt, an welchen ein entsprechendes Paar des ersten Satzes von Paaren erster Metallsegmente die Stromschiene überlappt und die Stellen nicht überlappt, an welchen der zweite Satz von Paaren erster Metallsegmente die Stromschiene überlappt; jedes zweite Metallsegment der Vielzahl zweiter Metallsegmente eine Breite hat, die einer vorbestimmten Mindestbreite für das zweite Metallniveau entspricht.
  4. IC-Struktur nach Anspruch 3, wobei: jedes Paar erster Metallsegmente des ersten Satzes von Paaren erster Metallsegmente und des zweiten Satzes von Paaren erster Metallsegmente einen ersten Abstand hat; die Paare erster Metallsegmente des ersten Satzes von Paaren erster Metallsegmente und die Paare erster Metallsegmente des zweiten Satzes von Paaren erster Metallsegmente einen zweiten Abstand haben, und der zweite Abstand ein Vielfaches des ersten Abstands ist.
  5. IC-Struktur nach einem der vorhergehenden Ansprüche, wobei: die ersten Durchkontaktierungen der Vielzahl erster Durchkontaktierungen an jeder Stelle positioniert sind, an welcher entsprechende erste Metallsegmente der Vielzahl erster Metallsegmente die Stromschiene überlappen.
  6. IC-Struktur nach einem der vorhergehenden Ansprüche, ferner umfassend: eine Vielzahl dritter Metallsegmente auf einem dritten Metallniveau über dem zweiten Metallniveau, wobei die Vielzahl dritter Metallsegmente in die erste Metallniveaurichtung ausgerichtet ist und einen ersten Satz dritter Metallsegmente abwechselnd mit einem zweiten Satz dritter Metallsegmente aufweist, und eine Vielzahl dritter Durchkontaktierungen, wobei jede dritte Durchkontaktierung der Vielzahl dritter Durchkontaktierungen an einer Stelle positioniert ist, an welcher ein entsprechendes drittes Metallsegment des ersten Satzes dritter Metallsegmente die Stromschiene überlappt; wobei jedes dritte Metallsegment der Vielzahl dritter Metallsegmente eine Breite hat, die einer vorbestimmten Mindestbreite für das dritte Metallniveau entspricht.
  7. IC-Struktur nach einem der vorhergehenden Ansprüche, wobei: das zweite Metallsegment ein zweites Metallsegment einer Vielzahl zweiter Metallsegmente ist; jedes zweite Metallsegment der Vielzahl zweiter Metallsegmente eine entsprechende zweite Durchkontaktierung der Vielzahl zweiter Durchkontaktierungen mit einer dritten Durchkontaktierung der Vielzahl dritter Durchkontaktierungen elektrisch verbindet, und jedes zweite Metallsegment der Vielzahl zweiter Metallsegmente eine Breite hat, die einer vorbestimmten Mindestbreite für das zweite Metallniveau entspricht.
  8. IC-Struktur nach Anspruch 6, wobei: das zweite Metallsegment die Vielzahl zweiter Durchkontaktierungen mit der Vielzahl dritter Durchkontaktierungen elektrisch verbindet, und das zweite Metallsegment eine Breite hat, die größer ist als eine vorbestimmte Mindestbreite für das zweite Metallniveau.
  9. Integrierte Schaltungs-(IC)-Struktur, umfassend: eine erste Stromschiene, die auf einem Stromschienenniveau positioniert und in eine Stromschienenrichtung ausgerichtet ist; eine zweite Stromschiene, die auf einem Stromschienenniveau positioniert und in die Stromschienenrichtung ausgerichtet ist; ein erstes Metallsegment, das auf einem Metallsegmentniveau über dem Stromschienenniveau positioniert ist, wobei das erste Metallsegment die erste Stromschiene überlappt und in die Stromschienenrichtung ausgerichtet ist; ein zweites Metallsegment, das auf dem Metallsegmentniveau positioniert ist, wobei das zweite Metallsegment die zweite Stromschiene überlappt und in die Stromschienenrichtung ausgerichtet ist; eine Vielzahl von Metall-Straps, die auf einem Metall-Strap-Niveau über dem Stromschienenniveau positioniert ist, wobei jeder Metall-Strap der Vielzahl von Metall-Straps die erste Stromschiene und die zweite Stromschiene überlappt und in eine Metall-Strap-Richtung senkrecht zu der Stromschienenrichtung ausgerichtet ist; eine Vielzahl von Metallstummeln, die auf einem Metallstummelniveau über dem Stromschienenniveau positioniert ist, wobei jeder Metallstummel der Vielzahl von Metallstummeln die erste Stromschiene oder die zweite Stromschiene überlappt und in die Metall-Strap-Richtung ausgerichtet ist; einen ersten Metall-Strap, der auf einem Metall-Strap-Niveau über dem Metallsegmentniveau, dem Metall-Strap-Niveau und dem Metallstummelniveau positioniert ist; einen zweiten Strom-Strap, der auf dem Strom-Strap-Niveau positioniert ist, und eine Vielzahl von Durchkontaktierungsanordnungen, wobei die Anzahl von Durchkontaktierungsanordnungen eine weniger beträgt als eine Gesamtanzahl von Metallniveaus, wobei jede Durchkontaktierungsanordnung Durchkontaktierungen aufweist, die an Stellen positioniert sind, an welchen Metallelemente entsprechender benachbarter Metallniveaus überlappen; wobei: die IC-Struktur konfiguriert ist, um den ersten Strom-Strap mit der ersten Stromschiene elektrisch zu verbinden, und den zweiten Strom-Strap separat elektrisch mit der zweiten Stromschiene zu verbinden; jeder Metall-Strap der Vielzahl von Metall-Straps eine Breite hat, die einer vorbestimmten Mindestbreite für das Metall-Strap-Niveau entspricht; jeder Metallstummel der Vielzahl von Metallstummeln eine Breite hat, die einer vorbestimmten Mindestbreite für das Metallstummelniveau entspricht, und jeder des ersten Strom-Straps und des zweiten Strom-Straps eine Breite hat, die größer ist als eine vorbestimmte Mindestbreite für das Strom-Strap-Niveau.
  10. IC-Struktur nach Anspruch 9, wobei: der erste Strom-Strap ein erster Strom-Strap einer Vielzahl erster Strom-Straps, die in die erste Metallniveaurichtung ausgerichtet sind, ist; der zweite Strom-Strap ein zweiter Strom-Strap einer Vielzahl zweiter Strom-Straps ist, die in die erste Metallniveaurichtung ausgerichtet sind; und die IC-Struktur ferner umfasst: eine Vielzahl von Metallinseln auf einem Metallinselniveau über dem Metallsegmentniveau, dem Metall-Strap-Niveau und dem Metallstummelniveau und unter dem Strom-Strap-Niveau, wobei die Vielzahl von Metallinseln in die Stromschienenrichtung ausgerichtet ist; jedes Metallsegment der Vielzahl von Metallinseln eine Breite hat, die einer vorbestimmten Mindestbreite für das Metallinselniveau entspricht.
  11. IC-Struktur nach Anspruch 9 oder 10, wobei: das Metallsegmentniveau ein Niveau über dem Metall-Strap-Niveau ist, und das Metallstummelniveau ein Niveau über dem Metallsegmentniveau ist; die Vielzahl von Metall-Straps Folgendes aufweist: einen ersten Satz von Paaren erster Metall-Straps abwechselnd mit einem zweiten Satz erster Paare von Metall-Straps. das erste Metallsegment ein erstes Metallsegment einer Vielzahl erster Metallsegmente ist; jedes erste Metallsegment der Vielzahl erster Metallsegmente die Stellen überlappt, an welchen ein entsprechendes Paar des ersten Satzes von Paaren von Metall-Straps die erste Stromschiene überlappt; das zweite Metallsegment ein zweites Metallsegment einer Vielzahl zweiter Metallsegmente ist; jedes zweite Metallsegment der Vielzahl zweiter Metallsegmente die Stellen überlappt, an welchen ein entsprechendes Paar des zweiten Satzes von Paaren von Metall-Straps die zweite Stromschiene überlappt, und wobei jedes erste Metallsegment der Vielzahl erster Metallsegmente und jedes zweite Metallsegment der Vielzahl zweiter Metallsegmente eine Breite hat, die einer vorbestimmten Mindestbreite für das Metallsegmentniveau entspricht.
  12. IC-Struktur nach Anspruch 11, wobei: jedes Paar von Metall-Straps des ersten Satzes von Paaren von Metall-Straps und des zweiten Satzes von Paaren von Metall-Straps einen ersten Abstand hat; die Paare erster Metall-Straps des ersten Satzes von Paaren von Metall-Straps und die Paare von Metall-Straps des zweiten Satzes von Paaren von Metall-Straps einen zweiten Abstand haben, und der zweite Abstand ein Vielfaches des ersten Abstands ist.
  13. IC-Struktur nach einem der vorhergehenden Ansprüche 9 bis 12, wobei: das Metallstummelniveau ein Niveau über dem Stromschienenniveau ist, das Metallsegmentniveau ein Niveau über dem Metallstummelniveau ist, und das Metall-Strap-Niveau ein Niveau über dem Metallsegmentniveau ist; das erste Metallsegment ein erstes Metallsegment einer Vielzahl erster Metallsegmente, die die erste Stromschiene überlappen, ist; das zweite Metallsegment ein zweites Metallsegment einer Vielzahl zweiter Metallsegmente, die die zweite Stromschiene überlappen, ist; jedes erste Metallsegment der Vielzahl erster Metallsegmente und jedes zweite Metallsegment der Vielzahl zweiter Metallsegmente eine Breite hat, die einer vorbestimmten Mindestbreite für das Metallsegmentniveau entspricht, wobei die Vielzahl von Metall-Straps Folgendes aufweist: einen ersten Satz von Metall-Straps abwechselnd mit einem zweiten Satz von Metall-Straps, wobei jeder Metall-Strap des ersten Satzes von Metall-Straps ein entsprechendes erstes Metallsegment der Vielzahl erster Metallsegmente überlappt, und jeder Metall-Strap des zweiten Satzes von Metall-Straps ein entsprechendes zweites Metallsegment der Vielzahl zweiter Metallsegmente überlappt.
  14. IC-Struktur nach einem der vorhergehenden Ansprüche 9 bis 13, wobei: das Metallsegmentniveau ein Niveau über dem Metallstummelniveau ist, und das Metall-Strap-Niveau ein Niveau über dem Metallsegmentniveau ist; die Vielzahl von Metall-Straps Folgendes aufweist: einen ersten Satz von Metall-Straps abwechselnd mit einem zweiten Satz von Metall-Straps; wobei jeder Metall-Strap des ersten Satzes von Metall-Straps mit dem ersten Metallsegment elektrisch verbunden ist; jeder Metall-Strap des zweiten Satzes von Metall-Straps elektrisch mit dem zweiten Metallsegment verbunden ist, und das erste Metallsegment und das zweite Metallsegment mehrere Metallstummel der Vielzahl von Metallstummeln überlappen und eine Breite haben, die größer ist als eine vorbestimmte Mindestbreite für das Metallsegmentniveau.
  15. IC-Struktur nach Anspruch 14, wobei: jede der Stellen, an welchen das erste Metallsegment oder das zweite Metallsegment die Metallstummel der Vielzahl von Metallstummeln überlappen, einer Stelle, an welcher ein Metall-Strap der Vielzahl von Metall-Straps das erste Metallsegment oder das zweite Metallsegment überlappt, entspricht.
  16. IC-Struktur nach Anspruch 14 oder 15, wobei: die Stellen, an welchen die Metall-Straps der Vielzahl von Metall-Straps das erste Metallsegment oder das zweite Metallsegment überlappen, eine Untermenge der Stellen, an welchen das erste Metallsegment oder das zweite Metallsegment die Metallstummel der Vielzahl von Metallstummeln überlappt, entsprechen.
  17. Verfahren zum Herstellen einer integrierten Schaltungs-(IC)-Struktur, wobei das Verfahren Folgendes umfasst: Bilden einer ersten Stromschiene und einer zweiten Stromschiene auf einem Stromschienenniveau, wobei die erste Stromschiene und die zweite Stromschiene in eine Stromschienenrichtung ausgerichtet sind; Bilden einer Vielzahl erster Metallsegmente auf einem ersten Metallniveau über dem Stromschienenniveau, wobei die Vielzahl erster Metallsegmente in eine erste Metallniveaurichtung senkrecht zu der Stromschienenrichtung ausgerichtet ist, wobei das erste Metallsegment der Vielzahl erster Metallsegmente die erste Stromschiene und/oder die zweite Stromschiene überlappt; Bilden einer Vielzahl erster Durchkontaktierungen zwischen dem Stromschienenniveau und dem ersten Metallniveau, wobei jede erste Durchkontaktierung der Vielzahl erster Durchkontaktierungen an einer Stelle positioniert ist, an welcher ein entsprechendes erstes Metallsegment der Vielzahl erster Metallsegmente die erste Stromschiene oder die zweite Stromschiene überlappt; Bilden einer Vielzahl zweiter Metallsegmente auf einem zweiten Metallniveau über dem ersten Metallniveau, wobei jedes zweite Metallsegment der Vielzahl zweiter Metallsegmente in die Stromschienenrichtung ausgerichtet ist, wobei mindestens ein zweites Metallsegment der Vielzahl zweiter Metallsegmente die erste Stromschiene überlappt, und mindestens ein zweites Metallsegment der Vielzahl zweiter Metallsegmente die zweite Stromschiene überlappt; Bilden einer Vielzahl zweiter Durchkontaktierungen zwischen dem ersten Metallniveau und dem zweiten Metallniveau, wobei jede zweite Durchkontaktierung der Vielzahl zweiter Durchkontaktierungen an einer Stelle über einer entsprechenden ersten Durchkontaktierung der Vielzahl erster Durchkontaktierungen positioniert ist, und Bilden eines ersten Strom-Straps auf einem Strom-Strap-Niveau über dem zweiten Metallniveau; und Bilden eines zweiten Strom-Straps auf dem Strom-Strap-Niveau; wobei: das Bilden der IC-Struktur Folgendes aufweist: Konfigurieren der IC-Struktur, um den ersten Strom-Strap mit der ersten Stromschiene elektrisch zu verbinden, und den zweiten Strom-Strap separat mit der zweiten Stromschiene elektrisch zu verbinden; das Bilden der Vielzahl erster Metallsegmente Folgendes aufweist: Bilden jedes ersten Metallsegments der Vielzahl erster Metallsegmente mit einer Breite, die einer vorbestimmten Mindestbreite für das erste Metallniveau entspricht; das Bilden des ersten Strom-Straps Folgendes aufweist: das Bilden des ersten Strom-Straps, der eine Breite hat, die größer ist als eine vorbestimmte Mindestbreite für das Strom-Strap-Niveau, und das Bilden des zweiten Strom-Straps Folgendes aufweist: das Bilden des zweiten Strom-Straps, der eine Breite hat, die größer ist als eine vorbestimmte Mindestbreite für das Strom-Strap-Niveau.
  18. Verfahren nach Anspruch 17, wobei: das Bilden der Vielzahl erster Metallsegmente Folgendes aufweist: das Bilden eines ersten Satzes von Paaren erster Metallsegmente abwechselnd mit einem zweiten Satz von Paaren erster Metallsegmente; jedes Paar erster Metallsegmente des ersten Satzes von Paaren erster Metallsegmente und des zweiten Satzes von Paaren erster Metallsegmente einen ersten Abstand hat; die Paare erster Metallsegmente des ersten Satzes von Paaren erster Metallsegmente und die Paare erster Metallsegmente des zweiten Satzes von Paaren erster Metallsegmente einen zweiten Abstand haben, und der zweite Abstand ein Vielfaches des ersten Abstands ist, und das Bilden der Vielzahl zweiter Metallsegmente Folgendes aufweist: das Bilden eines ersten Satzes zweiter Metallsegmente, der den ersten Satz von Paaren erster Metallsegmente und die erste Stromschiene überlappt; das Bilden eines zweiten Satzes zweiter Metallsegmente, der den zweiten Satz von Paaren erster Metallsegmente und die zweite Stromschiene überlappt, und das Bilden jedes zweiten Metallsegments der Vielzahl zweiter Metallsegmente, das eine Breite hat, die einer vorbestimmten Mindestbreite für das zweite Metallniveau entspricht.
  19. Verfahren nach Anspruch 17 oder 18, wobei: das Bilden der Vielzahl zweiter Metallsegmente Folgendes aufweist: Bilden eines ersten Satzes zweiter Metallsegmente, der entsprechende erste Metallsegmente der Vielzahl erster Metallsegmente und die erste Stromschiene überlappt; Bilden eines zweiten Satzes zweiter Metallsegmente, der entsprechende erste Metallsegmente der Vielzahl erster Metallsegmente und die zweite Stromschiene überlappt, und Bilden jedes zweiten Metallsegments der Vielzahl zweiter Metallsegmente, das eine Breite hat, die einer vorbestimmten Mindestbreite für das zweite Metallniveau entspricht; und das Bilden der IC-Struktur ferner Folgendes aufweist: Bilden einer Vielzahl dritter Metallsegmente auf einem dritten Metallniveau über dem zweiten Metallniveau, wobei die Vielzahl dritter Metallsegmente in die erste Metallniveaurichtung ausgerichtet ist und einen ersten Satz dritter Metallsegmente abwechselnd mit einem zweiten Satz dritter Metallsegmente aufweist; das Bilden des ersten Satzes dritter Metallsegmente Folgendes aufweist: Bilden dritter Metallsegmente, die entsprechende Metallsegmente des ersten Satzes zweiter Metallsegmente überlappen; das Bilden des zweiten Satzes dritter Metallsegmente Folgendes aufweist: das Bilden dritter Metallsegmente, die entsprechende zweite Metallsegmente des zweiten Satzes zweiter Metallsegmente überlappen, und das Bilden der Vielzahl dritter Metallsegmente Folgendes aufweist: Bilden jedes dritten Metallsegments der Vielzahl dritter Metallsegmente mit einer Breite, die einer vorbestimmten Mindestbreite für das dritte Metallniveau entspricht.
  20. Verfahren nach Anspruch 17 oder 18, wobei: das Bilden der Vielzahl erster Durchkontaktierungen Folgendes aufweist: Bilden einer Durchkontaktierung an jeder Stelle, an welcher die ersten Metallsegmente der Vielzahl erster Metallsegmente die erste Stromschiene überlappen und an jeder Stelle, an welcher die ersten Metallsegmente der Vielzahl erster Metallsegmente die zweite Stromschiene überlappen; das Bilden der Vielzahl zweiter Metallsegmente Folgendes aufweist: Bilden jedes zweiten Metallsegments, das mehrere erste Metallsegmente der Vielzahl erster Metallsegmente überlappt und eine Breite hat, die größer ist als eine vorbestimmte Mindestbreite für das zweite Metallsegmentniveau, und das Bilden der IC-Struktur ferner Folgendes aufweist: Bilden einer Vielzahl dritter Metallsegmente auf einem dritten Metallniveau unmittelbar über dem zweiten Metallniveau, wobei die Vielzahl dritter Metallsegmente in die erste Metallniveaurichtung ausgerichtet ist und einen ersten Satz dritter Metallsegmente abwechselnd mit einem zweiten Satz dritter Metallsegmente aufweist; wobei das Bilden der Vielzahl dritter Metallsegmente Folgendes aufweist: Bilden jedes dritten Metallsegments der Vielzahl dritter Metallsegmente, die die erste Stromschiene und die zweite Stromschiene überlappen und eine Breite haben, die einer vorbestimmten Mindestbreite für das dritte Metallniveau entspricht, und Bilden einer Vielzahl dritter Durchkontaktierungen, wobei die Vielzahl dritter Durchkontaktierungen den ersten Satz dritter Metallsegmente mit dem mindestens einen zweiten Metallsegment der Vielzahl zweiter Metallsegmente, die die erste Stromschiene überlappen, elektrisch verbindet, und den zweiten Satz dritter Metallsegmente mit dem mindestens einen zweiten Metallsegment der Vielzahl zweiter Metallsegmente, die die zweite Stromschiene überlappen, elektrisch verbindet.
DE102017124097.7A 2016-11-29 2017-10-17 Stromnetzstrukturen und verfahren zu ihrer herstellung Active DE102017124097B4 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662427630P 2016-11-29 2016-11-29
US62/427,630 2016-11-29
US15/729,281 US11251124B2 (en) 2016-11-29 2017-10-10 Power grid structures and method of forming the same
US15/729,281 2017-10-10

Publications (2)

Publication Number Publication Date
DE102017124097A1 true DE102017124097A1 (de) 2018-06-28
DE102017124097B4 DE102017124097B4 (de) 2023-08-10

Family

ID=62190480

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102017124097.7A Active DE102017124097B4 (de) 2016-11-29 2017-10-17 Stromnetzstrukturen und verfahren zu ihrer herstellung

Country Status (5)

Country Link
US (2) US11251124B2 (de)
KR (1) KR102011932B1 (de)
CN (2) CN115662974A (de)
DE (1) DE102017124097B4 (de)
TW (1) TWI649837B (de)

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10651201B2 (en) * 2017-04-05 2020-05-12 Samsung Electronics Co., Ltd. Integrated circuit including interconnection and method of fabricating the same, the interconnection including a pattern shaped and/or a via disposed for mitigating electromigration
US10811357B2 (en) * 2017-04-11 2020-10-20 Samsung Electronics Co., Ltd. Standard cell and an integrated circuit including the same
US10943045B2 (en) * 2018-01-31 2021-03-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device including standard-cell-adapted power grid arrangement and method for generating layout diagram of same
US10497566B1 (en) * 2018-06-19 2019-12-03 Macronix International Co., Ltd. Layout design for fanout patterns in self-aligned double patterning process
US10878165B2 (en) * 2018-07-16 2020-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Method for generating layout diagram including protruding pin cell regions and semiconductor device based on same
US10396033B1 (en) * 2018-07-23 2019-08-27 Qualcomm Incorporated First power buses and second power buses extending in a first direction
TWI692063B (zh) * 2018-09-13 2020-04-21 奇景光電股份有限公司 電路佈線方法及電路佈線系統
US10733353B2 (en) * 2018-09-18 2020-08-04 Taiwan Semiconductor Manufacturing Company Ltd. System and method for forming integrated device
US10483200B1 (en) 2018-09-27 2019-11-19 Qualcomm Incorporated Integrated circuits (ICs) employing additional output vertical interconnect access(es) (VIA(s)) coupled to a circuit output VIA to decrease circuit output resistance
US11188703B2 (en) * 2018-09-28 2021-11-30 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit, system, and method of forming the same
US10769342B2 (en) 2018-10-31 2020-09-08 Taiwan Semiconductor Manufacturing Company Ltd. Pin access hybrid cell height design
CN111987064A (zh) * 2019-05-22 2020-11-24 三星电子株式会社 抽头单元和半导体单元
FR3097683A1 (fr) 2019-06-19 2020-12-25 Stmicroelectronics (Grenoble 2) Sas Connexion de plusieurs circuits d'une puce électronique
US11251117B2 (en) * 2019-09-05 2022-02-15 Intel Corporation Self aligned gratings for tight pitch interconnects and methods of fabrication
KR20210049252A (ko) 2019-10-24 2021-05-06 삼성전자주식회사 반도체 소자
KR20210134112A (ko) 2020-04-29 2021-11-09 삼성전자주식회사 반도체 장치
US11842963B2 (en) 2020-05-14 2023-12-12 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
DE102021109463B4 (de) * 2020-05-14 2024-01-04 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleiterbauelement und verfahren
US11308255B2 (en) * 2020-05-28 2022-04-19 Taiwan Semiconductor Manufacturing Co., Ltd. Generation of layout including power delivery network
WO2023023949A1 (zh) * 2021-08-24 2023-03-02 京东方科技集团股份有限公司 显示面板、显示装置

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7260442B2 (en) 2004-03-03 2007-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for mask fabrication process control
US20140040838A1 (en) 2012-08-01 2014-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Methods For Making A Mask For An Integrated Circuit Design
US20150212842A1 (en) 2007-03-01 2015-07-30 George Mason Research Foundation, Inc. On-demand disposable virtual work system
US9256709B2 (en) 2014-02-13 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit mask patterning

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3917683B2 (ja) 1996-04-25 2007-05-23 株式会社ルネサステクノロジ 半導体集積回路装置
US6184477B1 (en) * 1998-12-02 2001-02-06 Kyocera Corporation Multi-layer circuit substrate having orthogonal grid ground and power planes
JP2004186671A (ja) 2002-11-18 2004-07-02 Matsushita Electric Ind Co Ltd 半導体集積回路装置及びその設計方法
US7205684B2 (en) * 2002-11-18 2007-04-17 Matsushita Electric Industrial Co., Ltd. Semiconductor integrated circuit device and method for designing the same
US8435802B2 (en) 2006-05-22 2013-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Conductor layout technique to reduce stress-induced void formations
CN100468735C (zh) * 2006-08-25 2009-03-11 威盛电子股份有限公司 集成电路的电源地网络及其布置方法
US7989849B2 (en) 2006-11-15 2011-08-02 Synopsys, Inc. Apparatuses and methods for efficient power rail structures for cell libraries
JP5944464B2 (ja) 2008-08-19 2016-07-05 ルネサスエレクトロニクス株式会社 半導体装置
JP5638760B2 (ja) 2008-08-19 2014-12-10 ルネサスエレクトロニクス株式会社 半導体装置
US8421205B2 (en) 2010-05-06 2013-04-16 Taiwan Semiconductor Manufacturing Company, Ltd. Power layout for integrated circuits
US9768119B2 (en) 2010-07-28 2017-09-19 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for mitigating dynamic IR voltage drop and electromigration affects
US8661389B2 (en) 2011-04-12 2014-02-25 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and methods of designing integrated circuits
US8726220B2 (en) 2011-04-29 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. System and methods for converting planar design to FinFET design
US8694945B2 (en) * 2011-12-20 2014-04-08 Taiwan Semiconductor Manufacturing Co., Ltd. Automatic place and route method for electromigration tolerant power distribution
US8698205B2 (en) 2012-05-25 2014-04-15 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit layout having mixed track standard cell
US8826212B2 (en) 2012-12-06 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a layout including cells having different threshold voltages, a system of implementing and a layout formed
US9147029B2 (en) 2013-03-11 2015-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Stretch dummy cell insertion in FinFET process
US9563731B2 (en) 2013-03-15 2017-02-07 Taiwan Semiconductor Manufacturing Co., Ltd. Cell boundaries for self aligned multiple patterning abutments
JP6342165B2 (ja) * 2014-01-24 2018-06-13 ルネサスエレクトロニクス株式会社 半導体装置及びioセル
US9449667B2 (en) 2014-03-31 2016-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Memory circuit having shared word line
US9465906B2 (en) 2014-04-01 2016-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for integrated circuit manufacturing
US9425085B2 (en) 2014-05-05 2016-08-23 Taiwan Semiconductor Manufacturing Company Limited Structures, devices and methods for memory devices
US9767243B2 (en) 2014-05-27 2017-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. System and method of layout design for integrated circuits
US9412742B2 (en) 2014-06-10 2016-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Layout design for manufacturing a memory cell
US9690892B2 (en) 2014-07-14 2017-06-27 Taiwan Semiconductor Manufacturing Company, Ltd. Masks based on gate pad layout patterns of standard cell having different gate pad pitches
US11239154B2 (en) * 2015-01-20 2022-02-01 Taiwan Semiconductor Manufacturing Company Ltd. Fishbone structure enhancing spacing with adjacent conductive line in power network

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7260442B2 (en) 2004-03-03 2007-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for mask fabrication process control
US20150212842A1 (en) 2007-03-01 2015-07-30 George Mason Research Foundation, Inc. On-demand disposable virtual work system
US20140040838A1 (en) 2012-08-01 2014-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Methods For Making A Mask For An Integrated Circuit Design
US9256709B2 (en) 2014-02-13 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit mask patterning

Also Published As

Publication number Publication date
TW201820541A (zh) 2018-06-01
CN115662974A (zh) 2023-01-31
US20180151496A1 (en) 2018-05-31
CN108122883A (zh) 2018-06-05
KR102011932B1 (ko) 2019-08-26
US11251124B2 (en) 2022-02-15
KR20180061000A (ko) 2018-06-07
US11935833B2 (en) 2024-03-19
TWI649837B (zh) 2019-02-01
US20220093513A1 (en) 2022-03-24
DE102017124097B4 (de) 2023-08-10

Similar Documents

Publication Publication Date Title
DE102017124097A1 (de) Stromnetzstrukturen und verfahren zu ihrer herstellung
DE102019101570B4 (de) Layout, struktur, system und verfahren eines integrierten schaltkreises
DE102015112271B4 (de) Verfahren und Struktur für eine Strukturierung mit Dorn und Abstandshalter
DE102019118040A1 (de) Halbleitervorrichtung, die eine zellregion umfasst, die ähnlichere zelldichten in zeilen verschiedener höhe aufweist, und verfahren und system zum erzeugen eines layoutdiagramms dafür
DE102019116952B4 (de) Integrierte-schaltkreis-struktur, layout-schaubild-verfahren und system
DE102015200694A1 (de) Verfahren, computersystem und computerlesbares speichermedium zum erzeugen eines layouts eines integrierten schaltkreises
DE102017118336A1 (de) Standardzellen-layout, halbleiter-bauelement mit technische-änderungsanweisungs(eco)-zellen und verfahren
DE102019128996A1 (de) Integriertes schaltungsfinnenbelegungsverfahren, system und struktur
DE102020127462B4 (de) Halbleitervorrichtung und verfahren zu ihrer herstellung
DE102019128571B4 (de) Verfahren zum erzeugen eines layoutdiagramms mit zelle mit darauf basierenden stiftmustern und halbleitervorrichtung
DE102018108579A1 (de) Integrierte schaltung und verfahren zu deren herstellung
DE102019121157A1 (de) Transfer-gate-struktur, layout, verfahren und system
DE102019116733A1 (de) Verfahren, vorrichtung und system eines integrierten schaltungslayouts
DE102019123621A1 (de) Routungsressourcenverbesserndes verfahren zum generieren von layout-diagrammen, und system dafür
DE102021110387A1 (de) Integrierte schaltungsanlage und verfahren
DE102020119280A1 (de) Platzbedarf für multi-bit-flip-flop
DE102021110414A1 (de) Vier-cpp-breite speicherzelle mit vergrabenem leistungsgitter und verfahren zu deren herstellung
DE102019125900B4 (de) Metallschnittgebiet-positionierungsverfahren und system
DE102020115617B4 (de) Halbleitervorrichtung, integrierte Schaltung und darauf basierendes System
DE102023100725A1 (de) Ic-logikbauteil, layout, system und verfahren
DE102021100870B4 (de) Hybridschicht-layout, -verfahren, -system und -struktur
DE102021108836A1 (de) Halbleiterbauelement mit v2v-schiene und herstellungsverfahren davon
DE102020114130A1 (de) Abbindungsvorrichtung
DE102018107077A1 (de) Zusammengeführte Säulenstrukturen und Verfahren zum Erzeugen von Layoutdiagrammen davon
DE102020132602B4 (de) Halbleitervorrichtung mit vergrabenen leitfähigen fingern und deren herstellungsverfahren

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R018 Grant decision by examination section/examining division