DE102016116444B4 - Verfahren zur Spacer- und Mandrell-Strukturierung - Google Patents

Verfahren zur Spacer- und Mandrell-Strukturierung Download PDF

Info

Publication number
DE102016116444B4
DE102016116444B4 DE102016116444.5A DE102016116444A DE102016116444B4 DE 102016116444 B4 DE102016116444 B4 DE 102016116444B4 DE 102016116444 A DE102016116444 A DE 102016116444A DE 102016116444 B4 DE102016116444 B4 DE 102016116444B4
Authority
DE
Germany
Prior art keywords
spacer layer
layer
mandrel structures
etching
spacer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE102016116444.5A
Other languages
English (en)
Other versions
DE102016116444A1 (de
Inventor
Yu Chao Lin
Chao-Cheng Chen
Chun-Hung Lee
Yu-Lung YANG
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102016116444A1 publication Critical patent/DE102016116444A1/de
Application granted granted Critical
Publication of DE102016116444B4 publication Critical patent/DE102016116444B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0335Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3088Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • H01L21/02326Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen into a nitride layer, e.g. changing SiN to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

Verfahren, umfassend:
Bilden von Mandrell-Strukturen (208') über einer Strukturierungsschicht (204, 206, 208) über einem Substrat (202); und
Bilden einer Spacer-Schicht (216) über der Strukturierungsschicht (204, 206, 208), über den Mandrell-Strukturen (208'), und an Seitenwänden der Mandrell-Strukturen (208');
Beschneiden (110) der Spacer-Schicht (216) unter Verwendung einer Trockenätztechnik, damit ein Raum zwischen benachbarten Seitenwänden der Spacer-Schicht (216) im Wesentlichen einer Abmessung der Mandrell-Strukturen (208') entlang einer Strukturbreitenrichtung entspricht;
Ätzen (108) der Spacer-Schicht (216), um die Mandrell-Strukturen (208') und die Strukturierungsschicht (204, 206, 208) freizulegen, was zu einer strukturierten Spacer-Schicht (216) an den Seitenwänden der Mandrell-Strukturen (208') führt; und
Entfernen der Mandrell-Strukturen (208') nach dem Beschneiden (110) der Spacer-Schicht (216) und dem Ätzen (108) der Spacer-Schicht (216), wobei das Beschneiden (110) der Spacer-Schicht (216) vor dem Ätzen (108) der Spacer-Schicht (216) vorgenommen wird.

Description

  • Die Erfindung betrifft ein Verfahren, umfassend:
    • Bilden von Mandrell-Strukturen über einer Strukturierungsschicht über einem Substrat; und
    • Bilden einer Spacer-Schicht über der Strukturierungsschicht, über den Mandrell-Strukturen, und an Seitenwänden der Mandrell-Strukturen;
    • Beschneiden der Spacer-Schicht unter Verwendung einer Trockenätztechnik, damit ein Raum zwischen benachbarten Seitenwänden der Spacer-Schicht im Wesentlichen einer Abmessung der Mandrell-Strukturen entlang einer Strukturbreitenrichtung entspricht;
    • Ätzen der Spacer-Schicht, um die Mandrell-Strukturen und die Strukturierungsschicht freizulegen, was zu einer strukturierten Spacer-Schicht an den Seitenwänden der Mandrell-Strukturen führt; und
    • Entfernen der Mandrell-Strukturen nach dem Beschneiden der Spacer-Schicht und dem Ätzen der Spacer-Schicht, wobei das Beschneiden der Spacer-Schicht vor dem Ätzen der Spacer-Schicht vorgenommen wird.
  • ALLGEMEINER STAND DER TECHNIK
  • Die Industrie integrierter Halbleiterschaltungen (IC) hat ein exponentielles Wachstum erfahren. Technische Fortschritte bei den IC-Materialien und der -Gestaltung haben Generationen von ICs produziert, bei denen jede Generationen kleinere und komplexere Schaltungen als die vorherige Generation aufweist. Im Verlauf der IC-Evolution hat die funktionale Dichte (d.h., die Anzahl der verbundenen Vorrichtungen pro Chipfläche) im Allgemeinen zugenommen, während die Geometriegröße (d.h., das kleinste Bauelement (oder die kleinste Leitung), das (die) unter Verwendung eines Herstellungsprozesses erzeugt werden kann) abgenommen hat. Dieser Verkleinerungsprozess bietet im Allgemeinen Vorteile, indem die Produktionseffizienz erhöht wird und die damit verbundenen Kosten verringert werden. Diese Verkleinerung hat auch die Komplexität der Bearbeitung und Herstellung von ICs erhöht, und damit diese Fortschritte verwirklicht werden, sind ähnliche Entwicklungen bei der Bearbeitung und Herstellung der ICs nötig.
  • Zum Beispiel kann die herkömmliche Photolithographie allein die Anforderungen hinsichtlich der kritischen Abmessung (CD) und der Strukturdichte bei fortgeschrittenen Prozessknoten wie etwa 20 Nanometer (nm) oder kleiner nicht länger erfüllen. Häufig wird bei der fortgeschrittenen Photolithographie eine Mandrell-Spacer-Technik verwendet, um die belichtete Struktur zu verdoppeln. Eine typische Mandrell-Spacer-Technik bildet bei einer ersten Belichtung Mandrell-Strukturen und bilden an Seitenwänden der Mandrell-Strukturen Spacer-Strukturen. Anschließend entfernt sie die Mandrell-Strukturen und benutzt sie die Spacer-Merkmale als Ätzmaske für die Bildung einer endgüligen Struktur. Diese Technik verringert den Abstand der endgültigen Struktur verglichen mit der ersten belichteten Struktur wirksam auf die Hälfte. Aus der Druckschrift US 2015/0147886 A1 ist ein Verfahren zur Spacer- und Mandrell-Strukturierung bekannt. Ähnliche Verfahren sind außerdem bekannt aus der US 2014/0308761 A1 sowie der US 2011/0130006 A1 .
  • Für typische Mandrell-Spacer-Techniken stellt es jedoch eine Herausforderung dar, in der endgültigen Struktur gleichzeitig gleichmäßige Abstande und gleichmäßige CDs zu erzeugen.
  • Figurenliste
  • Gesichtspunkte der vorliegenden Offenbarung werden am besten aus der folgenden ausführlichen Beschreibung verstanden, wenn diese zusammen mit den beiliegenden Figuren gelesen wird. Es wird angemerkt, dass verschiedene Merkmale gemäß der Standardpraxis in der Industrie nicht maßstabgetreu gezeichnet sind. Tatsächlich können die Abmessungen der verschiedenen Merkmale zur Klarheit der Besprechung beliebig vergrößert oder verkleinert sein.
    • 1 veranschaulicht ein Ablaufdiagramm eines Verfahrens zur Strukturierung eines Substrats nach verschiedenen Gesichtspunkten der vorliegenden Offenbarung.
    • 2A, 2B, 2C, 2D, 2E, 2F, 2G, 2H, 2I und 2J veranschaulichen Querschnittansichten einer Vorrichtung bei verschiedenen Herstellungsschritten des Verfahrens in 1 nach einigen Ausführungsformen.
    • 3 veranschaulicht ein Ablaufdiagramm eines anderen Verfahrens zur Strukturierung eines Substrats nach verschiedenen Gesichtspunkten der vorliegenden Offenbarung.
    • 4A, 4B und 4C veranschaulichen Querschnittansichten einer Vorrichtung bei verschiedenen Herstellungsschritten des Verfahrens in 3 nach einigen Ausführungsformen.
    • 5 veranschaulicht ein Ablaufdiagramm noch eines anderen Verfahrens zur Strukturierung eines Substrats nach verschiedenen Gesichtspunkten der vorliegenden Offenbarung.
    • 6A, 6B, 6C und 6D veranschaulichen perspektivische Ansichten einer Vorrichtung bei verschiedenen Herstellungsschritten des Verfahrens in 5.
  • AUSFÜHRLICHE BESCHREIBUNG
  • Die vorliegende Offenbarung bezieht sich im Allgemeinen auf Verfahren zur Bildung von Halbleitervorrichtungen. Genauer bezieht sich die vorliegende Offenbarung auf das Bilden von Halbleitervorrichtungen unter Verwendung von Mandrell-Spacer-Techniken. Die Mandrell-Spacer-Techniken beinhalten den selbstausgerichteten Doppelstrukturierungsprozess (self-aligned double patterning, SADP), der den Abstand der belichteten Struktur auf die Hälfte verringert, den selbstausgerichteten Vierfachstrukturierungsprozess (self-aligned quadruple patterning (SAQP), der den Abstand der belichteten Struktur um ein Viertel verringert; und andere Spacer-StrukturierungsProzesse. Nach einigen Ausführungsformen der vorliegenden Offenbarung werden Spacer-Merkmale beschnitten, bevor die Mandrell-Strukturen entfernt werden (als „vorab erfolgender Beschneide‟ prozess bezeichnet). Daher wird jedes Spacer-Merkmal an einer seiner Seiten beschnitten. Das Beschneiden der Spacer-Merkmale stellt sicher, dass eine Beabstandung zwischen benachbarten Spacer-Merkmalen im Wesentlichen einer Abmessung (Breite) der entsprechenden Mandrell-Strukturen entspricht. Da der Abstand und die CD einer endgültigen Struktur sowohl mit der Beabstandung zwischen benachbarten Spacer-Merkmalen als auch mit der Breite der Mandrell-Strukturen in Zusammenhang stehen, hilft das Beschneiden der Spacer-Merkmale, sowohl die Gleichmäßigkeit der CD als auch die Gleichmäßigkeit des Abstands in der endgültigen Struktur sicherzustellen.
  • 1 ist ein detailliertes Ablaufdiagramm eines Verfahrens 100 zur Herstellung einer IC-Vorrichtung 200 nach verschiedenen Gesichtspunkten der vorliegenden Offenbarung. Das Verfahren 100 ist lediglich ein Beispiel, um verschiedene Gesichtspunkte des bereitgestellten Gegenstands zu veranschaulichen. Vor, während und nach dem Verfahren 100 können zusätzliche Tätigkeiten bereitgestellt sein, und für zusätzliche Ausführungsformen des Verfahrens können einige beschriebene Tätigkeiten ersetzt, beseitigt, verbunden oder verlegt werden. Die verschiedenen Tätigkeiten in 1 werden nachstehend in Verbindung mit 2A bis 2J beschrieben, die Querschnittansichten einer Vorrichtung 200 in verschiedenen Herstellungsstadien einer Ausführungsform des Verfahrens 100 veranschaulichen. Die Vorrichtung 200 kann in einer IC wie etwa einem Mikroprozessor, einer Speichervorrichtung und/oder einer anderen IC, die passive Komponenten wie etwa Widerstände, Kondensatoren und Induktoren und aktive Komponenten wie etwa Feldeffekttransistoren vom p-Typ (PFETs), n-Typ-FETs (NFETs), Metalloxid-Halbleiter-Feldeffekttransistoren (MOSFETs), komplementäre Metalloxid-Halbleiter(CMOS)-Transistoren, Bipolartransistoren, Hochspannungstransistoren, Hochfrequenztransistoren, Mehrfachgate-FETs, die FinFETs enthalten, und Kombinationen davon umfassen kann, enthalten sein.
  • Bei der Tätigkeit 102 lagert das Verfahren 100 (1) zur Vorbereitung der Strukturierung eines Substrats 202 und der Bildung der IC-Vorrichtung 200 darauf dielektrische Schichten über dem Substrat 202 ab (2A). Unter Bezugnahme auf 2A sind beispielhafte dielektrische Schichten 204, 206 und 208 über dem Substrat 202 abgelagert. Das Substrat 202 umfasst bei der vorliegenden Ausführungsform Silizium wie etwa einen Halbleiterwafer. Bei verschiedenen Ausführungsformen kann das Substrat 202 einen anderen elementaren Halbleiter wie etwa Germanium; einen Verbindungshalbleiter wie etwa Siliziumcarbid, Galliumarsenid, Galliumsphosphid, Indiumphosphid, Indiumarsenid und/oder Indiumantimonid; einen Legierungshalbleiter wie etwa GaAsP, AlInAs, AlGaAs, InGaAs, GaInP, und/oder GaInAsP, oder Kombinationen umfassen. Das Substrat 202 kann aktive Bereiche, epitaktische Merkmale, Isolationsaufbauten, finnenartige Halbleiterbereiche und/oder andere geeignete Merkmale umfassen. Bei der vorliegenden Ausführungsform umfasst das Substrat 202 eine Polysiliziumschicht, die zur Bildung von Polysilizium-Gateelektroden oder zur Bildung von Dummy-Gateelektroden in einem Gateaustauschprozess verwendet werden können. Bei einer Ausführungsform kann die Polysiliziumschicht eine Dicke von etwa 100 Nanometern(nm) aufweisen.
  • Materialen, die für die dielektrischen Schichten 204, 206 und 208 geeignet sind, beinhalten, jedoch ohne Beschränkung darauf, Siliziumoxid, plasmaunterstütztes Siliziumoxid (PEOX), Siliziumnitrid, Polysilizium, dotiertes Polysilizium, Siliziumoxinitrid, Tetraethyl-Orthosilikat (TEOS), stickstoffhaltiges Oxid, Nitridoxid, dielektrische High-k-Materialien, dielektrische Low-k-Materialien, oder Kombinationen davon. Bei einer Ausführungsform ist die dielektrische Schicht 204 eine Siliziumnitridschicht mit einer Dicke von etwa 10 nm, die dielektrische Schicht 206 eine Siliziumoxidschicht mit einer Dicke von etwa 120 nm, und die dielektrische Schicht 208 eine Polysiliziumschicht mit einer Dicke von etwa 100 nm. Die dielektrischen Schichten 204, 206 und 208 können jeweils durch eine oder mehrere Ablagerungstechniken wie etwa die thermische Oxidation, die chemische Dampfphasenabscheidung (CVD), die physikalische Dampfphasenabscheidung (PVD), die plasmaunterstützte CVD (PECVD) und die Atomlagenabscheidung (ALD) gebildet werden. Die dielektrischen Schichten 204, 206 und 208 werden für das Strukturieren des Substrats 202 verwendet. Daher werden sie auch jeweils als Strukturierungsschichten 204, 206 und 208 bezeichnet. Bei verschiedenen Ausführungsformen können über dem Substrat 202 andere dielektrische Schichten gebildet werden und für die Zwecke des Strukturierens des Substrats 202 verwendet werden. Die anderen dielektrischen Schichten können zwischen, über oder unter den dielektrischen Schichten 204 und 206 angeordnet werden. Bei einer Ausführungsform kann eine oder können beide der Schichten 204 und 206 weggelassen werden, wenn in dem Substrat 202 eine Strukturierungsschicht enthalten ist.
  • Bei der Tätigkeit 104 bildet das Verfahren 100 (1) Mandrell-Strukturen (oder Mandrell-Leitungen) 208' in der dielektrischen Schicht 208 (2B und 2C). Bei einer Ausführungsform werden die Mandrell-Strukturen 208' durch einen Vorgang gebildet, der einen Photolithographieprozess und einen oder mehrere Ätzprozesse umfasst.
  • Unter Bezugnahme auf 2B ist darin ein strukturiertes Photoresist (oder eine Resiststruktur) 214 über Schichten 212 und 210, die über der dielektrischen Schicht 208 angeordnet sind, veranschaulicht. Bei einer Ausführungsform ist die Schicht 212 eine siliziumhaltige Hartmaskenschicht und die Schicht 210 eine Antireflex-Beschichtungsschicht. Die Schichten 210 und 212 können unter Verwendung von CVD, PVD oder anderen geeigneten Verfahren gebildet werden. Bei einer anderen Ausführungsform kann die Resiststruktur 214 ohne die Schichten 212 und 210 direkt über der dielektrischen Schicht 208/ gebildet werden. Bei einer Ausführungsform wird die Resiststruktur 214 unter Verwendung eines Photolithographieprozesses gebildet. Zum Beispiel wird eine Resistschicht unter Verwendung eines Schleuderbeschichtungsprozesses und eines Weichbackprozesses auf der Schicht 212 gebildet. Dann wird die Resistschicht unter Verwendung einer Maske mit den Definitionen für die Mandrell-Strukturen 208' einer Bestrahlung ausgesetzt. Die belichtete Resistschicht wird unter Verwendung eines Backprozesses nach der Belichtung, einem Entwickeln und einem Hartbacken entwickelt, wodurch die Resiststruktur 214 über der Schicht 212 gebildet wird. Die Resiststruktur 214 weist einen Abstand P1 und eine Breite W1 in der „X“-Richtung auf. Bei einer Ausführungsform ist die „X“-Richtung eine Transistorkanallängen(oder Gatelängen)-Richtung und die „Z“-Richtung die Normale des Substrats 202 (oder eine Strukturhöhenrichtung).
  • Anschließend werden die Schichten 212, 210 und 208 durch die Öffnungen der Resiststruktur 214 geätzt, wodurch die Mandrell-Strukturen 208' gebildet werden (2C). Der Ätzprozess kann eine Trocken(oder Plasma)-Ätzung, eine Nassätzung oder andere geeignete Ätzverfahren umfassen. Zum Beispiel kann ein Trockenätzprozess ein sauerstoffhaltiges Gas, ein fluorhaltiges Gas (z.B. CF4, SF6, CH2F2 und/oder C2F6), ein bromhaltiges Gas (z.B. HBr und/oder CHBR3), ein jodhaltiges Gas, andere geeignete Gase und/oder Plasmen und/oder Kombinationen davon einsetzen. Beispielsweise kann ein Nassätzprozess ein Ätzen in verdünnter Flusssäure (DHF); einer Kaliumhydroxid(KOH)-Lösung; Ammoniak; einer Lösung, die Flusssäure (HF), Salpetersäure (HNO3) und/oder Essigsäure (CH3COOH) enthält; oder einem anderen geeigneten Ätzmittel umfassen. Die Resiststruktur 214 wird danach unter Verwendung eines geeigneten Prozesses wie etwa eines Nassentschichtens oder eines Plasmaveraschens entfernt. Die Schichten 212 und 210 werden ebenfalls unter Verwendung eines oder mehrerer der Ätzprozesse entfernt, was wie in 2C gezeigt zu den Mandrell-Strukturen 208' über der dielektrischen Schicht 206 führt. Die Mandrell-Strukturen 208' weisen einen Abstand P2 und eine Breite W2 in der „X“-Richtung auf, die im Wesentlichen jeweils dem Abstand P1 und der Breite W1 entsprechen, wobei eine Merkmalsveränderung durch die obigen Strukturierungsprozesse berücksichtigt wird.
  • Bei der Tätigkeit 106 bildet das Verfahren 100 (1) eine Spacer-Schicht 216 über der dielektrischen Schicht 206, über den Mandrell-Strukturen 208', und an Seitenwänden der Mandrell-Strukturen 208'. Unter Bezugnahme auf 2D wird die Spacer-Schicht 216 über der dielektrischen Schicht 206 und den Mandrell-Strukturen 208' angeordnet. Die Spacer-Schicht 216 umfasst ein oder mehr Materialien, die sich von den Mandrell-Strukturen 208' unterscheiden, so dass die Schichten 216 und 208 eine unterschiedliche Ätzselektivität in Bezug auf einen Ätzprozess aufweisen. Bei einer Ausführungsform kann die Spacer-Schicht 216 ein dielektrisches Material wie etwa Titannitrid, Siliziumnitrid oder Titanoxid umfassen. Bei einer Ausführungsform umfasst die Spacer-Schicht 216 Siliziumnitrid mit einer Dicke von etwa 35 nm. Die Spacer-Schicht 26 kann durch einen CVD-Prozess, einen PVD-Prozess, einen Atomlagenabscheidungs(ALD)-Prozess oder andere geeignete Ablagerungstechniken gebildet werden.
  • Bei der Tätigkeit 107 nimmt das Verfahren 100 (1) einen Oxidationsprozess 280 an der Spacer-Schicht 216 vor. Unter Bezugnahme auf 2E wird der Oxidationsprozess 280 bei einer Ausführungsform mit einem Sauerstoffplasma vorgenommen und ferner mit einer bestimmten Spannung vorgespannt, um eine Dicke der Spacer-Schicht 216 zu erhöhen. Insbesondere werden durch den Oxidationsprozess 280 Schulterabschnitte (einer davon ist erläuternd durch die gestrichelte Linie 216-1 umschlossen) der Spacer-Schicht 216 verdickt oder gehärtet. Ein Ziel der Tätigkeit 107 ist, eine bestimmte Höhe der Spacer-Schicht 216 entlang der „Z“-Richtung für nachfolgende Ätzprozesse zu erzielen. Wie gezeigt werden wird, werden die Abschnitte der Spacer-Schicht 216 an den Seitenwänden der Mandrell-Strukturen 208' als Ätzmaske zum Ätzen der dielektrischen Schicht 206 verwendet werden. Daher ist eine bestimmte Höhe der Spacer-Schicht 216 erwünscht. Bei einer Ausführungsform wird der Oxidationsprozess 280 mit einem Druck von 666,612 bis 1999,84Pa, einer Quellenleistung von 900 bis 1100 W, einer Vorspannung von 100 bis 200 V und einem Sauerstofffluss von 180 bis 220 Standardkubikzentimetern pro Minute (sccm) vorgenommen. Der Oxidationsprozess 280 kann bei verschiedenen Ausführungsformen für 15 Sekunden bis 50 Sekunden vorgenommen werden.
  • Bei der Tätigkeit 108 nimmt das Verfahren 100 (1) einen Ätzprozess 282 an der Spacer-Schicht 216 vor, wodurch die Mandrell-Strukturen 208' und die dielektrische Schicht 206 freigelegt werden. Unter Bezugnahme auf 2F werden durch den Ätzprozess 282 die oberen Flächen der Mandrell-Strukturen 208' freigelegt und wird auch das Spacer-Material, das über der dielektrischen Schicht 206 angeordnet ist, teilweise entfernt, wodurch an Seitenwänden der Mandrell-Strukturen 208' Spacer-Merkmale (auch als strukturierte Spacer-Schicht bezeichnet) bereitgestellt werden. Zwischen benachbarten Seitenwänden der strukturierten Spacer-Schicht 216' wird ein Graben 217 mit einer Abmessung W3 entlang der „X“-Richtung gebildet.
  • Bei einer Ausführungsform umfasst der Ätzprozess 282 eine anisotrope Trockenätztechnik, die die Spacer-Schicht 216 entlang der „Z“-Richtung viel schneller als entlang der „X“-Richtung entfernt. Bei der vorliegenden Ausführungsform weist die strukturierte Spacer-Schicht 216' nach dem Abschluss des Ätzprozesses 282 nach wie vor eine gewünschte Höhe entlang der „Z“-Richtung auf, da die Schulterabschnitte der Spacer-Schicht 216 durch den Oxidationsprozess 280 verdickt oder gehärtet wurden. Bei einer Ausführungsform verwendet der Ätzprozess 282 ein fluorhaltiges Gas (z.B. CF4, SF6 CH2F2, CHF3 und/oder C2F6) als Ätzmittel, Sauerstoffgas als Ätzbeschleuniger, und Argongas als Träger. Bei alternativen Ausführungsformen kann der Ätzprozess 282 ein chlorhaltiges Gas (z.B. Cl2, CHCl3, CCl4 und/oder BCl3), ein bromhaltiges Gas (z.B. HBr und/oder CHBr3), ein jodhaltiges Gas, andere geeignete Gase und/oder Plasmen, und/oder Kombinationen davon verwenden. Bei der vorliegenden Ausführungsform ist die Abmessung W3 kleiner als die Abmessung W2.
  • Bei der Tätigkeit 110 beschneidet das Verfahren 100 ( 1) die strukturierte Spacer-Schicht 216'. Unter Bezugnahme auf 2G wird die strukturierte Spacer-Schicht 216' durch einen Beschneideprozess 284 beschnitten. Bei einer Ausführungsform ist der Beschneideprozess 284 ein Trockenätzprozess. Bei einer weiteren Ausführungsform wird der Beschneideprozess 284 bei einem Druck von 666,612 bis 2666,45 Pa, einer Quellenleistung von 700 bis 800 W, einem CF4-Gasfluss von 125 bis 225 sccm und ohne Vorspannung vorgenommen. Bei alternativen Ausführungsformen kann der Beschneideprozess 284 ein anderes fluorhaltiges Gas (z.B. SF6, CH2F2, CHF3 und/oder C2F6), ein sauerstoffhaltiges Gas, ein chlorhaltiges Gas (z.B. Cl2, CHCl3, CCl4 und/oder BCl3), ein bromhaltiges Gas (z.B. HBr und/oder CHBr3), ein jodhaltiges Gas, andere geeignete Gase und/oder Plasmen, und/oder Kombinationen davon verwenden. Bei verschiedenen Ausführungsformen verwendet der Beschneideprozess ein Ätzmittel, das selektiv darauf abgestimmt ist, die strukturierte Spacer-Schicht 216' zu ätzen, während die Mandrell-Strukturen 208' und die dielektrische Schicht 206 im Wesentlichen unverändert bleiben. Bei einer Ausführungsform werden die Dicke und die Höhe der strukturierten Spacer-Schicht 216' mit etwa der gleichen Geschwindigkeit verringert. Bei der vorliegenden Ausführungsform wird die Dicke der strukturierten Spacer-Schicht 216' aufgrund des Oxidationsprozesses 280, der bei der Tätigkeit 107 vorgenommen wurde, mit einer höheren Geschwindigkeit verringert, als die Höhe verringert wird.
  • Bei der vorliegenden Ausführungsform wird die strukturierte Spacer-Schicht 216' so beschnitten, dass die Beabstandung W4 zwischen benachbarten Seitenwänden der strukturierten Spacer-Schicht 216' im Wesentlichen der Abmessung W2 entspricht. Bei einer Ausführungsform werden die Tätigkeiten 104 und 110 unter Verwendung einer automatischen Prozesssteuerung (APC) ausgeführt. Bei einer beispielhaften APC nach der vorliegenden Offenbarung wird die Breite W2 der Mandrell-Strukturen 208' gemessen, nachdem die Tätigkeit 104 abgeschlossen ist, und wird die Beabstandung W3 (2F) vor der Tätigkeit 110 gemessen. Dann wird ein Unterschied (diff = W2 - W3) verwendet, um Parameter des Beschneideprozesses 284 (z.B. den Ätzgasfluss, die Quellenleistung, den Druck und seine Ätzzeit) so zu steuern, dass W4 im Wesentlichen W2 entspricht, wenn der Beschneideprozess 284 abgeschlossen ist.
  • Es wird angemerkt, dass jedes der Spacer-Merkmale 216' nur an einer Seite beschnitten (geätzt) wird, die sich nicht neben den Mandrell-Strukturen 208' befindet. Die Seiten der Spacer-Merkmale 216', die sich neben jeweiligen Mandrell-Strukturen 208' befinden, werden nicht durch den Beschneideprozess 284 geätzt. Dies überwindet ein verbreitetes Problem, das bei typischen Mandrell-Spacer-Strukturierungsprozessen als „Abstandswanderung“ bekannt ist. Bei einem typischen Mandrell-Spacer-Strukturierungsprozess werden die Spacer-Merkmale beschnitten, nachdem die Mandrell-Strukturen entfernt wurden (als „zuletzt erfolgender Beschneide‟ prozess bezeichnet). Folglich werden die Spacer-Merkmale an beiden Seiten beschnitten. Wenn die Breite der Mandrell-Strukturen nicht der Beabstandung der Spacer-Merkmale entspricht, bevor die Mandrell-Strukturen entfernt werden, korrigiert ein solcher „zuletzt erfolgender Beschneide‟ prozess diese Fehlanpassung nicht und wird die endgültige Struktur zwei Strukturabstände aufweisen, einen, der der Breite der Mandrell-Strukturen entspricht, und den anderen, der der Beabstandung der Spacer-Merkmale entspricht. Dies ist als „Abstandwanderung“ bekannt. Die Abstandswanderung kann Probleme bei den endgültigen IC-Produkten verursachen. Zum Beispiel wird eine Abstandswanderung bei einem Strukturieren für Transistorgatemerkmale zu Schwankungen in der Gatelänge führen, was eine Fehlanpassung der Schaltungsleistungsfähigkeit oder andere Probleme verursacht. Im Gegensatz dazu korrigiert die Ausführungsform der vorliegenden Offenbarung diese Breiten-Beabstandungs-Fehlanpassungen vorteilhaft durch Beschneiden der Spacer-Merkmale 216', bevor die Mandrell-Strukturen 208' entfernt werden („vorab erfolgender Beschneide‟ prozess).
  • Darüber hinaus sind bei einigen Ausführungsformen der vorliegenden Offenbarung der Oxidationsprozess 280, der Ätzprozess 282 und der Beschneideprozess 284 alle trockene Prozesse. Daher können sie für eine verbesserte Produktionsleistungsfähigkeit in der gleichen Prozesskammer ausgeführt werden. Bei einer alternativen Ausführungsform können sie in gesonderten Prozesskammern des gleichen Clusterwerkzeugs ausgeführt werden und sich ein gemeinsames Ladedock des Clusterwerkzeugs teilen. Auch dies stellt gegenüber einem Prozess, der sowohl trockene als auch nasse Prozesse umfasst, eine verbesserte Produktionsleistungsfähigkeit bereit.
  • Bei der Tätigkeit 112 entfernt das Verfahren 100 (1) die Mandrell-Strukturen 208' durch einen selektiven Ätzprozess. Unter Bezugnahme auf 2H werden die Mandrell-Strukturen 208' entfernt, was zu Gräben 218 zwischen zwei einander gegenüberliegenden Spacer-Merkmalen 216' führt. Die Gräben 218 weisen eine Abmessung W5 auf, die im Wesentlichen der Abmessung W2 und entsprechend auch der Abmessung W4 entspricht. Die Spacer-Merkmale 216' bleiben über der dielektrischen Schicht 206 stehen. Bei einer Ausführungsform verwendet die Tätigkeit 112 einen Ätzprozess, der selektiv darauf abgestimmt ist, die Materialien der Mandrell-Strukturen 208', aber nicht die Materialien der Spacer-Merkmale 216' zu entfernen. Bei einer weiteren Ausführungsform ist der Ätzprozess selektiv darauf abgestimmt, die Materialien der Mandrell-Strukturen 208', aber nicht die Materialien der dielektrischen Schicht 206 zu entfernen. Der Ätzprozess kann eine Nassätzung, eine Trockenätzung, oder eine Kombination davon sein.
  • Bei einer Ausführungsform kann das Verfahren 100 ein anderes Beschneiden der Spacer-Merkmale 216' vornehmen, damit eine CD (z.B. eine Breite W6 der Spacer-Merkmale 216') einer gewünschten Abmessung für eine endgültige Struktur entspricht. Während dieses Prozesses werden die Spacer-Merkmale 216' an beiden Seiten gleichzeitig beschnitten, wodurch die Breiten W4 und W5 ungefähr gleich erweitert werden. Ein Vorteil dieser Ausführungsform ist, dass die CD der endgültigen Struktur reguliert werden kann, ohne ihren Strukturabstand zu beeinflussen, wodurch gleichzeitig eine gleichmäßige CD und ein gleichmäßiger Strukturabstand erhalten werden.
  • Bei der Tätigkeit 114 überträgt das Verfahren 100 ( 1) die Strukturen der Spacer-Merkmale 216' zu dem Substrat 202. Unter Bezugnahme auf 2I werden die dielektrischen Schichten 206 und 204 unter Verwendung der Spacer-Merkmale 216' als Ätzmaske geätzt, was zu strukturierten dielektrischen Schichten 206' und 204' führt. Die Spacer-Merkmale 216' können während des Ätzprozesses teilweise oder vollständig verbraucht werden. Unter Bezugnahme auf 2J wird das Substrat 202 unter Verwendung von zumindest den strukturierten dielektrischen Schichten 206' und 204' als Ätzmaske geätzt. Bei einer Ausführungsform wird eine Schicht aus Polysilizium als obere Schicht des Substrats 202 geätzt, um Polysiliziummerkmale 202' zu bilden. Infolge zumindest des Beschneideprozesses 284 weisen die Polysiliziummerkmale 202' im Wesentlichen eine gleichmäßige CD und einen gleichmäßigen Abstand auf. Bei einer Ausführungsform umfasst die Tätigkeit 114 eine Nassätzung, eine Trockenätzung oder eine Kombination davon.
  • Bei der Tätigkeit 116 geht das Verfahren 100 (1) zu weiteren Tätigkeiten über, um eine endgültige Struktur oder Vorrichtung zu bilden. Bei einer Ausführungsform werden die Polysiliziummerkmale 202' als Dummy-Gateelektroden für einen Gateaustauschprozess gebildet. Um diese Ausführungsform weiter zu unterstützen, kann die Tätigkeit 116 das Bilden von Gate-Spacern an Seitenwänden der Polysiliziummerkmale 202', das Bilden von Source/Drain-Merkmalen in oder auf dem Substrat 202 neben den Gate-Spacern, das Bilden einer dielektrischen Schicht zwischen den Schichten über dem Substrat 202 und den Polysiliziummerkmalen 202', und das Ersetzen der Polysiliziummerkmale 202' durch einen High-k-Metallgatestapel unter Verwendung passender Ätz- und Ablagerungstechniken umfassen.
  • Bei einer anderen Ausführungsform sind die Merkmale 202' finnenartige Merkmale zur Bildung von FinFETs. Um diese Ausführungsform weiter zu unterstützen, kann die Tätigkeit 116 das Bilden von Isolieraufbauten in den Gräben 217 und 218 durch einen Vorgang umfassen, der ein Ablagern, um die Gräben 217 und 218 mit einem dielektrischen Material zu füllen, und ein Polieren (wie etwa ein chemisch-mechanisches Planarisieren (CMP)), um überschüssiges dielektrisches Material zu entfernen und die obere Fläche des Halbleitersubstrats zu polieren, umfasst. Die Tätigkeit 116 kann ferner das Bilden epitaktischer Merkmale über den finnenartigen Merkmalen 202' und/oder andere geeignete Herstellungsprozesse umfassen.
  • Bei Ausführungsformen des Verfahrens 100 können die Tätigkeiten 107, 108 und 110 in verschiedenen Reihenfolgen durchgeführt werden, um den gleichen Zweck der Steuerung der CD und des Abstands der endgültigen Strukturen zu erreichen. Um diesen Punkt zu erläutern, zeigt 3 ein Ablaufdiagramm eines Verfahrens 130, das eine Ausführungsform des Verfahrens 100 ist. Unter Bezugnahme auf 3 führt das Verfahren 130 die Tätigkeit 110 (Beschneiden der Spacer) vor der Tätigkeit 107 (Oxidieren der Spacer) durch, die vor der Tätigkeit 108 (Ätzen der Spacer) stattfindet. Viele Gesichtspunkte des Verfahrens 130 sind jenen des Verfahrens 100 ähnlich und werden daher zum Zweck der Einfachheit weggelassen oder abgekürzt. Das Verfahren 130 wird nachstehend kurz besprochen.
  • Bei der Tätigkeit 102 lagert das Verfahren 130 (3) wie in 2A gezeigt dielektrische Schichten 204, 206 und 208 über einem Substrat 202 ab. Bei der Tätigkeit 104 bildet das Verfahren 130 (3) wie in 2B und 2C gezeigt Mandrell-Strukturen 208'. Bei der Tätigkeit 106 lagert das Verfahren 103 (3) wie in 2D eine Spacer-Schicht 216 über der dielektrischen Schicht 206, über den Mandrell-Strukturen 208', und an den Seitenwänden der Mandrell-Strukturen 208' ab.
  • Das Verfahren 130 (3) geht zu der Tätigkeit 110 über, um die Spacer-Schicht 216 zu beschneiden. Unter Bezugnahme auf 4A umfasst die Tätigkeit 110 einen Beschneideprozess 284, um eine Breite der Spacer-Schicht 216 entlang der „X“-Richtung zu verringern. Bei der vorliegenden Ausführungsform wird die Spacer-Schicht 216 beschnitten, um eine Beabstandung W3 zwischen benachbarten Seitenwänden der Spacer-Schicht 216 so zu erweitern, dass sie im Wesentlichen einer Breite W2 der Mandrell-Strukturen 208' entspricht. Dies kann wie oben in Bezug auf 2G erklärt als Teil einer APC erfolgen. Bei einer Ausführungsform ist der Beschneideprozess 284 ein isotroper Trockenätzprozess. Ferner benutzt der Beschneideprozess 284 einen passenden Ätzdruck, eine passende Quellenleistung, ein oder mehr Ätzgase und eine bestimmte Ätzzeit, um die Beabstandung W3 angemessen zu erweitern. Andere Gesichtspunkte des Beschneideprozesses 284 sind jenen, die in Bezug auf 2G erklärt wurden, ähnlich.
  • Das Verfahren 130 (3) geht zu der Tätigkeit 107 über, um die Spacer-Schicht 216 zu oxidieren, nachdem sie beschnitten wurde. Unter Bezugnahme auf 4B nimmt die Tätigkeit 107 einen Oxidationsprozess 280 an der Spacer-Schicht 216 vor. Bei einer Ausführungsform verdickt oder härtet der Oxidationsprozess 280 wie oben in Bezug auf 2E besprochen Schulterabschnitte der Spacer-Schicht 216. Dies ist erwünscht, da der Beschneideprozess 284 eine Höhe der Spacer-Schicht 216 in ihren Schulterabschnitten verringert haben kann.
  • Das Verfahren 130 (3) geht zu der Tätigkeit 108 über, um die Spacer-Schicht 216 zu ätzen, nachdem sie oxidiert wurde. Unter Bezugnahme auf 4C nimmt die Tätigkeit 108 bei einer Ausführungsform einen anisotropen Trockenätzprozess vor 282. Der Ätzprozess 282 entfernt Abschnitte der Spacer-Schicht 216 an der Oberseite der Mandrell-Strukturen 208' und an der Oberseite der dielektrischen Schicht 206, was zu einer strukturierten Spacer-Schicht 216' an den Seitenwänden der Mandrell-Strukturen 208' führt. Ein Graben 217 zwischen benachbarten Seitenwänden der strukturierten Spacer-Schicht 216' weist entlang der „X“-Richtung eine Breite W4 auf, die im Wesentlichen der Breite W2 entspricht. Andere Gesichtspunkte des Ätzprozesses 282 sind jenen, die in Bezug auf 2F besprochen wurden, ähnlich.
  • Bei der Tätigkeit 112 entfernt das Verfahren 130 (3) wie in 2H gezeigt die Mandrell-Strukturen 208'. Bei der Tätigkeit 114 ätzt das Verfahren 130 (3) wie in 2I und 2J gezeigt die dielektrischen Schichten 204 und 206 und das Substrat 202. Bei der Tätigkeit 116 bildet das Verfahren 130 (3) wie oben besprochen eine endgültige Struktur oder Vorrichtung.
  • Bei einigen Ausführungsformen des Verfahrens 100 können die Tätigkeiten 107 und 108 mehr als einen Ätz- und Oxidationsprozess umfassen. Zum Beispiel kann es sein, dass ein Ätzprozess 282 manchmal möglicherweise kein gewünschtes Profil der Spacer-Merkmale 216' wie etwa eine beinahe senkrechte Seitenwand erzeugt. In einem solchen Fall kann ein anderer Ätzprozess mit einem unterschiedlichen Ätzrezept vorgenommen werden, um die Spacer-Merkmale 216' weiter zu formen. Ferner kann vor jedem der Ätzprozesse ein Oxidationsprozess 280 mit dem gleichen oder einem unterschiedlichen Rezept vorgenommen werden, um Schulterabschnitte des Spacer-Merkmals 216' zu verdicken oder zu schützen. Um diesen Punkt zu erläutern, zeigt 5 ein Ablaufdiagramm eines Verfahrens 150, das eine Ausführungsform des Verfahrens 100 ist.
  • Unter Bezugnahme auf 5 nimmt das Verfahren 150 bei einer Ausführungsform bei der Tätigkeit 107-1 einen ersten Oxidationprozess vor, nimmt es bei der Tätigkeit 108-1 einen ersten Ätzprozess vor, nimmt es bei der Tätigkeit 107-2 einen zweiten Oxidationsprozess vor, und nimmt es bei der Tätigkeit 108-2 einen zweiten Ätzprozess vor. Bei verschiedenen Ausführungsformen kann das Verfahren 150 zwei oder Ätzprozesse und zwei oder mehr Oxidationsprozesse vornehmen. Ferner kann das Verfahren 150 die Tätigkeit 110 (Beschneiden des Spacers) vor oder nach der Reihe von Oxidations- und Ätzprozessen vornehmen. Viele Gesichtspunkte des Verfahrens 150 sind jenen des Verfahrens 100 ähnlich und werden daher zum Zweck der Einfachheit weggelassen oder abgekürzt. Das Verfahren 150 wird nachstehend kurz besprochen.
  • Bei der Tätigkeit 102 lagert das Verfahren 150 (5) wie in 2A gezeigt dielektrische Schichten 204, 206 und 208 über einem Substrat 202 ab. Bei der Tätigkeit 104 bildet das Verfahren 1501 (5) wie in 2C gezeigt Mandrell-Strukturen 208'. Bei der Tätigkeit 106 lagert das Verfahren 150 (5) wie in 2D gezeigt eine Spacer-Schicht 106 über der dielektrischen Schicht 206, über den Mandrell-Strukturen 208', und an den Seitenwänden der Mandrell-Strukturen 208' ab.
  • Das Verfahren 150 (5) geht zu der Tätigkeit 107-1 über, um die Spacer-Schicht 216 zu oxidieren. Unter Bezugnahme auf 6A nimmt die Tätigkeit 107-1 einen ersten Oxidationsprozess 280-1 an der Spacer-Schicht 216 vor. Viele Gesichtspunkte des Oxidationsprozesses 280-1 sind jenen des Oxidationsprozesses 280, der in Bezug auf 2E besprochen wurde, ähnlich.
  • Das Verfahren 150 (5 geht zu der Tätigkeit 108-1 über, um die Spacer-Schicht 216 zu ätzen. Unter Bezugnahme auf 6B nimmt die Tätigkeit 108-1 einen ersten Ätzprozess 282-1 vor, um die Spacer-Schicht 216 zu öffnen. Teile der Spacer-Schicht 216 an der Oberseite der Mandrell-Strukturen 208' und an der Oberseite der dielektrischen Schicht 206 werden geöffnet, was zu Spacer-Merkmalen 216' an den Seitenwänden der Mandrell-Strukturen 208' führt. Doch die Spacer-Merkmale 216' bei dieser Ausführungsform weisen nicht das gewünschte beinahe senkrechte Seitenwandprofil auf. Zum Beispiel bleibt an der Ecke zwischen der dielektrischen Schicht 206 und dem Spacer-Merkmal 216' ein kleiner Teil 216-2 des Spacer-Materials zurück (auch als „Spacer-Fundament“ bezeichnet).
  • Das Verfahren 150 (5) geht zu der Tätigkeit 107-2 über, um die Spacer-Merkmale 216' erneut zu oxidieren. Unter Bezugnahme auf 6C nimmt die Tätigkeit 107-2 einen zweiten Oxidationsprozess 280-2 an den Spacer-Merkmalen 216' vor. Bei einer Ausführungsform verdickt oder verstärkt der zweite Oxidationsprozess 280-2 die Spacer-Merkmale 216' entlang der „Z“-Richtung. Die Parameter des Oxidationsprozesses 280-2 können jenen des Oxidationsprozesses 280, der in Bezug auf 2E besprochen wurde, ähnlich sein.
  • Das Verfahren 150 (5) geht zu der Tätigkeit 108-2 über, um die Spacer-Merkmale 216' weiter zu ätzen. Unter Bezugnahme auf 6D nimmt die Tätigkeit 108-2 einen zweiten Ätzprozess 282-2 mit einem Rezept vor, das sich von jenem des ersten Ätzprozesses 282-1 unterscheidet. Zum Beispiel kann der Ätzprozess 282-2 einen höheren Druck, eine niedrigere Quellenleistung, eine niedrigere Vorspannung, einen geringeren oder unterschiedlichen Ätzgasfluss, oder eine Kombination davon, als der Ätzprozess 282-1 verwenden. Der Ätzprozess 282-2 erzeugt ein gewünschtes Profil in den Spacer-Merkmalen 216', einschließlich einer Beseitigung des Spacer-Fundaments 216-2 (6B).
  • Bei der Tätigkeit 110 beschneidet das Verfahren 150 ( 5) wie in 2G gezeigt die Spacer-Merkmale 216'. Bei der Tätigkeit 112 entfernt das Verfahren 150 (5) wie in 2H gezeigt die Mandrell-Strukturen 208'. Bei der Tätigkeit 114 ätzt das Verfahren 150 (5) wie in 2I und 2J gezeigt die dielektrischen Schichten 204 und 206 und das Substrat 202. Bei der Tätigkeit 116 bildet das Verfahren 150 (5) wie oben besprochen eine endgültige Struktur oder Vorrichtung.
  • Obwohl sie nicht beschränkend sein soll, bietet diese vorliegende Offenbarung viele Vorteile für die Herstellung einer IC. Zum Beispiel stellen Ausführungsformen der vorliegenden Offenbarung einen verbesserten Mandrell-Spacer-Strukturierungsprozess bereit. Ausführungsformen der vorliegenden Offenbarung offenbaren die Verwendung einer Technik einer „vorab erfolgenden Beschneidung“, um die Beabstandung zwischen benachbarten Spacer-Merkmalen zu regulieren. Dies ermöglicht ein gesondertes Abstimmen der CD und des Abstands einer endgültigen Struktur, wodurch in der endgültigen Struktur eine verbesserte CD-Gleichmäßigkeit und Abstandsgleichmäßigkeit bereitgestellt wird. Dies bietet einen leistungsfähigen und wirksamen Ansatz für die Prozessfeinabstimmung und kann leicht in einen bestehenden Herstellungsablauf aufgenommen werden.
  • Bei einem beispielhaften Gesichtspunkt richtet sich die vorliegende Offenbarung auf ein Verfahren. Das Verfahren umfasst das Bilden von Mandrell-Strukturen über einer Strukturierungsschicht über einem Substrat; und das Bilden einer Spacer-Schicht über der Strukturierungsschicht, über den Mandrell-Strukturen, und an Seitenwänden der Mandrell-Strukturen. Das Verfahren umfasst ferner das Beschneiden der Spacer-Schicht unter Verwendung einer Trockenätztechnik, damit ein Raum zwischen benachbarten Seitenwänden der Spacer-Schicht im Wesentlichen einer Abmessung der Mandrell-Strukturen entlang einer Strukturbreitenrichtung entspricht. Das Verfahren umfasst ferner das Ätzen der Spacer-Schicht, um die Mandrell-Strukturen und die Strukturierungsschicht freizulegen, was zu einer strukturierten Spacer-Schicht an den Seitenwänden der Mandrell-Strukturen führt. Nach dem Beschneiden der Spacer-Schicht und dem Ätzen der Spacer-Schicht umfasst das Verfahren ferner das Entfernen der Mandrell-Strukturen.
  • Bei einem anderen beispielhaften Gesichtspunkt richtet sich die vorliegende Offenbarung auf ein Verfahren. Das Verfahren umfasst das Bilden einer Strukturierungsschicht über einem Substrat; das Bilden von Mandrell-Strukturen über der Strukturierungsschicht; und das Bilden einer Spacer-Schicht über der Strukturierungsschicht, über den Mandrell-Strukturen, und an Seitenwänden der Mandrell-Strukturen. Das Verfahren umfasst ferner das Beschneiden der Spacer-Schicht unter Verwendung einer isotropen Trockenätztechnik, damit ein Raum zwischen benachbarten Seitenwänden der Spacer-Schicht im Wesentlichen einer Abmessung der Mandrell-Strukturen entlang einer Strukturbreitenrichtung entspricht. Das Verfahren umfasst ferner das Ätzen der Spacer-Schicht unter Verwendung einer anisotropen Trockenätztechnik, um die Mandrell-Strukturen und die Strukturierungsschicht freizulegen, was zu einer strukturierten Spacer-Schicht an den Seitenwänden der Mandrell-Strukturen führt. Das Verfahren umfasst ferner das Entfernen der Mandrell-Strukturen nach dem Beschneiden der Spacer-Schicht und das Ätzen der Spacer-Schicht. Das Verfahren umfasst ferner das Übertragen einer Struktur der strukturierten Spacer-Schicht zu der Strukturierungsschicht nach dem Entfernen der Mandrell-Strukturen.
  • Bei noch einem anderen beispielhaften Gesichtspunkt richtet sich die vorliegende Erfindung auf ein Verfahren. Das Verfahren umfasst das Ablagern einer Strukturierungsschicht über einem Substrat; das Bilden von Mandrell-Strukturen über der Strukturierungsschicht; und das Bilden einer Spacer-Schicht über der Strukturierungsschicht, über den Mandrell-Strukturen, und an Seitenwänden der Mandrell-Strukturen. Das Verfahren umfasst ferner das Vornehmen eines Oxidationsprozesses an der Spacer-Schicht; und das Ätzen der Spacer-Schicht unter Verwendung einer anisotropen Trockenätztechnik, um die Mandrell-Strukturen und die Strukturierungsschicht freizulegen, was zu einer strukturierten Spacer-Schicht an den Seitenwänden der Mandrell-Strukturen führt. Das Verfahren umfasst ferner das Beschneiden der Spacer-Schicht unter Verwendung einer isotropen Trockenätztechnik, damit ein Raum zwischen benachbarten Seitenwänden der Spacer-Schicht im Wesentlichen einer Abmessung der Mandrell-Strukturen entlang einer Strukturbreitenrichtung entspricht. Das Verfahren umfasst ferner das Entfernen der Mandrell-Strukturen nach der Vornahme des Oxidationsprozesses, des Beschneidens und des Ätzens.

Claims (15)

  1. Verfahren, umfassend: Bilden von Mandrell-Strukturen (208') über einer Strukturierungsschicht (204, 206, 208) über einem Substrat (202); und Bilden einer Spacer-Schicht (216) über der Strukturierungsschicht (204, 206, 208), über den Mandrell-Strukturen (208'), und an Seitenwänden der Mandrell-Strukturen (208'); Beschneiden (110) der Spacer-Schicht (216) unter Verwendung einer Trockenätztechnik, damit ein Raum zwischen benachbarten Seitenwänden der Spacer-Schicht (216) im Wesentlichen einer Abmessung der Mandrell-Strukturen (208') entlang einer Strukturbreitenrichtung entspricht; Ätzen (108) der Spacer-Schicht (216), um die Mandrell-Strukturen (208') und die Strukturierungsschicht (204, 206, 208) freizulegen, was zu einer strukturierten Spacer-Schicht (216) an den Seitenwänden der Mandrell-Strukturen (208') führt; und Entfernen der Mandrell-Strukturen (208') nach dem Beschneiden (110) der Spacer-Schicht (216) und dem Ätzen (108) der Spacer-Schicht (216), wobei das Beschneiden (110) der Spacer-Schicht (216) vor dem Ätzen (108) der Spacer-Schicht (216) vorgenommen wird.
  2. Verfahren nach Anspruch 1, ferner umfassend: Vornehmen eines Oxidationsprozesses (280) an der Spacer-Schicht (216) vor dem Entfernen der Mandrell-Muster.
  3. Verfahren nach Anspruch 2, wobei der Oxidationsprozess (280) vor dem Ätzen (108) der Spacer-Schicht (216) vorgenommen wird.
  4. Verfahren nach Anspruch 3, wobei das Beschneiden (110) der Spacer-Schicht (216) vor dem Oxidationsprozess (280) vorgenommen wird.
  5. Verfahren nach Anspruch 2, wobei das Ätzen (108) der Spacer-Schicht (216) und das Vornehmen des Oxidationsprozesses (280) Folgendes umfasst: Vornehmen eines ersten Oxidationsprozesses an der Spacer-Schicht (216); Vornehmen eines ersten Ätzens an der Spacer-Schicht (216), um die Mandrell-Strukturen (208') freizulegen, nach dem ersten Oxidationsprozess; Vornehmen eines zweiten Oxidationsprozesses an der Spacer-Schicht (216) nach dem ersten Ätzen; Vornehmen eines zweiten Ätzens an der Spacer-Schicht (216) nach dem zweiten Oxidationsprozess.
  6. Verfahren nach Anspruch 2, wobei die Trockenätztechnik isotrop ist.
  7. Verfahren nach Anspruch 6, wobei das Beschneiden (110) und das Vornehmen des Oxidationsprozesses (280) gemeinsam eine Dicke der Spacer-Schicht (216) entlang der Strukturbreitenrichtung verringert, während eine Höhe der Spacer-Schicht (216) entlang einer Strukturhöhenrichtung im Wesentlichen unverändert behalten wird.
  8. Verfahren nach Anspruch 6 oder 7, wobei das Ätzen (108) der Spacer-Schicht (216) eine anisotrope Trockenätztechnik benutzt.
  9. Verfahren nach Anspruch 8, wobei das Beschneiden, das Ätzen (108) und der Oxidationsprozess (280) in einem gleichen Clusterwerkzeug vorgenommen werden.
  10. Verfahren, umfassend: Bilden einer Strukturierungsschicht (204, 206, 208) über einem Substrat (202); Bilden von Mandrell-Strukturen (208') über der Strukturierungsschicht (204, 206, 208); Bilden einer Spacer-Schicht (216) über der Strukturierungsschicht (204, 206, 208), über den Mandrell-Strukturen (208`), und an Seitenwänden der Mandrell-Strukturen (208'); Beschneiden (110) der Spacer-Schicht (216) unter Verwendung einer isotropen Trockenätztechnik, damit ein Raum zwischen benachbarten Seitenwänden der Spacer-Schicht (216) im Wesentlichen einer Abmessung der Mandrell-Strukturen (208') entlang einer Strukturbreitenrichtung entspricht; Ätzen (108) der Spacer-Schicht (216) unter Verwendung einer anisotropen Trockenätztechnik, um die Mandrell-Strukturen (208') und die Strukturierungsschicht (204, 206, 208) freizulegen, was zu einer strukturierten Spacer-Schicht (216) an den Seitenwänden der Mandrell-Strukturen (208') führt; Entfernen der Mandrell-Strukturen nach dem Beschneiden (110) der Spacer-Schicht (216) und dem Ätzen (108) der Spacer-Schicht (216), wobei das Beschneiden (110) vor dem Ätzen (108) der Spacer-Schicht (216) vorgenommen wird; und Übertragen einer Struktur der strukturierten Spacer-Schicht (216) zu der Strukturierungsschicht (204, 206, 208) nach dem Entfernen der Mandrell-Strukturen (208').
  11. Verfahren nach Anspruch 10, ferner umfassend: Vornehmen eines Oxidationsprozesses (280) an der Spacer-Schicht (216) vor dem Ätzen (108) der Spacer-Schicht (216).
  12. Verfahren nach Anspruch 11, wobei das Beschneiden (110) und das Vornehmen des Oxidationsprozesses (280) gemeinsam eine Dicke der Spacer-Schicht (216) entlang der Strukturbreitenrichtung verringert, während eine Höhe der Spacer-Schicht (216) entlang einer Strukturhöhenrichtung im Wesentlichen unverändert behalten wird.
  13. Verfahren, umfassend: Ablagern einer Strukturierungsschicht (204, 206, 208) über einem Substrat (202); Bilden von Mandrell-Strukturen (208') über der Strukturierungsschicht (204, 206, 208); Bilden einer Spacer-Schicht (216) über der Strukturierungsschicht (204, 206, 208), über den Mandrell-Strukturen (208`), und an Seitenwänden der Mandrell-Strukturen (208'); Vornehmen eines Oxidationsprozesses (280) an der Spacer-Schicht (216); Ätzen (108) der Spacer-Schicht (216) unter Verwendung einer anisotropen Trockenätztechnik, um die Mandrell-Strukturen (208') und die Strukturierungsschicht (204, 206, 208) freizulegen, was zu einer strukturierten Spacer-Schicht (216) an den Seitenwänden der Mandrell-Strukturen (208') führt; Beschneiden (110) der Spacer-Schicht (216) unter Verwendung einer isotropen Trockenätztechnik, damit ein Raum zwischen benachbarten Seitenwänden der Spacer-Schicht (216) im Wesentlichen einer Abmessung der Mandrell-Strukturen (208') entlang einer Strukturbreitenrichtung entspricht; und Entfernen der Mandrell-Strukturen (208') nach dem Vornehmen des Oxidationsprozesses (280), dem Beschneiden (110), und dem Ätzen (108), wobei das Beschneiden (110) vor dem Ätzen (108) der Spacer-Schicht (216) vorgenommen wird.
  14. Verfahren nach Anspruch 13, wobei der Oxidationsprozess (280) vor dem Ätzen (108) der Spacer-Schicht (216) vorgenommen wird.
  15. Verfahren nach Anspruch 13, wobei der Oxidationsprozess (280) nach dem Beschneiden (110) der Spacer-Schicht (216) vorgenommen wird.
DE102016116444.5A 2015-12-31 2016-09-02 Verfahren zur Spacer- und Mandrell-Strukturierung Active DE102016116444B4 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562273554P 2015-12-31 2015-12-31
US62/273,554 2015-12-31
US15/096,541 US10157742B2 (en) 2015-12-31 2016-04-12 Method for mandrel and spacer patterning
US15/096,541 2016-04-12

Publications (2)

Publication Number Publication Date
DE102016116444A1 DE102016116444A1 (de) 2017-07-06
DE102016116444B4 true DE102016116444B4 (de) 2021-08-12

Family

ID=59068944

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102016116444.5A Active DE102016116444B4 (de) 2015-12-31 2016-09-02 Verfahren zur Spacer- und Mandrell-Strukturierung

Country Status (5)

Country Link
US (2) US10157742B2 (de)
KR (1) KR101893652B1 (de)
CN (1) CN106935484B (de)
DE (1) DE102016116444B4 (de)
TW (1) TWI618117B (de)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10157742B2 (en) 2015-12-31 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method for mandrel and spacer patterning
US10269576B1 (en) * 2017-11-15 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Etching and structures formed thereby
JP7334166B2 (ja) * 2018-01-30 2023-08-28 ラム リサーチ コーポレーション パターニングにおける酸化スズマンドレル
US11078570B2 (en) * 2018-06-29 2021-08-03 Lam Research Corporation Azimuthal critical dimension non-uniformity for double patterning process

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110130006A1 (en) 2004-09-01 2011-06-02 Micron Technology, Inc. Mask material conversion
US20140308761A1 (en) 2013-04-15 2014-10-16 United Microelectronics Corp. Sidewall Image Transfer Process
US20150147886A1 (en) 2013-11-25 2015-05-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method For Integrated Circuit Patterning

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS4822077B1 (de) 1970-10-07 1973-07-03
US5328810A (en) 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
US5489548A (en) 1994-08-01 1996-02-06 Texas Instruments Incorporated Method of forming high-dielectric-constant material electrodes comprising sidewall spacers
US6472283B1 (en) 1999-09-24 2002-10-29 Advanced Micro Devices, Inc. MOS transistor processing utilizing UV-nitride removable spacer and HF etch
US6656824B1 (en) * 2002-11-08 2003-12-02 International Business Machines Corporation Low resistance T-gate MOSFET device using a damascene gate process and an innovative oxide removal etch
US8257546B2 (en) * 2003-04-11 2012-09-04 Applied Materials, Inc. Method and system for monitoring an etch process
US7250114B2 (en) 2003-05-30 2007-07-31 Lam Research Corporation Methods of finishing quartz glass surfaces and components made by the methods
US7179245B2 (en) 2003-10-21 2007-02-20 Hollister Incorporated Flushable body waste collection pouch, pouch-in-pouch appliance using the same, and method relating thereto
US7394155B2 (en) 2004-11-04 2008-07-01 Taiwan Semiconductor Manufacturing Co., Ltd. Top and sidewall bridged interconnect structure and method
US7776744B2 (en) 2005-09-01 2010-08-17 Micron Technology, Inc. Pitch multiplication spacers and methods of forming the same
US7670914B2 (en) 2006-09-28 2010-03-02 Globalfoundries Inc. Methods for fabricating multiple finger transistors
US7807575B2 (en) 2006-11-29 2010-10-05 Micron Technology, Inc. Methods to reduce the critical dimension of semiconductor devices
WO2008149989A1 (ja) * 2007-06-08 2008-12-11 Tokyo Electron Limited パターニング方法
WO2009150870A1 (ja) * 2008-06-13 2009-12-17 東京エレクトロン株式会社 半導体装置の製造方法
US8003281B2 (en) 2008-08-22 2011-08-23 Taiwan Semiconductor Manufacturing Company, Ltd Hybrid multi-layer mask
KR20100058760A (ko) 2008-11-25 2010-06-04 한국전자통신연구원 광학적 한계에 구속되지 않는 반도체 소자의 형성방법 및 그 제조 장치
US7862962B2 (en) 2009-01-20 2011-01-04 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit layout design
US8043921B2 (en) 2009-03-25 2011-10-25 Texas Instruments Incorporated Nitride removal while protecting semiconductor surfaces for forming shallow junctions
JP5698923B2 (ja) 2009-06-26 2015-04-08 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. 自己整合型スペーサー多重パターニング方法
JP4733214B1 (ja) * 2010-04-02 2011-07-27 東京エレクトロン株式会社 マスクパターンの形成方法及び半導体装置の製造方法
US8252691B2 (en) * 2010-04-14 2012-08-28 Asm Genitech Korea Ltd. Method of forming semiconductor patterns
US8621406B2 (en) 2011-04-29 2013-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. System and methods for converting planar design to FinFET design
US8664679B2 (en) 2011-09-29 2014-03-04 Toshiba Techno Center Inc. Light emitting devices having light coupling layers with recessed electrodes
KR101871748B1 (ko) 2011-12-06 2018-06-28 삼성전자주식회사 반도체 소자의 패턴 형성 방법
US9263342B2 (en) * 2012-03-02 2016-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having a strained region
US8728332B2 (en) 2012-05-07 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of patterning small via pitch dimensions
US20130320451A1 (en) 2012-06-01 2013-12-05 Taiwan Semiconductor Manufacturing Company, Ltd., ("Tsmc") Semiconductor device having non-orthogonal element
US8987142B2 (en) 2013-01-09 2015-03-24 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-patterning method and device formed by the method
US8799834B1 (en) 2013-01-30 2014-08-05 Taiwan Semiconductor Manufacturing Company Limited Self-aligned multiple patterning layout design
US9054159B2 (en) 2013-03-14 2015-06-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method of patterning a feature of a semiconductor device
US9501601B2 (en) 2013-03-14 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Layout optimization of a main pattern and a cut pattern
US9153478B2 (en) 2013-03-15 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Spacer etching process for integrated circuit design
TWI531032B (zh) * 2013-11-21 2016-04-21 力晶科技股份有限公司 記憶體線路結構以及其半導體線路製程
US9257638B2 (en) * 2014-03-27 2016-02-09 Lam Research Corporation Method to etch non-volatile metal materials
US10157742B2 (en) * 2015-12-31 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method for mandrel and spacer patterning

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110130006A1 (en) 2004-09-01 2011-06-02 Micron Technology, Inc. Mask material conversion
US20140308761A1 (en) 2013-04-15 2014-10-16 United Microelectronics Corp. Sidewall Image Transfer Process
US20150147886A1 (en) 2013-11-25 2015-05-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method For Integrated Circuit Patterning

Also Published As

Publication number Publication date
KR20170080434A (ko) 2017-07-10
CN106935484A (zh) 2017-07-07
TWI618117B (zh) 2018-03-11
US10748768B2 (en) 2020-08-18
CN106935484B (zh) 2020-02-21
US20170194147A1 (en) 2017-07-06
US10157742B2 (en) 2018-12-18
DE102016116444A1 (de) 2017-07-06
KR101893652B1 (ko) 2018-08-30
US20190122888A1 (en) 2019-04-25
TW201735115A (zh) 2017-10-01

Similar Documents

Publication Publication Date Title
DE102017012299B3 (de) Von dielektrischen finnen und abstandshaltern begrenzte epitaxlale strukturelemente und verfahren zu ihrer herstellung
DE102017103419B4 (de) Halbleitervorrichtung mit getrennter source-drain-struktur und zugehöriges herstellungsverfahren
DE102015114790B4 (de) Verfahren und Struktur für eine Halbleitervorrichtung mit einer Gatespacer-Schutzschicht
DE102016116444B4 (de) Verfahren zur Spacer- und Mandrell-Strukturierung
DE102014119642B4 (de) Finfets mit einem source-/drainüberzug
DE102016119017B4 (de) Verfahren zur Halbleitervorrichtungsherstellung mit verbesserter Source-Drain-Epitaxie
DE102018115204A1 (de) Strukturierungsverfahren für halbleiter-bauelemente und daraus resultierende strukturen
DE102004060831A1 (de) Verfahren zum Herstellen eines Vertiefungskanal-Arraytransistors unter Verwendung einer Maskenschicht mit einer hohen Ätzselektivität hinsichtlich eines Siliziumsubstrats
DE102015117320A1 (de) Halbleitervorrichtung und deren herstellungsverfahren
DE102017121749A1 (de) Gitterfehlangepasste Halbleitersubstrate mit Fehlerverringerung
DE102017125781A1 (de) Verfahren zum Entfernen einer Ätzmaske
DE102019204967A1 (de) Angeschrägte Austauschgatestrukturen
DE102017127154B4 (de) Finnenstrukturierung für halbleitervorrichtungen
DE102018124725A1 (de) Verfahren zur Herstellung einer Halbleitervorrichtung mit verbesserter epitaxialer Source/Drain-Abstandsregelung
DE102013112137A1 (de) Verfahren zum Verarbeiten eines Dies
DE102007030020B4 (de) Verfahren zum Ausbilden einer Halbleiterstruktur mit einem Ausbilden von mindestens einer Seitenwandabstandshalterstruktur
DE102010040066A1 (de) Gateelektroden eines Halbleiterbauelements, die durch eine Hartmaske und Doppelbelichtung in Verbindung mit einem Größenreduzierungsabstandshalter hergestellt sind
DE102020112763A1 (de) Gateprofilsteuerung durch seitenwandschutz während der ätzung
DE102018127446B4 (de) Metallschienenleiter für nichtplanare Halbleiterbauteile und Verfahren zur Bildung derselben
DE102019119716A1 (de) Hybrid-source-drain-regionen, die basierend auf derselben finne gebildet sind und verfahren zur bildung dieser
DE102016114876B4 (de) Verfahren zur Herstellung einer Abstandshalterstruktur
DE102020105664B4 (de) Gatebildungsprozess
DE102017126106B4 (de) Von dielektrischen finnen und abstandshaltern begrenzte epitaxiale strukturelemente
EP2562790A1 (de) Verfahren zur Herstellung von Halbleiterbauelementen auf einem Substrat sowie Substrat mit Halbleiterbaulelementen
DE102016119024B4 (de) Verfahren zum Herstellen einer FinFET-Vorrichtung mit epitaktischen Elementen mit flacher Oberseite

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final