DE102004062862A1 - Transistor einer Halbleitervorrichtung und Verfahren zur Herstellung desselben - Google Patents

Transistor einer Halbleitervorrichtung und Verfahren zur Herstellung desselben Download PDF

Info

Publication number
DE102004062862A1
DE102004062862A1 DE102004062862A DE102004062862A DE102004062862A1 DE 102004062862 A1 DE102004062862 A1 DE 102004062862A1 DE 102004062862 A DE102004062862 A DE 102004062862A DE 102004062862 A DE102004062862 A DE 102004062862A DE 102004062862 A1 DE102004062862 A1 DE 102004062862A1
Authority
DE
Germany
Prior art keywords
gate electrode
spacers
drain regions
source
epitaxial
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Ceased
Application number
DE102004062862A
Other languages
English (en)
Inventor
Yong Soo Cho
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
DB HiTek Co Ltd
Original Assignee
DongbuAnam Semiconductor Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by DongbuAnam Semiconductor Inc filed Critical DongbuAnam Semiconductor Inc
Publication of DE102004062862A1 publication Critical patent/DE102004062862A1/de
Ceased legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66606Lateral single gate silicon transistors with final source and drain contacts formation strictly before final or dummy gate formation, e.g. contact first technology
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28114Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor characterised by the sectional shape, e.g. T, inverted-T
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/105Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with vertical doping variation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66553Unipolar field-effect transistors with an insulated gate, i.e. MISFET using inside spacers, permanent or not
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66628Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation recessing the gate by forming single crystalline semiconductor material at the source or drain location
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

Verfahren zur Herstellung eines Transistors einer Halbleitervorrichtung werden offenbart. Ein offenbartes Verfahren weist das Bilden einer epitaxialen Inversionsschicht auf einem Siliziumsubstrat auf; Bilden einer festen Maske auf der epitaxialen Inversionsschicht ausschließlich der festen Maske; Bilden eines Grabens durch die epitaxiale Siliziumschicht durch Entfernen der festen Maske; Bilden von Rück-Distanzstücken auf den Seitenwänden des Grabens durch Füllen des Grabens mit einer Isolierschicht und Ätzen der Isolierschicht; Bilden einer Gate-Elektrode über den Rück-Distanzstücken; Bilden von Taschenwannenbereichen und LDD-Bereichen in dem Siliziumsubstrat durch Durchführen von Ionenimplantationen; Bilden von Distanzstücken auf den Seitenwänden der Gate-Elektrode; Bilden von Source- und Drain-Bereichen in dem Siliziumsubstrat durch Durchführen einer Ionenimplantation und Bilden einer Silicidschicht jeweils auf der Gate-Elektrode und den Source- und Drain-Bereichen.

Description

  • Bereich der Erfindung
  • Die vorliegende Erfindung bezieht sich allgemein auf Halbleitervorrichtungen, und insbesondere auf einen Transistor einer Halbleitervorrichtung und auf Verfahren zur Herstellung desselben.
  • Hintergrund
  • In einem Metall-Oxid-Halbleiter(MOS)-Transistor, insbesondere einem Feldeffekttransistor in MOS-Technik (MOSFET), fließt ein elektronischer Strom durch einen Oberflächenbereich unter einer Gate-Elektrode und einem Gate-Oxid, wenn ein elektrisches Feld auf Source-(Quelle) und Drain-(Senke) Sperrschichtbereiche angewendet wird, während Gate-Ladung angewendet wurde. Der Oberflächenbereich, durch welchen der elektrische Strom fließt, ist als ein Kanal bekannt. Die Eigenschaften eines MOSFET werden durch eine Dotierungsdichte in dem Kanal bestimmt. Zudem ist es sehr wichtig, Unreinheiten in dem Kanalbereich präzise zu dotieren, da Vorrichtungseigenschaften, wie beispielsweise die Schwellenspannung eines Transistors und ein Drain-Strom, von der Dotierungsdichte abhängen.
  • Ein herkömmliches Dotieren des Kanals wird erreicht, indem Wannen-Ionenimplantation, Kanal-Ionenimplantation oder Schwellen-Ionenimplantation durchgeführt wird. Kanalstrukturen, die durch eine solche Ionenimplantation gebildet werden, beinhalten einen flachen Kanal, in welchem eine Dotierungsdichte über den gesamten Bereich des Kanals einheitlich ist, einen vergrabenen Kanal, welcher mit einer vorbestimmten Distanz von der Oberfläche eines Halbleiter-Substrats gebildet ist, und einen retrograden Kanal, welcher ein vertikal steigendes Dotierungsprofil von der Oberfläche des Kanals aufweist. Insbesondere wird der retrograde Kanal weitverbreitet für einen Hochleistungs-Mikroprozessor verwendet, der eine Kanallänge von weniger als 0,2 μm erfordert. Der retrograde Kanal für einen Hochleistungs-Mikroprozessor wird im Allgemeinen durch eine starke Ionenimplantation unter Verwendung von Indium (In), Arsen (As) oder Antimon (Sb) gebildet. Der retrograde Kanal ist geeignet für Hochleistungs-MOSFET-Vorrichtungen mit hohen stromtreibenden Eigenschaften, da eine geringe Dotierungsdichte in seiner Oberfläche die Oberflächenmobilität eines elektrischen Stroms steigert.
  • Da eine Kanallänge mit der hohen Integration einer Halbleitervorrichtung gekürzt wird, ist ein sehr dünner Kanal erforderlich. Eine herkömmliche Ionenimplantationstechnologie kann jedoch einen retrograden Kanal von weniger als 50 nm Tiefe nicht erzielen. Um dieses Problem zu lösen, wurde ein epitaxialer Kanal vorgeschlagen. Der epitaxiale Kanal hat jedoch die Verbesserung von aktuellen Ein-Aus-Eigenschaften nicht erreicht, da es schwierig ist, den Verlust und die Diffusion von Kanaldotiermitteln zu kontrollieren aufgrund eines Epitaxialschicht-Bildungsprozesses und eines späteren Wärmebehandlungs-Prozesses.
  • Das idealste Kanaldotierungsverfahren kann einen δ-dotierten Epitaxialkanal beinhalten. Gemäß den aufgelisteten Ergebnissen konnten jedoch dotierte und undotierte Epitaxialschichten nicht in einem δ-dotierten Epitaxialkanal von weniger als 30 nm Tiefe aufgrund späterer Dotierungsmittel-Diffusion hergestellt werden.
  • Um ein solches Problem zu lösen, wurde ein Verfahren zum Vorbeugen von Diffusion von Dotiermitteln in einer δ-dotierten Schicht von Lee und Lee, Laser Thermal Annealed SSR Well Prior to Epi-Channel Growth (LASPE) for 79 nm nFET, IEDM 2000 vorgeschlagen. In dem vorgeschlagenen Verfahren wird eine Kanaldotierung unter Verwendung von Ultraniedrigenergie-Ionenimplantation und einem sofortigen Laserglühen durchgeführt. Gemäß dem vorgeschlagenen Verfahren steuert das sofortige Laserglühen die Diffusion und den Verlust von Dotiermitteln während eines selektiven epitaxialen Wachstums.
  • Die Laserenergie für das Laserglühen kann jedoch ein teilweises Schmelzen auf einer Siliziumsubstratoberfläche verursachen, wodurch eine Oberflächenrauhigkeit verschlechtert wird und Kristalldefekte verursacht werden. Dementsprechend ist das Laserglüh-Verfahren in einem Herstellungsprozess für Halbleitervorrichtungen nicht anwendbar.
  • 1 ist ein Querschnitt eines herkömmlichen Transistors mit einem supersteilen retrograden (SSR) epitaxialen Kanal. Obwohl eine herkömmliche Transistorherstellungstechnologie die Tiefe eines Kanals reduziert hat, indem ein retrograder Kanal gebildet wird, wie in 1 dargestellt, wurde es nicht erreicht, die Länge des Kanals wesentlich zu reduzieren.
  • Kurze Beschreibung der Zeichnungsfiguren
  • 1 ist eine Querschnittsansicht eines herkömmlichen Transistors mit einem epitaxialen SSR-Kanal.
  • 2a bis 2e sind Querschnitte, welche einen beispielhaften Prozess zur Herstellung eines Transistors einer Halbleitervorrichtung mit einem epitaxialen SSR-Kanal und Rück-Distanzstücken darstellen, der gemäß den Lehren der vorliegenden Erfindung durchgeführt wurde.
  • Genaue Beschreibung
  • 2a bis 2e sind Querschnitte, welche einen beispielhaften Prozess zur Herstellung eines Transistors einer Halbleitervorrichtung mit einem epitaxialen SSR-Kanal und Rück-Distanzstücken darstellen.
  • Gemäß 2a wird eine epitaxiale Inversionsschicht 11 über einen Siliziumsubstrat gebildet. Die epitaxiale Inversionsschicht 11 wird als ein epitaxialer SSR-Kanal verwendet.
  • Gemäß 2b wird eine feste Maske 12 auf der epitaxialen Inversionsschicht 11 gebildet. Die feste Maske 12 bedeckt einen Bereich für Rück-Distanzstücke, die bei einem späteren Baugruppen-Prozess gebildet werden.
  • Gemäß 2c wird eine epitaxiale Siliziumschicht 14 über der epitaxialen Inversionsschicht 11 ausschließlich der festen Maske gebildet. Die feste Maske wird dann entfernt, um einen Graben (Trench) durch die epitaxiale Siliziumschicht 14 zu bilden. Der Graben wird dann mit einer Isolierschicht gefüllt. Die Isolierschicht wird dann trockengeätzt, um Rück-Distanzstücke 13 an den Seitenwänden des Grabens zu bilden. In dem dargestellten beispielhaften Prozess ist die Breite des Grabens kleiner als jene einer Gate-Elektrode, die in einem späteren Baugruppen-Prozess gebildet wird. Die Isolierschicht ist vorzugsweise eine einzelne Schicht aus Tetraethyl(ortho)silicat (TEOS) oder eine Multischicht aus TEOS-SiN-TEOS.
  • Gemäß 2d wird eine Oxidschicht und eine Polysiliziumschicht sequenziell über der Struktur aus 2c angeordnet. Ein Teil der Oxidschicht und der Polysiliziumschicht wird unter Verwendung eines Trockenätzprozesses entfernt, um ein Gate-Oxid 15 und eine Gate-Elektrode 16 zu vervollständigen. Die Gate-Elektrode 16 wird über der epitaxialen Inversionsschicht zwischen den Rück-Distanzstücken angeordnet. In dem dargestellten beispielhaften Prozess ist die Breite der Gate-Elektrode 16 geringer als jene des Grabens, aber größer als der Raum zwischen den Rück-Distanzstücken 13. Die Länge eines Kanals unter der Gate-Elektrode 16 wird als Länge des epitaxialen SSR-Kanals 11 zwischen den Rück-Distanzstücken 13 definiert. Durch Bilden der Rück-Distanzstücke 13 auf dem Bereich für die Gate- Elektrode 16 kann der dargestellte beispielhafte Prozess beträchtlich die Länge eines Kanals reduzieren im Vergleich zu einem herkömmlichen Prozess, bei welchem ein Kanal mit der gleichen Länge wie jener einer Gate-Elektrode gebildet wird. Demgemäss ist der dargestellte beispielhafte Prozess anwendbar auf einen Herstellungsprozess für einen Transistor geringer als 90 nm.
  • Als nächstes werden Taschenwannen(pocketwell)-bereiche (nicht dargestellt) und gering dotierte Drain-(LDD)-Bereiche 17 in dem Siliziumsubstrat 10 gebildet, indem ein erster Ionenimplantations-Prozess durchgeführt wird. Im Allgemeinen müssen bei einer herkömmlichen Technologie Niedrigenergieionen implantiert werden, um eine oberflächliche Sperrschicht zu bilden, um einen Kriechstrom aus dem Sperrschichtbereich zu verhindern. In dem dargestellten beispielhaften Prozess kann jedoch eine oberflächliche Sperrschicht gebildet werden, obwohl Hochenergieionen implantiert werden, da die epitaxiale Siliziumschicht 14 und die Rück-Distanzstücke 13 auf der epitaxialen Inversionsschicht 11 die Rolle einer Pufferschicht während der ersten Ionenimplantation übernehmen.
  • Gemäß 2e wird eine Isolierschicht über der Struktur aus 2d angeordnet. Ein Ätzprozess wird durchgeführt, um Gate-Distanzstücke 18 an den Seitenwänden der Gate-Elektrode 16 zu bilden. Dann wird ein zweiter Ionenimplantationsprozess unter Verwendung der Gate-Elektrode 16 und der Gate-Distanzstücke 18 als Maske durchgeführt, um tiefe Source- und Drain-Bereiche 19 in dem Siliziumsubstrat 10 zu bilden. Insbesondere können in dem dargestellten beispielhaften Prozess erhöhte Source- und Drain-Bereiche gebildet werden, da bei dem zweiten Ionenimplantationsprozess Ionen in die epitaxiale Siliziumschicht 14 auf die Source- und Drain-Bereiche 19 implantiert werden können. Des weiteren, da die epitaxiale Siliziumschicht die Rolle einer Pufferschicht während des zweiten Ionenimplantationsprozesses übernimmt, wird bei dem beschriebenen beispielhaften Prozess eine oberflächliche Sperrschicht erzielt, welche für ein Transistordesign im Nanometerbereich notwendig ist, wodurch das Problem schädlicher Kapazitanz aufgrund des Bildens der oberflächlichen Sperrschicht ausgeschlossen wird. Anschließend wird eine Silicidschicht 20 auf der Gate-Elektrode und auf den Source-/Drain-Bereichen 19 gebildet, jeweils durch Verwendung eines bekannten Baugruppenprozesses.
  • Somit ist ein MOS-Transistor, welcher eine epitaxiale Inversionsschicht als epitaxialer SSR-Kanal und erhöhte Source- und Drain-Bereiche aufweist, vollendet. Genauer wird, wie in 2e dargestellt, nachdem eine epitaxiale Inversionsschicht auf einem Halbleitersubstrat gebildet ist, ein Graben über der epitaxialen Inversionsschicht angeordnet, und Rück-Distanzstücke werden an den Seitenwänden des Grabens positioniert. Nachdem eine Gate-Elektrode über der epitaxialen Inversionsschicht zwischen den Rück-Distanzstücken angeordnet ist, werden Gate-Distanzstücke auf den Seitenwänden der Gate-Elektrode platziert. Taschenwannen-Bereiche werden unter beiden Seiten der Gate-Elektrode in dem Siliziumsubstrat gebildet, und LDD-Bereiche werden angrenzend an den oberen Teil der Taschenwannen-Bereiche und der epitaxialen Inversionsschicht über den Taschenwannen-Bereichen angeordnet. Source- und Drain-Bereiche, welche jeweils eine größere Dicke als jene der LDD-Bereiche aufweisen, werden angrenzend an die LDD-Bereiche in dem Siliziumsubstrat angeordnet. Eine Silicidschicht wird auf der Gate-Elektrode und durch die epitaxiale Siliziumschicht jeweils auf den Source- und Drain-Bereichen angeordnet.
  • Aus dem Vorgenannten wird der Durchschnittsfachmann erkennen, dass die offenbarten Verfahren zur Herstellung eines Transistors einer Halbleitervorrichtung den Herstellungsprozess vereinfachen und Produktionskosten reduzieren, da sie einen bestehenden Gate-Herstellungsprozess verwenden. Mit anderen Worten, durch Anordnen einer epitaxialen Siliziumschicht, bevor Source- und Drain-Bereiche in einem Siliziumsubstrat gebildet werden, und durch Durchführen von Ionenimplantationsvorgängen vereinfachen die offenbarten Verfahren den Herstellungsprozess im Vergleich zu einem herkömmlichen selektiven Epitaxialwachstumsprozess, welcher einen zusätzlichen Ionenimplantationsprozess erfordert.
  • Aus dem Vorgenannten wird der Durchschnittsfachmann weiter erkennen, dass durch Bilden eines epitaxialen SSR-Kanals, einer epitaxialen Siliziumschicht und von Rück-Distanzstücken die offenbarten Verfahren zur Herstellung eines Transistors einer Halbleitervorrichtung die schädliche Kapazitanz und einen Sperrschicht-Leckstrom von MOS-Transistoren im Nanometerbereich reduzieren.
  • Es wird darauf hingewiesen, dass in diesem Patent die Priorität aus der koreanischen Patentanmeldung mit der Nummer 10-2003-0102038 beansprucht wird, welche am 31. Dezember 2003 eingereicht wurde, und hier durch Bezugnahme vollständig eingeschlossen ist.
  • Obwohl bestimmte beispielhafte Verfahren, Vorrichtungen und Artikel zur Herstellung hier beschrieben wurden, ist der Schutzbereich dieses Patents nicht darauf begrenzt. Im Gegenteil deckt das Patent alle Verfahren, Vorrichtungen und Artikel des Herstellens ein, welche in den Schutzbereich der beigefügten Ansprüche fallen, entweder buchstäblich oder durch die Lehre von Gleichwertigem.

Claims (8)

  1. Transistor einer Halbleitervorrichtung, welcher aufweist: eine epitaxiale Inversionsschicht auf einem Siliziumsubstrat; – einen Graben über der epitaxialen Inversionsschicht, wobei der Graben in dem Bereich gebildet ist, auf welchem eine Gate-Elektrode gebildet wird; – Rück-Distanzstücke auf den Seitenwänden des Grabens; – eine Gate-Elektrode über der epitaxialen Inversionsschicht zwischen den Rück-Distanzstücken; – Distanzstücke auf den Seitenwänden der Gate-Elektrode; Taschenwannenbereiche unter beiden Seiten der Gate-Elektrode in dem Siliziumsubstrat sowie LDD-Bereiche, welche angrenzend an den oberen Teil der Taschenwannenbereiche und der epitaxialen Inversionsschicht über den Taschenwannenbereichen angeordnet sind; – Source- und Drain-Bereiche, welche angrenzend an die LDD-Bereiche in dem Siliziumsubstrat angeordnet sind, wobei die Source- und Drain-Bereiche eine größere Dicke aufweisen als jene der LDD-Bereiche; und – eine Silicidschicht, welche jeweils auf der Gate-Elektrode und den Source- und Drain-Bereichen angeordnet ist.
  2. Transistor einer Halbleitervorrichtung nach Anspruch 1, wobei die epitaxiale Inversionsschicht als ein epitaxialer SSR-Kanal verwendet wird.
  3. Transistor einer Halbleitervorrichtung nach Anspruch 1, wobei die Gate-Elektrode darunter einen Gate-Kanal aufweist, wobei der Gate-Kanal eine Länge hat, welche als die Länge der epitaxialen Inversionsschicht, ausgestellt zwischen den Rück-Distanzstücken, definiert ist.
  4. Transistor einer Halbleitervorrichtung nach Anspruch 1, wobei die Gate-Elektrode eine Breite aufweist, die geringer ist als die des Grabens und größer ist als die des Raums zwischen den Rück-Distanzstücken.
  5. Transistor einer Halbleitervorrichtung nach Anspruch 1, wobei die Silicidschicht auf den Source- und Drain-Bereichen erhöhte Source- und Drain-Bereiche bildet.
  6. Verfahren zur Herstellung einer Transistorvorrichtung einer Halbleitervorrichtung, welches aufweist: – Bilden einer epitaxialen Inversionsschicht auf einem Siliziumsubstrat; – Bilden einer festen Maske auf der epitaxialen Inversionsschicht; – Bilden einer epitaxialen Siliziumschicht über der epitaxialen Inversionsschicht ausschließlich der festen Maske; – Entfernen der festen Maske, um einen Graben durch die epitaxiale Siliziumschicht zu bilden; – Füllen des Grabens mit einer Isolierschicht; Bilden von Rück-Distanzstücken auf den Seitenwänden des Grabens durch Ätzen der Isolierschicht; – Bilden einer Gate-Elektrode über den Rück-Distanzstücken, wobei die Gate-Elektrode über der epitaxialen Inversionsschicht zwischen den Rück-Distanzstücken angeordnet ist; – Bilden von Taschenwannenbereichen und LDD-Bereichen in dem Siliziumsubstrat durch Durchführen von Ionenimplantationen unter Verwendung der Gate-Elektrode als eine Maske; – Bilden von Distanzstücken an den Seitenwänden der Gate-Elektrode; – Bilden von Source-/Drain-Bereichen in dem Siliziumsubstrat durch Durchführen einer Ionenimplantation unter Verwendung der Gate-Elektrode und der Distanzstücke als eine Maske; und – jeweils Bilden einer Silicidschicht auf der Gate-Elektrode und den Source- und Drain-Bereichen, wobei die Silicidschicht auf den Source- und Drain-Bereichen durch die epitaxiale Siliziumschicht auf den Source- und Drain-Bereichen gebildet ist.
  7. Verfahren nach Anspruch 6, wobei die Rück-Distanzstücke durch einen Trockenätzprozess gebildet werden, und aus einer einzelnen Schicht TEOS oder einer Multischicht aus TEOS-SiN-TEOS gebildet werden.
  8. Verfahren nach Anspruch 6, wobei die Silicidschicht auf den Source- und Drain-Bereichen erhöhte Source- und Drain-Bereiche bildet.
DE102004062862A 2003-12-31 2004-12-21 Transistor einer Halbleitervorrichtung und Verfahren zur Herstellung desselben Ceased DE102004062862A1 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR1020030102038A KR100597460B1 (ko) 2003-12-31 2003-12-31 반도체 소자의 트랜지스터 및제조방법
KR10-2003-0102038 2003-12-31

Publications (1)

Publication Number Publication Date
DE102004062862A1 true DE102004062862A1 (de) 2005-07-28

Family

ID=34698944

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102004062862A Ceased DE102004062862A1 (de) 2003-12-31 2004-12-21 Transistor einer Halbleitervorrichtung und Verfahren zur Herstellung desselben

Country Status (4)

Country Link
US (2) US7211871B2 (de)
JP (1) JP2005203770A (de)
KR (1) KR100597460B1 (de)
DE (1) DE102004062862A1 (de)

Families Citing this family (59)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100562309B1 (ko) * 2004-12-29 2006-03-22 동부아남반도체 주식회사 리버스 스페이서를 갖는 트랜지스터 및 그 제조 방법
CN100356527C (zh) * 2005-08-31 2007-12-19 北京大学 一种源漏位于绝缘层上的mos晶体管的制作方法
CN100356528C (zh) * 2005-08-31 2007-12-19 北京大学 一种源漏位于绝缘层上的mos晶体管的制作方法
KR100647457B1 (ko) * 2005-12-09 2006-11-23 한국전자통신연구원 반도체 소자 및 그 제조방법
JP2007184420A (ja) * 2006-01-06 2007-07-19 Nec Electronics Corp 半導体装置の製造方法
US8273617B2 (en) 2009-09-30 2012-09-25 Suvolta, Inc. Electronic devices and systems, and methods for making and using the same
US8421162B2 (en) 2009-09-30 2013-04-16 Suvolta, Inc. Advanced transistors with punch through suppression
US8530286B2 (en) 2010-04-12 2013-09-10 Suvolta, Inc. Low power semiconductor transistor structure and method of fabrication thereof
US8569128B2 (en) 2010-06-21 2013-10-29 Suvolta, Inc. Semiconductor structure and method of fabrication thereof with mixed metal types
US8759872B2 (en) 2010-06-22 2014-06-24 Suvolta, Inc. Transistor with threshold voltage set notch and method of fabrication thereof
US8377783B2 (en) 2010-09-30 2013-02-19 Suvolta, Inc. Method for reducing punch-through in a transistor device
US8404551B2 (en) 2010-12-03 2013-03-26 Suvolta, Inc. Source/drain extension control for advanced transistors
US8461875B1 (en) 2011-02-18 2013-06-11 Suvolta, Inc. Digital circuits having improved transistors, and methods therefor
US8525271B2 (en) 2011-03-03 2013-09-03 Suvolta, Inc. Semiconductor structure with improved channel stack and method for fabrication thereof
US8400219B2 (en) 2011-03-24 2013-03-19 Suvolta, Inc. Analog circuits having improved transistors, and methods therefor
US8748270B1 (en) 2011-03-30 2014-06-10 Suvolta, Inc. Process for manufacturing an improved analog transistor
US8999861B1 (en) 2011-05-11 2015-04-07 Suvolta, Inc. Semiconductor structure with substitutional boron and method for fabrication thereof
US8796048B1 (en) 2011-05-11 2014-08-05 Suvolta, Inc. Monitoring and measurement of thin film layers
US8811068B1 (en) 2011-05-13 2014-08-19 Suvolta, Inc. Integrated circuit devices and methods
US8569156B1 (en) 2011-05-16 2013-10-29 Suvolta, Inc. Reducing or eliminating pre-amorphization in transistor manufacture
US8735987B1 (en) 2011-06-06 2014-05-27 Suvolta, Inc. CMOS gate stack structures and processes
US8995204B2 (en) 2011-06-23 2015-03-31 Suvolta, Inc. Circuit devices and methods having adjustable transistor body bias
US8629016B1 (en) 2011-07-26 2014-01-14 Suvolta, Inc. Multiple transistor types formed in a common epitaxial layer by differential out-diffusion from a doped underlayer
KR101891373B1 (ko) 2011-08-05 2018-08-24 엠아이이 후지쯔 세미컨덕터 리미티드 핀 구조물을 갖는 반도체 디바이스 및 그 제조 방법
US8748986B1 (en) 2011-08-05 2014-06-10 Suvolta, Inc. Electronic device with controlled threshold voltage
US8614128B1 (en) 2011-08-23 2013-12-24 Suvolta, Inc. CMOS structures and processes based on selective thinning
US8645878B1 (en) 2011-08-23 2014-02-04 Suvolta, Inc. Porting a circuit design from a first semiconductor process to a second semiconductor process
US8713511B1 (en) 2011-09-16 2014-04-29 Suvolta, Inc. Tools and methods for yield-aware semiconductor manufacturing process target generation
US9236466B1 (en) 2011-10-07 2016-01-12 Mie Fujitsu Semiconductor Limited Analog circuits having improved insulated gate transistors, and methods therefor
US8895327B1 (en) 2011-12-09 2014-11-25 Suvolta, Inc. Tipless transistors, short-tip transistors, and methods and circuits therefor
US8819603B1 (en) 2011-12-15 2014-08-26 Suvolta, Inc. Memory circuits and methods of making and designing the same
US8883600B1 (en) 2011-12-22 2014-11-11 Suvolta, Inc. Transistor having reduced junction leakage and methods of forming thereof
US8599623B1 (en) 2011-12-23 2013-12-03 Suvolta, Inc. Circuits and methods for measuring circuit elements in an integrated circuit device
US8970289B1 (en) 2012-01-23 2015-03-03 Suvolta, Inc. Circuits and devices for generating bi-directional body bias voltages, and methods therefor
US8877619B1 (en) 2012-01-23 2014-11-04 Suvolta, Inc. Process for manufacture of integrated circuits with different channel doping transistor architectures and devices therefrom
US9093550B1 (en) 2012-01-31 2015-07-28 Mie Fujitsu Semiconductor Limited Integrated circuits having a plurality of high-K metal gate FETs with various combinations of channel foundation structure and gate stack structure and methods of making same
US9406567B1 (en) 2012-02-28 2016-08-02 Mie Fujitsu Semiconductor Limited Method for fabricating multiple transistor devices on a substrate with varying threshold voltages
US8863064B1 (en) 2012-03-23 2014-10-14 Suvolta, Inc. SRAM cell layout structure and devices therefrom
US9299698B2 (en) 2012-06-27 2016-03-29 Mie Fujitsu Semiconductor Limited Semiconductor structure with multiple transistors having various threshold voltages
US8637955B1 (en) 2012-08-31 2014-01-28 Suvolta, Inc. Semiconductor structure with reduced junction leakage and method of fabrication thereof
US9112057B1 (en) 2012-09-18 2015-08-18 Mie Fujitsu Semiconductor Limited Semiconductor devices with dopant migration suppression and method of fabrication thereof
US9041126B2 (en) 2012-09-21 2015-05-26 Mie Fujitsu Semiconductor Limited Deeply depleted MOS transistors having a screening layer and methods thereof
WO2014071049A2 (en) 2012-10-31 2014-05-08 Suvolta, Inc. Dram-type device with low variation transistor peripheral circuits, and related methods
US8816754B1 (en) 2012-11-02 2014-08-26 Suvolta, Inc. Body bias circuits and methods
US9093997B1 (en) 2012-11-15 2015-07-28 Mie Fujitsu Semiconductor Limited Slew based process and bias monitors and related methods
US9070477B1 (en) 2012-12-12 2015-06-30 Mie Fujitsu Semiconductor Limited Bit interleaved low voltage static random access memory (SRAM) and related methods
US9112484B1 (en) 2012-12-20 2015-08-18 Mie Fujitsu Semiconductor Limited Integrated circuit process and bias monitors and related methods
US9268885B1 (en) 2013-02-28 2016-02-23 Mie Fujitsu Semiconductor Limited Integrated circuit device methods and models with predicted device metric variations
US8994415B1 (en) 2013-03-01 2015-03-31 Suvolta, Inc. Multiple VDD clock buffer
US8988153B1 (en) 2013-03-09 2015-03-24 Suvolta, Inc. Ring oscillator with NMOS or PMOS variation insensitivity
US9299801B1 (en) 2013-03-14 2016-03-29 Mie Fujitsu Semiconductor Limited Method for fabricating a transistor device with a tuned dopant profile
US9449967B1 (en) 2013-03-15 2016-09-20 Fujitsu Semiconductor Limited Transistor array structure
US9112495B1 (en) 2013-03-15 2015-08-18 Mie Fujitsu Semiconductor Limited Integrated circuit device body bias circuits and methods
US9478571B1 (en) 2013-05-24 2016-10-25 Mie Fujitsu Semiconductor Limited Buried channel deeply depleted channel transistor
US8976575B1 (en) 2013-08-29 2015-03-10 Suvolta, Inc. SRAM performance monitor
US9710006B2 (en) 2014-07-25 2017-07-18 Mie Fujitsu Semiconductor Limited Power up body bias circuits and methods
US9319013B2 (en) 2014-08-19 2016-04-19 Mie Fujitsu Semiconductor Limited Operational amplifier input offset correction with transistor threshold voltage adjustment
US9812577B2 (en) 2014-09-05 2017-11-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and fabricating method thereof
CN113410307B (zh) * 2021-04-16 2022-10-04 深圳真茂佳半导体有限公司 场效晶体管结构及其制造方法、芯片装置

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5231038A (en) * 1989-04-04 1993-07-27 Mitsubishi Denki Kabushiki Kaisha Method of producing field effect transistor
US5166084A (en) * 1991-09-03 1992-11-24 Motorola, Inc. Process for fabricating a silicon on insulator field effect transistor
JP2856603B2 (ja) * 1992-07-09 1999-02-10 シャープ株式会社 半導体装置の製造方法
US5736435A (en) * 1995-07-03 1998-04-07 Motorola, Inc. Process for fabricating a fully self-aligned soi mosfet
JP3963023B2 (ja) * 1996-04-26 2007-08-22 ソニー株式会社 半導体集積装置の製造方法
JPH09321287A (ja) * 1996-05-28 1997-12-12 Sony Corp 半導体装置の製造方法
US5879998A (en) 1997-07-09 1999-03-09 Advanced Micro Devices, Inc. Adaptively controlled, self-aligned, short channel device and method for manufacturing same
US6127232A (en) * 1997-12-30 2000-10-03 Texas Instruments Incorporated Disposable gate/replacement gate MOSFETS for sub-0.1 micron gate length and ultra-shallow junctions
US6528847B2 (en) 1998-06-29 2003-03-04 Advanced Micro Devices, Inc. Metal oxide semiconductor device having contoured channel region and elevated source and drain regions
JP2000156502A (ja) * 1998-09-21 2000-06-06 Texas Instr Inc <Ti> 集積回路及び方法
JP2000106431A (ja) * 1998-09-28 2000-04-11 Sanyo Electric Co Ltd 半導体装置の製造方法
JP2002124665A (ja) * 2000-10-12 2002-04-26 Mitsubishi Electric Corp 半導体装置およびその製造方法
TW487976B (en) * 2001-06-05 2002-05-21 United Microelectronics Corp Method of fabricating a transistor
KR100410574B1 (ko) 2002-05-18 2003-12-18 주식회사 하이닉스반도체 데카보렌 도핑에 의한 초박형 에피채널을 갖는반도체소자의 제조 방법
KR100414736B1 (ko) * 2002-05-20 2004-01-13 주식회사 하이닉스반도체 반도체소자의 트랜지스터 형성방법
US7138320B2 (en) * 2003-10-31 2006-11-21 Advanced Micro Devices, Inc. Advanced technique for forming a transistor having raised drain and source regions

Also Published As

Publication number Publication date
JP2005203770A (ja) 2005-07-28
KR20050069702A (ko) 2005-07-05
US20070190733A1 (en) 2007-08-16
US20050139932A1 (en) 2005-06-30
KR100597460B1 (ko) 2006-07-05
US7211871B2 (en) 2007-05-01

Similar Documents

Publication Publication Date Title
DE102004062862A1 (de) Transistor einer Halbleitervorrichtung und Verfahren zur Herstellung desselben
DE3932621C2 (de) Feldgesteuerte Halbleitervorrichtung und Verfahren zu deren Herstellung
DE4212829C2 (de) Verfahren zur Herstellung von Metall-Oxid-Halbleiter-Feldeffekttransistoren
DE10214066B4 (de) Halbleiterbauelement mit retrogradem Dotierprofil in einem Kanalgebiet und Verfahren zur Herstellung desselben
DE102006062862B4 (de) Verfahren zum Herstellen von Feldeffekttransistoren mit vertikal ausgerichteten Gate-Elektroden
DE102006019835B4 (de) Transistor mit einem Kanal mit Zugverformung, der entlang einer kristallographischen Orientierung mit erhöhter Ladungsträgerbeweglichkeit orientiert ist
DE102006016550B4 (de) Feldeffekttransistoren mit vertikal ausgerichteten Gate-Elektroden und Verfahren zum Herstellen derselben
DE10255849B4 (de) Verbesserte Drain/Source-Erweiterungsstruktur eines Feldeffekttransistors mit dotierten Seitenwandabstandselementen mit hoher Permittivität und Verfahren zu deren Herstellung
EP1517361A2 (de) Verfahren zur Herstellung eines MOS-Transistors mit einer Driftregion, die einen Graben aufweist
DE10234392B4 (de) Halbleiterbauelement mit Gate-Elektrodenstruktur und Herstellungsverfahren hierfür
DE10237524A1 (de) Halbleitervorrichtung mit Isolierschichttransistor und Isolierschichtkapazität und Verfahren zu deren Herstellung
DE19535140A1 (de) Lateraler MOSFET mit hoher Stehspannung und einem Graben sowie Verfahren zu dessen Herstellung
DE10355575B4 (de) Verfahren zur Herstellung von Seitenwandabstandselementen für ein Schaltungselement durch Erhöhen einer Ätzselektivität
DE112010002352T5 (de) FinFET-Strukturen mit verspannungsinduzierenden Source/Drain-biIdenden Abstandshaltern und Verfahren zur Herstellung der FinFET-Strukturen
DE102010063781A1 (de) Unterschiedliche Schwellwertspannungseinstellung in PMOS-Transistoren durch unterschiedliche Herstellung eines Kanalhalbleitermaterials
WO2006076991A2 (de) Verfahren zum herstellen eines feldeffekttransistors, feldeffekttransistor und integrierte schaltungsanordnung
DE102008062488B4 (de) Halbleiterbauelement und Verfahren zur Herstellung des Bauelementes
DE102009047304A1 (de) Leistungssteigerung in PFET-Transistoren mit einem Metallgatestapel mit großem ε durch Verbessern des Dotierstoffeinschlusses
DE112004000745B4 (de) Aufbau und Verfahren zum Bilden eines Feldeffekttransistors mit gekerbtem Gate
DE102006019934B4 (de) Verfahren zur Ausbildung eines Feldeffekttransistors
EP1631990B1 (de) Herstellungsverfahren für einen feldeffekttransistor
DE10231966A1 (de) Feldeffekttransistor, zugehörige Verwendung und zugehöriges Herstellungsverfahren
DE19835891B4 (de) Verfahren zur Herstellung eines Transistors
DE102008045034A1 (de) Durchlassstromeinstellung für Transistoren, die im gleichen aktiven Gebiet hergestellt sind, durch lokales Vorsehen eines eingebetteten verformungsinduzierenden Halbleitermaterials in dem aktiven Gebiet
DE60209065T2 (de) Verfahren zur Herstellung einer Halbleitervorrichtung

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
8127 New person/name/address of the applicant

Owner name: DONGBU ELECTRONICS CO.,LTD., SEOUL/SOUL, KR

8131 Rejection