CN1873915A - 半导体器件的制造方法 - Google Patents

半导体器件的制造方法 Download PDF

Info

Publication number
CN1873915A
CN1873915A CNA2006100886272A CN200610088627A CN1873915A CN 1873915 A CN1873915 A CN 1873915A CN A2006100886272 A CNA2006100886272 A CN A2006100886272A CN 200610088627 A CN200610088627 A CN 200610088627A CN 1873915 A CN1873915 A CN 1873915A
Authority
CN
China
Prior art keywords
film
metal
metal oxide
cambium layer
dielectric film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2006100886272A
Other languages
English (en)
Other versions
CN100585796C (zh
Inventor
田村友子
荻田香
大力浩二
丸山纯矢
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Semiconductor Energy Laboratory Co Ltd
Original Assignee
Semiconductor Energy Laboratory Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Semiconductor Energy Laboratory Co Ltd filed Critical Semiconductor Energy Laboratory Co Ltd
Publication of CN1873915A publication Critical patent/CN1873915A/zh
Application granted granted Critical
Publication of CN100585796C publication Critical patent/CN100585796C/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1214Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs
    • H01L27/1259Multistep manufacturing methods
    • H01L27/1262Multistep manufacturing methods with a particular formation, treatment or coating of the substrate
    • H01L27/1266Multistep manufacturing methods with a particular formation, treatment or coating of the substrate the substrate on which the devices are formed not being the final device substrate, e.g. using a temporary substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/7806Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices involving the separation of the active layers from a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1214Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Thin Film Transistor (AREA)

Abstract

本发明的目的在于提供一种以低的成本制造具有高可靠性的半导体器件的方法,其中从衬底剥离具有提供于衬底上方的薄膜晶体管等的元件形成层,以便制造半导体器件。根据本发明,在衬底上方形成金属膜,在一氧化二氮的气氛下对金属膜进行等离子体处理以在金属膜的上方形成金属氧化膜,连续地形成第一绝缘膜而不暴露于空气,在第一绝缘膜的上方形成元件形成层,以及从衬底剥离元件形成层,以便制造半导体器件。

Description

半导体器件的制造方法
技术领域
本发明涉及一种半导体器件的制造方法,尤其是涉及一种用于制造其中通过利用提供在支撑衬底和元件形成层之间的剥离层从支撑衬底剥离元件形成层的半导体器件的方法。
背景技术
近年来,在需要诸如有价证券和商品管理的自动识别的任一领域中对在没有接触的情况下可以发送和接收数据的装配RFID(射频识别)的卡或装配RFID的标签的需要性一直增加。装配RFID的卡非接触地经由卡中的环形天线从外部器件读取数据和将数据写入到外部器件。装配RFID的卡相比由磁性记录方法记录数据的磁卡具有更大的存储容量和更高的安全性。因此,最近已提议了装配可应用到各种领域的RFID的卡模式。
通常,RFID由天线和IC芯片组成,其中该IC芯片由包括提供于硅晶片上方的晶体管组等的元件形成层形成。然而,近年来,希望更低的成本和更薄的类型,且利用提供于玻璃衬底等上方的元件形成层的RFID的技术发展已有进展。此外,用于减小提供于玻璃衬底上方的元件形成层的衬底部分的厚度、或者从玻璃衬底剥离元件形成层并且将它转移到另一支撑衬底的技术发展已有进展。已设计各种技术作为这些的方法。
例如,存在通过研磨或抛光使支撑衬底变薄来取得元件形成层的方法、通过化学反应等移除支撑衬底的方法、从支撑衬底剥离元件形成层的方法等。作为剥离提供于支撑衬底上方的元件形成层的方法,例如,存在提供由非晶硅(或多晶硅)形成的分离层以及通过经由衬底激光照射释放包含在非晶硅中的氢,由此产生一空间来分离支撑衬底的已知技术(参见专利文献1)。另外,存在在元件形成层和支撑衬底之间提供含硅的剥离层且通过利用含氟化卤素的气体移除剥离层以从支撑衬底分离元件形成层的技术(参见专利文献2)。如上所述,存在用于分离提供于支撑衬底上方的元件形成层的许多方法。
[专利文献1]日本专利特开No.Hei 10-125929
[专利文献2]日本专利特开No.Hei 8-254686
然而,通过研磨、抛光或溶解移除支撑衬底的方法会产生象由于物理强度如应力和振动引起的损伤以及污染一样的问题。此外,根据这些方法,很难重新使用衬底并且增加了成本。
在通过移除提供于支撑衬底和元件形成层之间的剥离层来分离提供于支撑衬底上方的元件形成层的情况下,剥离层的质量变得重要。即,用于移除剥离层所需的时间受到用于剥离层的材料和用于移除剥离层的蚀刻剂的影响。另外,在由薄膜晶体管等组成的元件形成层提供于剥离层上方的情况下,会影响晶体管的性质,且依赖于剥离层的材料或膜质量会降低半导体器件的可靠性。
发明内容
鉴于上述问题,本发明的一个目的在于提供一种用于以低成本制造具有高可靠性的半导体器件的方法。
为了解决上述问题,在本发明中使用以下手段。
本发明的半导体器件的制造方法的一个特征在于包括如下步骤:在衬底上方形成金属膜;在特定气体的气氛下对金属膜进行等离子体处理或热处理,以在金属膜的表面上形成由金属氧化物、金属氮化物或金属氧化氮化物制成的膜;在由金属氧化物、金属氮化物或金属氧化氮化物制成的膜的上方形成诸如氮化硅、氧化硅或氧化氮化硅的绝缘膜;在绝缘膜的上方形成元件形成层;在元件形成层的上方形成绝缘膜;在绝缘膜和元件形成层中形成开口;将蚀刻剂引入到开口中以移除金属膜和由金属氧化物、金属氮化物或金属氧化氮化物制成的膜;以及从衬底剥离元件形成层。要注意的是,本发明中的元件形成层至少包括薄膜晶体管(TFT)组。通过使用薄膜晶体管组可以提供各种集成电路,如CPU(中央处理器)、存储器和微处理器。另外,元件形成层可具有除薄膜晶体管外具有天线的模式。例如,由薄膜晶体管组组成的元件形成层通过利用在天线处产生的AC电压来操作,且通过调制施加到天线上的AC电压可以将数据发送到读出器/记录器。要注意的是,天线可与薄膜晶体管组一起形成,或可与薄膜晶体管分开地形成并提供以便随后电连接至薄膜晶体管。
本发明的半导体器件的制造方法的另一特征在于包括如下步骤:在衬底上方形成金属膜;在特定气体的气氛下对金属膜进行等离子体处理或热处理,以在金属膜的表面上形成由金属氧化物、金属氮化物或金属氧化氮化物制成的膜;在由金属氧化物、金属氮化物或金属氧化氮化物制成的膜的上方形成诸如氮化硅、氧化硅或氧化氮化硅的绝缘膜;在绝缘膜的上方形成元件形成层;在元件形成层的上方形成绝缘膜;在绝缘膜和元件形成层中形成开口;将蚀刻剂引入到开口中以移除金属膜和由金属氧化物、金属氮化物或金属氧化氮化物制成的膜,以留下它们的至少一部分;以及通过物理方式从衬底剥离元件形成层。要注意的是,该物理方式是一种不由化学而由物理识别的方式,其具体指的是具有可应用于动力学定律的过程的动力方式或机械方式、以及改变一些动能(机械能)的方式。即,利用物理方式的剥离是通过利用人手、从喷嘴发出的气体压力、超声波、利用楔形组件的载荷等的外部震动(应力)的剥离。
对于在金属膜表面上形成由金属氧化物、金属氮化物或金属氧化氮化物制成的层的方法,在此将进行说明利用等离子体处理形成的原理,并且相似的观念可应用于热处理。
容易得知,在由单一元素组成的单一气体气氛下或由多种气体组成的混合气体气氛下通过对金属膜表面进行等离子体处理,在邻近金属膜表面的金属元素与构成等离子体的元素发生化学反应。例如,当用单一氧气体气氛中的等离子体处理金属膜表面时形成了金属氧化物,且当用单一氮气体气氛中的等离子体处理金属膜表面时形成金属氮化物。在本发明中,用单一一氧化二氮气体气氛中、或一氧化二氮和氩等的混合气体气氛中的等离子体处理金属膜表面,因此容易得知邻近表面的金属元素与等离子体的氮元素和氧元素发生化学反应。为此,得知恰在开始等离子体处理之后,金属氧化物、金属氮化物或金属氧化氮化物开始形成于金属膜表面上方或邻近表面,以及随着等离子体处理时间的过去形成由金属氧化物、金属氮化物或金属氧化氮化物制成的层。在该状态由金属氧化物、金属氮化物或金属氧化氮化物制成的层的状态称作为第一状态。
至于第一状态,得知根据由金属氧化物、金属氮化物或金属氧化氮化物制成的层的组分、硬度、膜厚度、结合态、结晶度、取向条件、对准条件、密度等的宏观和微观值、以及根据微观性质的连续性和不连续性的信息根据条件诸如激发用于单一气体气氛中或混合气体气氛中的处理的等离子体的能量、真空度、气体供应量、处理时间、用于产生等离子体的容器的结构而改变。另外,从在第一状态的由金属氧化物、金属氮化物或金属氧化氮化物制成的层的宏观看到的内聚性(cohesion)称作为第一内聚性。此外,在第一状态的金属层与由金属氧化物、金属氮化物或金属氧化氮化物制成的层的粘附性称作为第一低界面粘附性。
在本发明中,在第一状态的由金属氧化物、金属氮化物或金属氧化氮化物制成的层的上方,相继形成诸如氮化硅膜、氧化硅膜或氧化氮化硅膜的绝缘膜。此时,得知在形成的初始阶段用于形成的构成所用气体种类的元素与由金属氧化物、金属氮化物或金属氧化氮化物制成的层发生化学反应。得知由该反应最新改变的状态不同于第一状态,以及在该状态的由金属氧化物、金属氮化物或金属氧化氮化物制成的层的状态称作为第二状态。另外,从第二状态的由金属氧化物、金属氮化物或金属氧化氮化物制成的层宏观看到的内聚性称作为第二内聚性。此外,在第二状态金属层与由金属氧化物、金属氮化物或金属氧化氮化物制成的层的粘附性称作为第二下界面粘附性,由金属氧化物、金属氮化物或金属氧化氮化物制成的层与诸如氮化硅膜、氧化硅膜或氧化氮化硅膜的绝缘膜的粘附性称作为第二上界面粘附性。
至于在由金属氧化物、金属氮化物或金属氧化氮化物制成的层的上方形成的氮化硅膜、氧化硅膜或氧化氮化硅膜的形成方法,例如,可使用利用甲硅烷气体或一氧化二氮气体的CVD(化学气相沉积)。可选地,可使用任一薄膜形成方法,如利用单独一氧化二氮气体或一氧化二氮气体和氩气体的混合气体且利用硅作为靶的溅射法等。
由于元件形成层形成在绝缘膜上方或以后处理,所以希望第二状态的结构改变,且希望物理或机械状态改变。而且,当通过物理方式最终从衬底剥离元件形成层时由金属氧化物、金属氮化物或金属氧化氮化物制成的层的状态称作为第N状态。此外,从第N状态的由金属氧化物、金属氮化物或金属氧化氮化物制成的层的宏观看到的内聚性称作为第N内聚性。此外,金属层与第N状态的由金属氧化物、金属氮化物或金属氧化氮化物制成的层的粘附性称作为第N下界面粘附性,由金属氧化物、金属氮化物或金属氧化氮化物制成的层与诸如氮化硅膜、氧化硅膜或氧化氮化硅膜的绝缘膜的粘附性称作为第N上界面粘附性。
在整个膜受到在到达第N状态之前出现的、对应于各种处理、结构改变等影响下的这些改变的能量的情况下,得知在由金属氧化物、金属氮化物或金属氧化氮化物制成的层中,从微观看到,在另一实例中,能量松弛局部地出现在组分不连续的点或相邻的点、结合态的规则性不连续的点或相邻的点,甚至在另一实例等中,出现在密度改变的点或相邻的点。其中局部松弛了来自外部的能量的现象的良好代表例是对应于外壳运动或地运动的地震。能量被松弛的点是结构上最容易改变的点(在地震的情况下,为大地构造线),且在该情况下希望由金属氧化物、金属氮化物或金属氧化氮化物制成的层对应于此。公知的是由金属氧化物、金属氮化物或金属氧化氮化物制成的层存在多个组分、结合态等,因此它们相对容易波动。
当导致剥离的物理或机械强度超过出现剥离的层的第N内聚性(物理或机械强度)或出现剥离的层的相邻层(第N下界面或第N上界面)中粘附性(物理或机械强度)时,在第N状态中出现了剥离现象。因此,当用物理方式时,如果出现剥离的层的机械强度低于导致剥离的物理或机械强度则是可接受的。
对作为在金属膜的表面上形成由金属氧化物、金属氮化物或金属氧化氮化物制成的层的方式的等离子体处理进行说明,而且还希望用于热处理。
本发明的半导体器件的制造方法的另一特征在于包括如下步骤:在衬底上方形成金属膜;在一氧化二氮的气氛下对金属膜进行等离子体处理以在金属膜的表面上形成金属氧化膜;在金属氧化膜的上方形成元件形成层;在元件形成层的上方形成绝缘膜;以及从衬底剥离元件形成层。
本发明的半导体器件的制造方法的另一特征在于包括如下步骤:在衬底上方形成金属膜;在一氧化二氮和氩的混合气体气氛下对金属膜进行等离子体处理以在金属膜的表面上形成金属氧化膜;在金属氧化膜的上方形成元件形成层;在元件形成层的上方形成绝缘膜;以及从衬底剥离元件形成层。
本发明的半导体器件的制造方法的另一特征在于包括如下步骤:在衬底上方形成金属膜;在一氧化二氮的气氛下对金属膜进行等离子体处理,以在金属膜的表面上形成金属氧化膜;在没有暴露到空气的情况下连续地在金属氧化膜上方形成第一绝缘膜;在第一绝缘膜的上方形成元件形成层;在元件形成层的上方形成第二绝缘膜;以及从衬底剥离元件形成层。
本发明的半导体器件的制造方法的另一特征在于包括如下步骤:在衬底上方形成金属膜;在一氧化二氮和氩的混合气体气氛下对金属膜进行等离子体处理,以在金属膜的表面上形成金属氧化膜;连续地在金属氧化膜上方形成第一绝缘膜而没有暴露于空气;在第一绝缘膜的上方形成元件形成层;在元件形成层的上方形成第二绝缘膜;以及从衬底剥离元件形成层。
本发明的半导体器件的制造方法的另一特征在于包括如下步骤:在衬底上方形成金属膜;在含一氧化二氮的气氛下对金属膜进行等离子体处理,以在金属膜的表面上形成金属氧化膜;在金属氧化膜的上方形成第一绝缘膜;第一绝缘膜的上方形成具有半导体膜的元件形成层;在元件形成层的上方形成第二绝缘膜;以及从衬底剥离元件形成层,其中叠置的金属氧化膜、第一绝缘膜和半导体膜连续地形成而没有暴露于空气。
本发明的半导体器件的制造方法的另一特征在于包括如下步骤:在衬底上方形成金属膜;在一氧化二氮的气氛下对金属膜进行等离子体处理,以在金属膜的表面上形成金属氧化膜;在金属氧化膜的上方形成元件形成层;在元件形成层的上方形成绝缘膜;在绝缘膜和元件形成层中形成开口;将蚀刻剂引入到开口中以移除金属膜和金属氧化膜;以及从衬底剥离元件形成层。
本发明的半导体器件的制造方法的另一特征在于包括如下步骤:在衬底上方形成金属膜;在一氧化二氮的气氛下对金属膜进行等离子体处理,以在金属膜的表面上形成金属氧化膜;在金属氧化膜的上方形成元件形成层;在元件形成层的上方形成绝缘膜;在绝缘膜和元件形成层中形成开口;将蚀刻剂引入到开口中以移除金属膜和金属氧化膜,以便留下它们的至少一部分;以及通过物理方式从衬底剥离元件形成层。
本发明的半导体器件的制造方法的另一特征在于包括如下步骤:在衬底上方形成金属膜;在一氧化二氮和氩的混合气体气氛下对金属膜进行等离子体处理,以在金属膜的表面上形成金属氧化膜;在金属氧化膜的上方形成元件形成层;在元件形成层的上方形成绝缘膜;在绝缘膜和元件形成层中形成开口;将蚀刻剂引入到开口中以移除金属膜和金属氧化膜;以及从衬底剥离元件形成层。
本发明的半导体器件的制造方法的另一特征在于包括如下步骤:在衬底上方形成金属膜;在一氧化二氮和氩的混合气体气氛下对金属膜进行等离子体处理,以在金属膜的表面上形成金属氧化膜;在金属氧化膜的上方形成元件形成层;在元件形成层的上方形成绝缘膜;在绝缘膜和元件形成层中形成开口;将蚀刻剂引入到开口中以移除金属膜和金属氧化膜,以便留下它们的至少一部分;以及通过物理方式从衬底剥离元件形成层。
本发明的半导体器件的制造方法的另一特征在于包括如下步骤:在衬底上方形成金属膜;在含一氧化二氮的气氛下对金属膜进行等离子体处理,以在金属膜的表面上形成金属氧化膜;连续地在金属氧化膜的上方形成第一绝缘膜而没有暴露于空气;在第一绝缘膜的上方形成元件形成层;在元件形成层的上方形成第二绝缘膜;在第二绝缘膜和元件形成层中形成开口;将蚀刻剂引入到开口中以移除金属膜和金属氧化膜;以及从衬底剥离元件形成层。金属氧化膜和第一绝缘膜可以连续形成而没有暴露于空气,以便可以防止污染物如颗粒被混合到金属氧化膜和第一绝缘膜之间的界面中。因此,可以防止由于颗粒或污染物的不均匀性引起的缺陷膜形成,且可以提高半导体器件的生产效率和可靠性。
元件形成层可具有含半导体膜的结构。在该情况下,可以连续地形成第一绝缘膜和形成于第一绝缘膜上方的半导体膜而不暴露于空气。由于第一绝缘膜和半导体膜可以通过等离子体CVD设备形成,所以它们可以在同一个室中形成。本发明的半导体器件的制造方法的另一特征在于包括如下步骤:在衬底上方形成金属膜;在含一氧化二氮的气氛下对金属膜进行等离子体处理,以在金属膜的表面上形成金属氧化膜;在金属氧化膜的上方形成第一绝缘膜;在第一绝缘膜的上方形成包括半导体膜的元件形成层;在元件形成层的上方形成第二绝缘膜;在第二绝缘膜和元件形成层中形成开口;将蚀刻剂引入到开口中以移除金属膜和金属氧化膜;以及从衬底剥离元件形成层,其中叠置的第一绝缘膜和半导体膜连续地形成而不暴露于空气。第一绝缘膜和半导体膜可以连续形成而不暴露于空气,以便可以防止污染物如颗粒混合到第一绝缘膜和半导体膜之间的界面中。因此,可以防止由于颗粒或污染物的不均匀性引起的缺陷膜形成,且可以提高半导体器件的生产效率和可靠性。
此外,金属氧化膜、第一绝缘膜和形成于第一绝缘膜上方的半导体膜可以连续形成而不暴露到空气。由于金属氧化膜、第一绝缘膜和半导体膜可以通过等离子体CVD设备形成,所以它们可以在同一个室中形成。根据本发明的半导体器件的另一制造方法,在衬底上方形成金属膜,在含一氧化二氮的气氛下对金属膜进行等离子体处理,以在金属膜的表面上形成金属氧化膜,在金属氧化膜的上方形成第一绝缘膜,在第一绝缘膜的上方形成包括半导体膜的元件形成层,形成第二绝缘膜以覆盖元件形成层,在第二绝缘膜和元件形成层中形成开口,通过将蚀刻剂引入到开口中来移除金属膜和金属氧化膜,从衬底剥离元件形成层,且叠置的金属氧化膜、第一绝缘膜和半导体膜连续地形成,而没有暴露到空气中。金属氧化膜、第一绝缘膜和半导体膜可以连续地形成而不暴露于空气,以便可以防止污染物如颗粒混合到金属氧化膜、第一绝缘膜和半导体膜之间的界面中。因此,可以防止由于颗粒或污染物的不均匀性引起的缺陷膜形成,且可以提高半导体器件的生产效率和可靠性。
本发明的半导体器件的制造方法的另一特征在于包括如下步骤:在衬底上方形成金属膜;在一氧化二氮的气氛下对金属膜进行等离子体处理,以在金属膜的表面上形成金属氧化膜;在金属氧化膜的上方连续地形成第一绝缘膜而不暴露于空气;在第一绝缘膜的上方形成元件形成层;在元件形成层的上方形成第二绝缘膜;在第二绝缘膜和元件形成层中形成开口;将蚀刻剂引入到开口中以移除金属膜和金属氧化膜,以便至少留下它们的一部分;以及通过物理方式从衬底剥离元件形成层。
本发明的半导体器件的制造方法的另一特征在于包括如下步骤:在衬底上方形成金属膜;在一氧化二氮和氩的混合气体的气氛下对金属膜进行等离子体处理,以在金属膜的表面上形成金属氧化膜;在金属氧化膜的上方连续地形成第一绝缘膜而不暴露于空气;在第一绝缘膜的上方形成元件形成层;在元件形成层的上方形成第二绝缘膜;在第二绝缘膜和元件形成层中形成开口;将蚀刻剂引入到开口中以移除金属膜和金属氧化膜;以及从衬底剥离元件形成层。
本发明的半导体器件的制造方法的另一特征在于包括如下步骤:在衬底上方形成金属膜;在一氧化二氮和氩的混合气体气氛下对金属膜进行等离子体处理,以在金属膜的表面上形成金属氧化膜;在金属氧化膜的上方连续地形成第一绝缘膜而不暴露于空气;在第一绝缘膜的上方形成元件形成层;在元件形成层的上方形成第二绝缘膜;在第二绝缘膜和元件形成层中形成开口;将蚀刻剂引入到开口中以移除金属膜和金属氧化膜,以便至少留下它们的一部分;以及通过物理方式从衬底剥离元件形成层。
[本发明的效果]
根据本发明,当使用半导体器件作为元件形成层时,最终可以以低的成本提供在薄柔性衬底上方提供的半导体器件。另外,根据本发明的半导体器件的制造方法,可以获得具有高可靠性的半导体器件。
附图说明
图1A至1E是示出本发明的半导体器件的制造方法的图。
图2A至2D是示出本发明的半导体器件的制造方法的图。
图3A和3B是分别示出连续的膜形成设备的图。
图4A和4B是示出本发明的半导体器件的制造方法的图。
图5A至5E是示出本发明的半导体器件的制造方法的图。
图6A至6C是示出本发明的半导体器件的制造方法的图。
图7A和7B是示出本发明的半导体器件的制造方法的图。
图8A和8B是示出本发明的半导体器件的制造方法的图。
图9A和9B是示出本发明的半导体器件的制造方法的图。
图10A和10B是示出本发明的半导体器件的制造方法的图。
图11A至11C是分别示出本发明的半导体器件的使用模式的图。
图12是示出本发明的半导体器件的使用模式的图。
图13A至13H是分别示出本发明的半导体器件的使用模式的图。
图14是示出本发明的半导体器件构造的图。
图15A至15C是示出计算结果的图。
图16A至16D是示出本发明的半导体器件的实施例的图。
图17是示出本发明的半导体器件的实施例的图。
图18是示出本发明的半导体器件的实施例的图。
图19A和19B是示出本发明的半导体器件的制造方法的图。
图20A和20B是示出本发明的半导体器件的制造方法的图。
图21A和21B是示出本发明的半导体器件的制造方法的图。
图22A至22D是示出本发明的半导体器件的制造方法的图。
图23A至23C是示出本发明的半导体器件的制造方法的图。
图24是示出实施例3的实验数据的图。
图25是示出实施例3的实验数据的图。
图26是示出实施例3的实验数据的图。
图27A至27C是示出实施例3的实验数据的图。
图28是示出实施例3的实验数据的图。
图29是示出实施例3的实验数据的图。
图30是示出实施例3的实验数据的图。
图31是示出实施例3的实验数据的图。
图32是示出实施例3的实验数据的图。
具体实施方式
在下文将参考各图描述本发明的实施例模式和实施例。然而,本发明不限于以下的描述,且本领域技术人员容易理解在不脱离本发明的精神和范围的前提下可以以各种方式改变所述模式和细节。因此,本发明不解释为限制于以下的描述。在下文描述的发明的结构中,在不同的图中共同地使用表示相同物的附图标记。
[实施例模式1]
在该实施例模式中,参考各图描述本发明的半导体器件的制造方法的一个实例。
首先,在衬底10的表面上形成金属膜11(图1A)。金属膜11可形成为单层或叠置的多层。例如,通过溅射法形成钨(W)膜。要注意的是可在形成金属膜11之前在衬底10的上方提供绝缘膜。尤其是,当出现来自衬底的污染时,优选在衬底10和金属膜11之间提供绝缘膜。
接下来,在单独一氧化二氮的气氛或一氧化二氮和另一气体的混合气体气氛下对金属膜11进行等离子体处理,以在金属膜11的表面上形成由金属氧化物、金属氮化物或金属氧化氮化物制成的膜12(图1B)。由金属氧化物、金属氮化物或金属氧化氮化物制成的膜12由与组成金属膜11的金属元素的化学反应产物形成。例如,当使用钨膜作为金属膜11时,通过进行等离子体处理在钨膜的表面上形成由氧化钨、氮化钨或氧化氮化钨制成的膜,作为由金属氧化物、金属氮化物或金属氧化氮化物制成的膜12。在该实施例模式中要注意的是,由金属膜11和由金属氧化物、金属氮化物或金属氧化氮化物制成的膜12构造的层称为剥离层19。
接下来,在由金属氧化物、金属氮化物或金属氧化氮化物制成的膜12的上方形成绝缘膜13(图1C)。绝缘膜13可形成为单层或多个膜的叠层。
接下来,在绝缘膜13的上方形成由薄膜晶体管等构成的层14(下文还称为TFT层14)。在该实施例模式中要注意的是包括绝缘膜13和TFT层14的层称为元件形成层30。然后,形成绝缘膜15作为保护膜来覆盖元件形成层30。优选形成绝缘膜15来覆盖元件形成层30的侧表面。另外,尽管在该实施例模式中绝缘膜15提供在整个表面上方来覆盖元件形成层30,但不一定需要提供在整个表面上方而可有选择性地提供。
接下来,在绝缘膜15和元件形成层30中形成开口16以暴露出剥离层19(图1E)。优选开口16提供在未提供包括在元件形成层30中的薄膜晶体管等的区域中或在衬底10的边缘中。要注意的是,开口16可以通过激光照射或研磨或切割样品的端表面形成。
接下来,将蚀刻剂引入到开口16中以选择性地移除剥离层19(图2A)。剥离层19可全部地移除或者可移除以使得留下其一部分。通过留下一部分的剥离层19,在移除剥离层之后,元件形成层30可以保留在衬底10处。另外,当留下一部分剥离层19进行处理时,可以减少蚀刻剂的消耗且可以缩短处理时间,这导致成本减少和高的效率。
接下来,在绝缘膜15的上方提供第一片材17(图2B)。第一片材17的至少一个表面具有粘性,且结合至元件形成层30。
接下来,从衬底10剥离元件形成层30(图2C)。在剥离层19部分地留在衬底10和元件形成层30之间的情况下,通过物理方式从衬底10剥离元件形成层30。在该情况下,由于使用了由上述方法提供的剥离层19,因此到进行剥离时一定程度的工艺已结束且结构已被改变,所以已降低了元件形成层30和剥离层19之间的粘接性。因此,元件形成层30甚至通过物理方式容易地从衬底10剥离。
接下来,在从衬底10剥离的元件形成层的表面上提供第二片材18(图2D)。在贴附到元件形成层30之后,通过进行热处理和压力处理中的一种或两种来提供第二片材18。通过提供第二片材,增强了元件形成层30的强度,且可以防止湿气、污染物等进入。要注意的是,为了密封,可将与第二片材相似的片材提供在与提供有元件形成层第二片材的一侧的相反侧上。在该情况下,当半导体器件制造得较薄时,优选在移除第一片材之后通过新提供片材来进行该密封。
作为上述步骤的结果,可以制造柔性半导体器件。在下文,具体地描述了在每个步骤中的材料等。
作为衬底10,可以使用玻璃衬底、石英衬底、金属衬底、具有绝缘膜形成于表面上的不锈钢衬底、具有耐受该步骤的处理温度的耐热塑料衬底等。在使用上述衬底的情况下,其面积和形状不限制于这么多;因此,例如,通过使用一个边长具有至少一米的矩形衬底,可以彻底地提高产量。该优点相比使用圆形硅衬底的情况是大大有利的。另外,由于在该实施例模式中可以重新使用剥离的衬底10,所以半导体器件可以以较低的成本制造。这样的优点是,甚至在使用成本高的石英衬底的情况下,也可以通过重复地使用石英衬底以低的成本制造半导体器件。
金属膜11形成为使用选自钨(W)、钼(Mo)、钛(Ti)、钽(Ta)、铌(Nb)、镍(Ni)、钴(Co)、锆(Zr)、锌(Zn)、钌(Ru)、铑(Rh)、铅(Pb)、锇(Os)和铱(Ir)的元素、包含上述元素作为其主要成分的合金材料或化合物材料的膜的单层或叠层。另外,这些材料可以通过使用已知的方法(溅射法或各种CVD法,如等离子体CVD法)形成。
提供在衬底10和金属膜11之间的绝缘膜可以具有诸如氧化硅(SiOx)、氮化硅(SiNx)、氮氧化硅(SiOxNy)(x>y)和氧化氮化硅(SiNxOy)(x>y)的含氧或氮的绝缘膜的单层结构或叠层结构。可以通过使用已知的方法(溅射法或各种CVD法,如等离子体CVD法)形成这些绝缘膜。
通过在一氧化二氮的气氛下对金属膜11的表面进行等离子体处理,将由金属氧化物、金属氮化物或金属氧化氮化物制成的膜12形成在金属膜11的表面上。例如,当通过溅射法将钨膜形成为金属膜11时,可以通过在一氧化二氮的气氛下对钨膜进行等离子体处理在钨膜的表面上形成氧化钨、氮化钨或氧化氮化钨。
绝缘膜13可以具有通过使用已知方法(溅射法、等离子体CVD法等)的诸如氧化硅(SiOx)、氮化硅(SiNx)、氮氧化硅(SiOxNy)(x>y)和氧化氮化硅(SiNxOy)(x>y)的含氧或氮绝缘膜的单层结构或叠层结构。例如,在绝缘膜13采用两层结构的情况下,氧化氮化硅膜和氮氧化硅膜优选分别形成为第一层和第二层。例如,在绝缘膜13采用三层结构的情况下,氮氧化硅膜、氧化氮化硅膜和氮氧化硅膜优选分别形成为第一层、第二层和第三层。可选地,氧化硅膜、氧化氮化硅膜和氮氧化硅膜优选分别形成为第一、第二和第三绝缘膜。
TFT层14至少包括薄膜晶体管(TFT)等。TFT层14可以提供有使用薄膜晶体管的任何集成电路,如CPU、存储器和微处理器。另外,TFT层14可具有包含除薄膜晶体管外的天线的模式。例如,通过利用天线处产生的AC电压来操作由薄膜晶体管组成的集成电路,且通过调制施加到天线上的AC电压可以将数据发送给读出器/记录器。要注意的是,天线可与薄膜晶体管一起形成,或可与薄膜晶体管分离地形成且随后提供以使得电连接至薄膜晶体管。
要注意的是,非晶半导体或结晶半导体可用于薄膜晶体管;然而,如果使用较高性能的薄膜晶体管,则优选利用结晶半导体形成薄膜晶体管。在该情况下,通过已知方法(溅射法、LPCVD法、等离子体CVD法等)在绝缘膜13的上方形成非晶半导体膜,且通过已知结晶法(激光结晶、使用RTA或退火炉的热结晶法、使用促使结晶的金属元素的热结晶法、其中使用促使结晶的金属元素的热结晶法与激光结晶结合的方法)结晶非晶半导体膜来形成结晶半导体膜。
另外,包括在薄膜晶体管中的半导体膜可具有任一结构;例如,可形成杂质区(包括源区、漏区和LDD区)。薄膜晶体管可以是p沟道型、n沟道型或CMOS电路。此外,可形成绝缘膜(侧壁)以使其接触提供在半导体膜上方的栅电极的侧表面,且对于栅电极、和源漏区中的一个或两个可形成由镍、钼、钴等形成的硅化物层。
绝缘膜15由含碳的膜如DLC(类金刚石碳)、含氮化硅的膜、含氧化氮化硅的膜、由树脂材料如环氧树脂或另一有机材料制成的膜等形成。要注意的是,可以通过已知的方法(溅射法、各种CVD法如等离子体CVD法、旋涂法、液滴喷射法或印刷法)形成这种膜作为绝缘膜15。
作为蚀刻剂,可使用含氟化卤素的气体或液体或卤间(interhalogen)化合物如三氟化氯气体。此外,可使用CF4、SF6、NF3、F2等。
作为第一片材17,可使用柔性膜,且其至少一个表面提供有粘性表面。例如,可以使用通过将粘合剂提供到用作诸如聚酯的基材料的基膜上获得的片材。作为粘合剂,可以使用包含丙烯酸树脂等的树脂材料或由合成橡胶材料制成的材料。
作为第二片材18,可以使用柔性膜;例如,可以使用由聚丙烯、聚酯、乙烯基、聚氟乙烯、氯乙烯等形成的膜,由纤维材料制成的纸,基材料膜(聚酯、聚酰胺、无机沉积膜、纸等)和粘性合成树脂膜(丙烯酸合成树脂、环氧树脂合成树脂等)的叠层膜等。要注意的是,通过进行热处理和压力处理将上述膜贴附到处理物体上,且以以下方式进行处理;通过热处理熔融提供在膜最外表面上的粘性层或提供在其最外层上的层(不是粘性层),且然后施加压力,由此贴附该膜。要注意的是,通过使用用于第一片材的上述材料可用第一片材17和第二片材18密封元件形成层。
以该方式,根据该实施例模式,在诸如玻璃衬底的刚性衬底上方提供元件形成层,且然后从该衬底剥离元件形成层,以便可以制造柔性半导体器件。此外,通过采用该实施例模式中所描述的方法,形成剥离层并且进行剥离,以便可以以低成本制造具有高可靠性的半导体器件。
[实施例模式2]
在该实施例模式中,参考各图描述不同于上述实施例模式的半导体器件的制造方法。
首先,在衬底10的表面上形成金属膜11(图4A)。金属膜11可形成为单层或叠置的多层。例如,通过溅射法形成钨(W)膜。要注意的是可在形成金属膜11之前在衬底10的上方提供绝缘膜。尤其是,当出现来自衬底的污染时,优选将绝缘膜提供在衬底10和金属膜11之间。
接下来,在该实施例模式中,进行使用RTA或退火炉的热处理,以在单独一氧化二氮的气氛或一氧化二氮和另一气体的混合气体气氛下氧化、氮化或氮氧化金属膜11,以便在金属膜11的表面上形成由金属氧化物、金属氮化物或金属氧化氮化物制成的膜22。这里描述的是通过RTA进行热处理的情况(图4B)。图4B示出了用于加热样品的设备,其具有室70、支座71、热源72、热绝缘体73等。作为热源72,使用诸如镍铬导线(镍铬合金导线)或铁铬导线的加热导线、或者诸如红外线灯或卤素灯的灯。
首先,在室70中的支座71的上方设置提供有金属膜11的衬底10。然后,利用热源72施加热以在单独一氧化二氮的气氛或一氧化二氮和另一气体的混合气体气氛下在金属膜11上进行热处理,以便在金属膜11的表面上形成由金属氧化物、金属氮化物或金属氧化氮化物制成的膜22。通过控制热处理的温度或时间,可以调节由金属氧化物、金属氮化物或金属氧化氮化物制成的膜22的厚度。
要注意的是图4B仅示出了一个实例,且可以采用任何的设备只要将热处理施加到形成于衬底上方的金属膜上以在表面上形成由金属氧化物、金属氮化物或金属氧化氮化物制成的膜。即,在该实施例模式中重要的是通过对形成于衬底上方的金属膜上进行热处理在金属膜的表面上形成由金属氧化物、金属氮化物或金属氧化氮化物制成的膜。另外,可一个接一个地处理该衬底,或者可同时处理多个衬底。尤其是,在一次同时处理许多衬底的情况下,可以采用批退火炉。
之后,进行与图1C至2D中所示上述实施例模式中描述的那些相似的步骤,以便可以制造半导体器件。
要注意的是,该实施例模式可以与上述实施例模式自由组合实施。即,可以通过与该实施例模式自由组合使用上述实施例中描述的材料和形成方法。
[实施例模式3]
在该实施例模式中,参考各图描述不同于上述实施例模式的半导体器件的制造方法。
首先,在单独一氧化二氮的气氛或一氧化二氮和另一气体的混合气体气氛下通过溅射法在衬底10的表面上形成由金属氧化物、金属氮化物或金属氧化氮化物制成的膜29(图5A)。例如,在单独一氧化二氮的气氛或一氧化二氮和氩的混合气体气氛下利用钨作为靶进行溅射,以在衬底10的上方形成由氧化钨(WOx)、氮化钨(WNx)或氧化氮化钨(WNxOy)制成的膜。除钨以外,利用选自钼(Mo)、钛(Ti)、钽(Ta)、铌(Nb)、镍(Ni)、钴(Co)、锆(Zr)、锌(Zn)、钌(Ru)、铑(Rh)、铅(Pb)、锇(Os)和铱(Ir)的元素、包含上述元素作为其主要成分的合金材料或化合物材料,将由金属氧化物、金属氮化物或金属氧化氮化物制成的膜29形成为膜的单层或叠层。硅(Si)可包含在上述材料中。
接下来,在由金属氧化物、金属氮化物或金属氧化氮化物制成的膜29的上方形成绝缘膜13(图5B)。绝缘膜13可形成为单层或由叠置的多层形成。
接下来,在绝缘膜13的上方形成由薄膜晶体管等构成的层14(TFT层14)。在该实施例模式中要注意的是,为了方便起见,由绝缘膜13和TFT层14构造的层称为元件形成层30。然后,绝缘膜15形成为保护膜15以覆盖元件形成层30(图5C)。优选的是形成绝缘膜15以使其覆盖元件形成层30的侧表面。另外,尽管在该实施例模式中将绝缘膜15提供在整个表面上方以覆盖元件形成层30,但未必提供在整个表面上方且可有选择性地提供。
接下来,在绝缘膜15和元件形成层30中形成开口16以暴露出由金属氧化物、金属氮化物或金属氧化氮化物制成的膜29(图5D)。优选开口16提供在未提供构成元件形成层30的薄膜晶体管等的区域中或衬底10的边缘中。要注意的是,开口16可以通过激光照射或研磨或切割样品的端表面形成。
接下来,将例如为氟化卤素如三氟化氯气体的蚀刻剂引入到开口16中,以选择性地移除由金属氧化物、金属氮化物或金属氧化氮化物制成的膜29(图5E)。由金属氧化物、金属氮化物或金属氧化氮化物制成的膜29可全部地移除或者可移除以使得留下其一部分。通过留下由金属氧化物、金属氮化物或金属氧化氮化物制成的膜29的一部分,在移除由金属氧化物、金属氮化物或金属氧化氮化物制成的膜29之后,元件形成层30可以保留在衬底10处。另外,当留下由金属氧化物、金属氮化物或金属氧化氮化物制成的膜29的一部分进行处理时,可以减少蚀刻剂的消耗且可以缩短用于该处理所需的时间,其导致成本减少和高的效率。
之后,可在元件形成层30的上方提供第一片材,以如以上实施例模式中所描述的使元件形成层30从衬底10分离。在该实施例模式中,由金属氧化物、金属氮化物或金属氧化氮化物制成的膜29用作剥离层。
要注意的是,在该实施例模式中在单独一氧化二氮的气氛或一氧化二氮和另一气体的混合气体气氛下通过溅射在衬底10的上方直接形成由金属氧化物、金属氮化物或金属氧化氮化物制成的膜29;然而,可在衬底10的上方预先形成金属膜,且然后可在该金属膜的上方提供由金属氧化物、金属氮化物或金属氧化氮化物制成的膜29。在该情况下,包含在金属膜和由金属氧化物、金属氮化物或金属氧化氮化物制成的膜中的各个金属元素可以是不同的。
要注意的是,该实施例模式可以与上述实施例模式自由组合来实施。即,在上述实施例模式中描述的材料和形成方法可以与该实施例模式自由组合使用。
[实施例模式4]
在上述实施例模式中,描述了其中顺序地形成金属膜、由金属氧化物、金属氮化物或金属氧化氮化物制成的膜、绝缘膜和包含在元件形成层中的薄膜晶体管的非晶半导体膜的实例。参考各图在该实施例模式中描述的是连续形成导电膜、绝缘膜和半导体膜的情况。
提供有多个室的设备的实例示于图3A中。要注意的是,图3A是在该实施例模式中描述的设备(连续的膜形成系统)的构成实例的顶视图。
图3A中所示的设备具有第一室111、第二室112、第三室113、第四室114、载荷锁定室(load lock chamber)110和115、以及共用室120,且每个室都有气密性。每个室都提供有抽真空泵(vacuumevacuation pump)和惰性气体引入系统。
载荷锁定室110和115是用于将样品(要处理的衬底)运载到系统中的室。第一至第四室是在衬底10的上方形成导电膜、绝缘膜或半导体膜或者进行蚀刻、等离子体处理等的室。共同地提供样品的共用室120用于载荷锁定室110和115、和第一至第四室。另外,将闸阀122至127分别提供在共用室120与载荷锁定室110和115、第一至第五室111至114之间。将机械手121提供在共用室120中,其将要处理的衬底转移给每个室。
作为具体实例,以下描述的是在第一室111中在衬底10的上方形成金属膜11、在第二室112中形成由金属氧化物、金属氮化物或金属氧化氮化物制成的膜12、在第三室113中形成绝缘膜13以及杂第四室114中形成非晶半导体膜的情况。
首先,将存储多个衬底10的盒子128转移给载荷锁定室110。在将盒子128转移到其中之后,关闭载荷锁定室110的载入门(carry-indoor)。在该状态下,打开闸阀122以从盒子128取出一个要被处理的衬底,然后由机械手121将衬底放置在共用室120中。此时在共用室120中进行衬底10的对准。
然后,关闭闸阀122且打开闸阀124以将衬底10转移到第一室111。在第一室111中进行膜形成工艺,以便在衬底10的上方形成金属膜11;例如,在第一室111中可以利用W作为靶通过等离子体CVD法或溅射法形成钨(W)膜。
接下来,在形成金属膜11之后,衬底10被机械手121取出至共用室120,且转移到第二室112。在第二室112中,在单独一氧化二氮的气氛或一氧化二氮和另一气体的混合气体气氛下对金属膜11进行等离子体处理,由此在金属膜11的表面上形成由金属氧化物、金属氮化物或金属氧化氮化物制成的膜12;例如,可以通过在第二室112中对钨膜进行等离子体处理来形成氧化钨(WOx)膜、氮化钨(WNx)膜或氧化氮化钨(WNOx)膜。
接下来,在形成由金属氧化物、金属氮化物或金属氧化氮化物制成的膜12之后,衬底10被机械手121取出至共用室120,且转移到第三室113。在第三室113中,在150至300℃下进行膜形成工艺,由此形成绝缘膜13。绝缘膜13可以形成为诸如氧化硅膜、氮化硅膜、氮氧化硅膜或氧化氮化硅膜的含氧或氮的绝缘膜的单层膜或叠层膜。例如,在第三室113中,氧化氮化硅膜可形成为第一层绝缘膜,氧化氮化硅膜可形成为第二层绝缘膜,且氮氧化硅膜可通过等离子体CVD法形成为第三层绝缘膜。要注意的是,可采用利用靶的溅射法以及等离子体CVD法。
接下来,在形成绝缘膜13之后,衬底10被机械手121取出至共用室120,且转移到第四室114。在第四室114中,在150至300℃下进行膜形成工艺,且通过等离子体CVD法形成非晶半导体膜。要注意的是,可使用微晶半导体膜、非晶锗膜、非晶硅锗膜或这些膜的叠层等作为非晶半导体膜。此外,可通过将用于形成非晶半导体膜的的温度设定在350至500℃来忽略减小氢浓度的热处理。要注意的是,尽管这里描述了利用用于膜形成的等离子体CVD法的情况,但还可采用利用靶的溅射法。
在以该方式形成非晶半导体膜之后,衬底10被机械手121转移给载荷锁定室115并存储在盒子129中。
要注意的是图3A仅示出了一个实例。例如,可增加室的数量以便在形成非晶半导体膜之后连续地形成导电膜或绝缘膜。此外,可如实施例模式2中所述通过在第二室112中进行热处理形成由金属氧化物、金属氮化物或金属氧化氮化物制成的膜22。此外,可如实施例模式3所述在第一室111中在单独一氧化二氮的气氛或一氧化二氮和另一气体的混合气体气氛下,通过溅射在衬底10的上方形成由金属氧化物、金属氮化物或金属氧化氮化物制成的膜31。即,本发明可以通过利用上述实施例模式中描述的步骤和材料以及与图3A中所示的设备自由组合来实施。另外,尽管在图3A中示出了对于第一至第四室111至114采用单个类型室的情况,但可采用通过使用批量室一次同时处理多个衬底的构成。
接下来,参考图3B描述不同于图3A中所示的构成。具体地,在图3A中示出了利用多个室连续地叠置多个膜的情况,而在图3B中示出了当保持真空时在一个室内连续地形成多个膜的情况。
图3B中所示的设备具有载荷锁定室144和146、室145和共用室150。每个室都提供有抽真空泵和惰性气体引入系统。共同地提供样品的共用室150用于载荷锁定室144和146、室145。另外,闸阀147至149分别提供在共用室150和载荷锁定室144和146、室145之间。机械手151提供在共用室150中,其将要处理的衬底转移给每个室。
在下文,作为具体实例,描述了在衬底10的上方形成金属膜11、由金属氧化物、金属氮化物或金属氧化氮化物制成的膜12、绝缘膜13和非晶半导体膜的情况。
首先,将存储多个衬底10的盒子142转移到载荷锁定室144。在将盒子142转移到其中之后,关闭载荷锁定室的载入门。在该状态下,打开闸阀147以从盒子142取出要处理的一个衬底,且然后由机械手151将衬底放置在共用室150中。此时在共用室150中进行衬底10的对准。
接下来,关闭闸阀147,且打开闸阀149以由机械手151将衬底10转移给室145。室145提供有多个靶,且顺序地改变反应气体以便可以在衬底10的上方连续地叠置金属膜11、由金属氧化物、金属氮化物或金属氧化氮化物制成的膜12、绝缘膜13和非晶半导体膜。
之后,由机械手151将衬底10转移给载荷锁定室146并且存储在盒子143中。
要注意的是图3B仅示出了一个实例。例如,可在形成非晶半导体膜之后连续地形成导电膜或绝缘膜。此外,如实施例模式2中所描述的,可通过进行热处理形成由金属氧化物、金属氮化物或金属氧化氮化物制成的膜22。此外,如实施例模式3所述的,可在单独一氧化二氮的气氛或一氧化二氮和另一气体的混合气体气氛下通过溅射在衬底10的上方形成由金属氧化物、金属氮化物或金属氧化氮化物制成的膜31。即,本发明可以通过利用上述实施例模式中描述的步骤和材料以及与图3B中所示的设备自由组合来实施。另外,尽管在图3B中示出了对于室145采用单个类型室的实例,但可采用通过使用批量室同时处理多个衬底的构成。
通过采用图3B中所示的设备,在同一室内连续地形成各膜,由此可以防止在转移衬底期间的污染。
通过采用该实施例模式中描述的设备,在没有暴露到空气的情况下可以连续地形成导电膜、绝缘膜和半导体膜。因此,可以防止污染被混合且可以提高制造效率。
[实施例模式5]
在该实施例模式中,参考各图描述包括薄膜晶体管、存储元件和天线的本发明的半导体器件的制造方法。
首先,在衬底701的一个表面上方形成剥离层702(图6A)。作为衬底701,可使用玻璃衬底、石英衬底、金属衬底、具有绝缘膜形成于其一个表面上方的不锈钢衬底、具有耐受该步骤的处理温度的耐热塑料衬底等。在上述衬底的情况下,没有特别地限制其面积和形状;因此,例如,通过利用具有边长至少一米的矩形衬底,可以彻底地提高产量。该优点相比使用圆形硅衬底的情况是大大有利的。要注意的是,在该步骤中在衬底701的整个表面上方形成剥离层702;然而,如在衬底701的整个表面上方形成剥离层之后通过光刻法处理所需要的可选择性地提供剥离层702。要注意的是,形成剥离层702以接触衬底701;然而,如所需可形成绝缘膜作为基膜以接触衬底701,且可形成剥离层702接触绝缘膜。
剥离层702由金属膜和由金属氧化物、金属氮化物或金属氧化氮化物制成的膜形成。通过已知方法(溅射法、等离子体CVD法等,该金属膜形成为由选自钨(W)、钼(Mo)、钛(Ti)、钽(Ta)、铌(Nb)、镍(Ni)、钴(Co)、锆(Zr)、锌(Zn)、钌(Ru)、铑(Rh)、铅(Pb)、锇(Os)和铱(Ir)的元素、包含上述元素作为其主要成分的合金材料或化合物材料形成的层的的单层或叠层。通过在一氧化二氮的气氛下对金属膜进行等离子体处理或者在一氧化二氮的气氛下对金属膜进行热处理,在金属膜的表面上形成由金属氧化物、金属氮化物或金属氧化氮化物制成的膜。
在金属膜采用单层结构的情况下,例如形成钨层、钼层或含钨和钼的混合物的层。然后,在金属膜的表面上形成含钨的氧化物、氮化物或氧化氮化物的层、含钼的氧化物、氮化物或氧化氮化物的层、或含钨和钼混合物的氧化物、氮化物或氧化氮化物的层。要注意的是,钨和钼的混合物例如对应于钨和钼的合金。
可选地,在衬底701的上方形成金属膜之后,作为剥离层702,可在一氧化二氮的气氛下利用上述材料的金属膜作为靶通过溅射法形成由金属氧化物、金属氮化物或金属氧化氮化物制成的膜。在该情况下,还可利用彼此不同的金属元素形成金属膜和由金属氧化物、金属氮化物或金属氧化氮化物制成的膜。要注意的是,可在衬底701的上方直接形成由金属氧化物、金属氮化物或金属氧化氮化物制成的膜且用作剥离层702。
接下来,形成基绝缘膜703以覆盖剥离层702。作为绝缘膜703,通过已知的方法(溅射法或等离子体CVD法)形成含硅的氧化物或硅的氮化物的膜的单层或叠层。例如,在基绝缘膜采用两层结构的情况下,氧化氮化硅膜可形成为第一层,且氮氧化硅膜可形成为第二层。在基绝缘膜采用三层结构的情况下,氧化硅膜、氧化氮化硅膜和氮氧化硅膜可分别形成为第一绝缘膜、第二绝缘膜和第三绝缘膜。可选地,氮氧化硅膜、氧化氮化硅膜和氮氧化硅膜可分别形成为第一绝缘膜、第二绝缘膜和第三绝缘膜。该基绝缘膜用作防止来自衬底的杂质进入的阻挡膜。
接下来,在绝缘膜703的上方形成非晶半导体膜704(例如含非晶硅的膜)。通过已知的方法(溅射法、LPCVD法、等离子体CVD法等)形成25至200nm(优选30至150nm)厚的非晶半导体膜704。然后,通过已知的结晶法(激光结晶法、利用RTA或退火炉的热结晶法、利用促使结晶的金属元素的热结晶法、其中利用促使结晶的金属元素的热结晶法与激光结晶法结合的方法等)结晶非晶半导体膜704以形成结晶半导体膜。之后,将得到的结晶半导体膜处理成所希望的形状,由此形成结晶半导体膜706至710(图6B)。要注意的是,可以如图3A和3B所示连续地形成剥离层702、绝缘膜703和非晶半导体膜704。
在下文,简要地描述了结晶半导体膜706至710的制造步骤的实例。首先,通过等离子体CVD法形成66nm厚的非晶半导体膜。接下来,将包含促使结晶的金属元素的镍的溶液施加到非晶半导体膜上,且对非晶半导体膜进行脱氢处理(在500℃,一个小时)和热结晶处理(在550℃,四个小时),由此形成结晶半导体膜。之后,如所需要的用激光照射结晶半导体膜,且进行利用光刻法的加工处理以形成结晶半导体膜706至710。
在采用激光照射法用于形成结晶半导体膜的情况下,使用连续波或脉冲气体激光器或固态激光器。作为气体激光器,使用受激准分子激光器、YAG激光器、YVO4激光器、YLF激光器、YAlO3激光器、玻璃激光器、红宝石激光器、Ti:蓝宝石激光器等。作为固态激光器,使用利用诸如掺杂有Cr、Nd、Er、Ho、Ce、Co、Ti或Tm的YAG、YVO4、YLF或YAlO3的晶体的激光器。尤其是,通过用连续波激光器的基波照射或基波的二次至四次谐波的激光照射,可以获得大的晶粒。例如,可以使用Nd:YVO4激光器(1064nm的基波)的二次谐波(532nm)或三次谐波(355nm)。要注意的是,可照射基波的连续波激光和谐波的连续波激光,或可照射基波的连续波激光和谐波的脉冲激光。通过用多种激光照射,可以补偿能量。另外,如果脉冲激光器振荡具有重复频率的激光束用于照射下一个脉冲激光直至被在前激光熔融了的半导体膜凝固,则可以获得在扫描方向上连续生长的晶粒。即,设置具有下限重复频率的脉冲激光器以使脉冲重复周期比用于完全凝固已被熔融的半导体膜的周期短。作为这种激光器,可使用具有重复频率为10MHz或更多的脉冲激光。
另外,当通过利用促使结晶的金属元素进行非晶半导体膜的结晶时,其优点在于可以在低温下以短时间进行结晶,且结晶方向变得一致。另一方面,存在因为由于留在结晶半导体膜中的金属元素引起截止电流增加而使性质不稳定的问题。因此,优选的是在结晶半导体膜上方形成用作吸杂位置的非晶半导体膜。为了形成吸杂位置,需要非晶半导体膜含有诸如磷或氩的杂质元素,因此,其优选通过溅射法形成,通过该溅射法可以包含高浓度的氩。之后,进行热处理(RTA法、利用退火炉的热退火等)以使金属元素扩散到非晶半导体膜中,并移除含金属元素的非晶半导体膜。以该方式,可以减小或移除结晶半导体膜中金属元素的含量。
接下来,形成栅绝缘膜705以覆盖结晶半导体膜706至710。作为栅绝缘膜705,通过已知的方法(等离子体CVD法或溅射法)形成包含硅的氧化物或硅的氮化物的膜的单层或叠层。具体地,包含氧化硅的膜、包含氮氧化硅的膜、或包含氧化氮化硅的膜形成为单层或叠层。
可选地,在形成衬底、绝缘膜、半导体膜、栅绝缘膜、层间绝缘膜、包括在半导体器件中的另一绝缘膜等中的每一个后,可利用等离子体处理分别氧化或氮化衬底、绝缘膜、半导体膜、栅绝缘膜和层间绝缘膜中每一个的表面。当通过利用等离子体处理氧化或氮化半导体膜或绝缘膜时,改良了半导体膜或绝缘膜的表面,且可以获得比由CVD或溅射法形成的绝缘膜致密的绝缘膜。因此,可以提高半导体器件的特性等,抑制了诸如针孔的缺陷。此外,可以对导电膜如栅电极膜进行上述的等离子体处理,可以通过进行氮化或氧化形成源极布线或漏极布线、以及氮化膜或氧化膜。
在该实施例模式中,在形成栅绝缘膜705后,进行等离子体处理以氧化或氮化栅绝缘膜705。通过等离子体处理,在栅绝缘膜705的上方形成氧化膜或氮化膜,尽管未示于图中。在氧化硅(SiOx)或氮氧化硅(SiOxNy)(x>y)用于栅绝缘膜705的情况下,通过在氧气氛下进行等离子体处理以氧化栅绝缘膜705,可以在栅绝缘膜的表面上形成相比用CVD法、溅射法等形成的栅绝缘膜具有更少缺陷诸如针孔的致密膜。另一方面,在氮气氛下进行等离子体处理以氮化栅绝缘膜705的情况下,可以在栅绝缘膜705的上方提供氧化氮化硅(SiNxOy)(x>y)作为绝缘膜。可选地,栅绝缘膜705可先通过在氧气氛下进行等离子体处理来氧化,然后通过在氮气氛下进行等离子体处理来氮化。
在通过等离子体处理来氧化膜的情况下,在氧气氛(氧(O2)和稀有气体(包括He、Ne、Ar、Kr和Xe中的至少一种)的气氛;氧、氢(H2)和稀有气体的气氛;或一氧化二氮和稀有气体的气氛)中进行等离子体处理。另一方面,在通过等离子体处理来氮化膜的情况下,在氮的气氛(氮(N2)和稀有气体(包括He、Ne、Ar、Kr和Xe中的至少一种)的气氛;氮、氢和稀有气体的气氛;或NH3和稀有气体的气氛)中进行等离子体处理。例如,作为稀有气体可以使用Ar。可选地,可以使用混合Ar和Kr的气体。因此,通过等离子体处理形成的绝缘膜包括用于等离子体处理的稀有气体(包括He、Ne、Ar、Kr和Xe中的至少一种),且在使用的Ar的情况下绝缘膜包括Ar。
在具有1×1011cm-3或更大的电子密度和1.5eV或更小等离子体电子温度的上述气体气氛中进行等离子体处理。更具体地,以1×1011cm-3至1×1013cm-3范围内的电子密度和0.5eV至1.5eV范围内的等离子体电子温度进行等离子体处理。由于等离子体电子密度高且形成在衬底上方的将要被处理的物质(在此为栅绝缘膜705)周围的电子温度低,所以能防止由等离子体对将要被处理的衬底的损害。另外,由于等离子体电子密度高至1×1011cm-3或更大,因此通过使用等离子体处理氧化或氮化将被处理的物质形成的氧化膜或氮化膜具有较好的厚度一致性等,且比通过CVD法、溅射法等形成的膜致密。此外,由于等离子体电子温度低至1.5eV或更低,因此可以在比常规等离子体处理或热氧化法低的温度下进行氧化或氮化处理。例如,即使在比玻璃衬底的畸变点低100℃或更多的温度下进行等离子体处理时,也可以充分地进行氧化或氮化处理。至于产生等离子体的频率,可以使用高频波如微波(2.45GHz)。要注意的是,如果下文中没有另外指明,则上述条件用于等离子体处理。
如上所述,通过在形成栅电极膜之前进行等离子体处理,即使在栅绝缘膜的涂层缺陷发生在半导体膜的边缘处时,也可以氧化或氮化由于涂层缺陷暴露的半导体膜。因此,可以防止由于在半导体膜边缘的栅绝缘膜的涂层缺陷造成的栅电极膜和半导体膜的短路等。
接下来,在栅绝缘膜705的上方叠置第一导电膜和第二导电膜。用已知的方法(等离子体CVD法或溅射法)形成20至100nm厚的第一导电膜。用已知的方法形成100至400nm厚的第二导电膜。通过利用选自钽(Ta)、钨(W)、钛(Ti)、钼(Mo)、铝(Al)、铜(Cu)、铬(Cr)、铌(Nb)等中的元素、含上述元素作为其主要成分的合金材料或化合物材料形成第一导电膜和第二导电膜。可选地,可使用由掺杂有杂质元素如磷的多晶硅代表的半导体材料。例如,作为第一导电膜和第二导电膜的组合,可以使用氮化钽(TaN)膜和钨(W)膜、氮化钨(WN)膜和钨膜、氮化钼(MoN)膜和钼(Mo)膜等。由于钨和氮化钽(TaN)具有高的耐热性,可以在形成第一导电膜和第二导电膜之后进行用于热激活的热处理。可选地,在采用三层结构代替两层结构的情况下,可采用钼膜、铝膜和钼膜的叠层结构。
接下来,通过光刻法形成抗蚀剂掩模,并进行用于形成栅电极和栅极线的蚀刻处理,由此形成每个用作栅电极的导电膜(还称作为栅电极)716至725。
接下来,通过光刻法形成抗蚀剂掩模。然后,通过离子掺杂法或离子注入法以低的浓度将赋予n型导电性的杂质元素添加到结晶半导体膜706、和708至710中,以形成n型杂质区711和713至715、以及沟道形成区780和782至784。可使用属于周期表15族的元素作为赋予n型导电性的杂质元素,且例如,使用磷(P)或砷(As)。
接下来,通过光刻法形成抗蚀剂掩模。然后,将赋予p型导电性的杂质元素添加到结晶半导体膜707中,以形成p型杂质区712和沟道形成区781。例如,使用硼(B)作为赋予p型导电性的杂质元素。
接下来,形成绝缘膜以使其覆盖栅绝缘膜705和导电膜716至725。作为绝缘膜,通过已知的方法(等离子体CVD法或溅射法)形成含无机材料诸如硅、硅的氧化物或硅的氮化物的膜、或者含有机材料诸如有机树脂的膜的单层或叠层。接下来,通过主要在垂直方向上的各向异性蚀刻选择性地蚀刻绝缘膜,由此形成与导电膜716至725的侧表面接触的绝缘膜(也称为侧壁)739至743(图6C)。与绝缘膜739至743的形成同时,通过蚀刻栅绝缘膜705形成绝缘膜734至738。当随后形成LDD(轻掺杂漏)区时使用绝缘膜739至743作为掺杂的掩模。
接下来,利用通过光刻法形成的抗蚀剂掩模和绝缘膜739至743作为掩模,将赋予n型导电性的杂质元素添加到结晶半导体膜706、和708至710中,以便形成第一n型杂质区(也称为LDD区)727、729、731和733和第二n型杂质区726、728、730和732。在第一n型杂质区727、729、731和733中的杂质元素的浓度低于第二n型杂质区726、728、730和732中的杂质元素的浓度。作为上述步骤的结果,完成了n型薄膜晶体管744、和746至748以及p型薄膜晶体管745。
要注意的是,存在形成LDD区的以下两种方法。在一种方法中,在具有两层或多层的叠层结构中形成栅电极,且对栅电极进行使边缘具有锥形形状的蚀刻和各向异性蚀刻,且使用形成栅电极的下层的导电膜作为掩模。在另一方法中,使用侧壁绝缘膜作为掩模。由前一方法形成的薄膜晶体管具有其中LDD区与具有栅电极重叠栅绝缘膜介于其间的结构;然而,利用使边缘具有锥形形状的蚀刻和栅电极的各向异性蚀刻的该结构难以控制LDD区的宽度,且如果优选不进行蚀刻步骤则有时不能形成LDD区。另一方面,与前一方法相比,使用侧壁绝缘膜作为掩模的后一方法易于控制LDD区的宽度,且确实可以形成LDD区。
然后,绝缘膜形成为单层或叠层以使其覆盖薄膜晶体管744至748(图7A)。覆盖薄膜晶体管744至748的绝缘膜通过已知的方法(SOG法、液滴喷射法等)形成为利用诸如硅的氧化物和硅的氮化物的无机材料、诸如聚酰亚胺、聚酰胺、苯并环丁烯(benzocyclobutene)、丙烯酸、环氧树脂和硅氧烷等的单层或叠层。例如,硅氧烷基材料对应于由硅和氧的键组成的骨架结构和其取代基至少包括氢的材料、或者由硅和氧的键组成的骨架结构和其取代基至少包括氟、烷基基团和芳烃中之一的材料。例如,在覆盖薄膜晶体管744至748的绝缘膜采用三层结构的情况下,含氧化硅的膜可形成为第一绝缘膜749,含树脂的膜可形成为第二绝缘膜750,以及含氮化硅的膜可形成为第三绝缘膜751。
要注意的是,在形成绝缘膜749至751之前或在形成薄膜绝缘膜749至751中的一个或多个薄膜之后,优选进行恢复半导体膜结晶性、激活已加入到半导体膜中的杂质元素或氢化半导体膜的热处理。对于热处理,优选采用热退火法、激光退火法、RTA法等。
接下来,通过光刻法蚀刻绝缘膜749至751,由此形成接触孔以暴露出n型杂质区726、和728至732以及p型杂质区785。随后,导电膜被形成以填充接触孔并且被图案化以形成分别用作源极或漏极布线的导电膜752至761。
导电膜752至761通过已知的方法(等离子体CVD法或溅射法)形成为利用选自钛(Ti)、铝(Al)和钕(Nd)的元素、包含上述元素作为其主要成分的合金材料或化合物材料的单层或叠层。例如,含铝作为其主要成分的合金材料对应于其主要成分是铝的含镍的材料、或者含镍和碳与硅中之一或二者主要成分是铝的合金材料。例如,导电膜752至761中的每一个都优选采用阻挡膜、铝-硅(Al-Si)膜和阻挡膜的叠层结构、或者阻挡膜、铝-硅(Al-Si)膜、氮化钛(TiN)膜和阻挡膜的叠层结构。要注意的是,阻挡膜对应于通过使用钛、钛的氮化物、钼或钼的氮化物形成的薄膜。具有低电阻且价廉的铝和铝硅是形成导电膜752至761的最佳材料。另外,当提供上和下阻挡层时可以防止铝或铝硅小丘的产生。此外,当通过利用高可还原元素的钛形成阻挡膜时,即使在结晶半导体膜的上方形成薄的自然氧化膜,也减小自然氧化膜以便可以获得与结晶半导体膜更好的接触。
接下来,形成绝缘膜762以使其覆盖导电膜752至761(图7B)。绝缘膜762通过已知的方法(SOG法、液滴喷射法等)形成为利用无机材料或有机材料的单层或叠层。绝缘膜762优选形成0.75至3μm厚。
随后,通过光刻法蚀刻绝缘膜762,以便形成暴露出导电膜757、759和761的接触孔。然后,形成导电膜以使其填充接触孔。该导电膜通过已知的方法(等离子体CVD法或溅射法)通过利用导电材料形成。然后,图案化导电膜以形成导电膜763至765。要注意的是,导电膜763至765分别对应于包含在存储元件中的一对导电膜的一个导电膜。因此,导电膜763至765优选形成为利用钛、或含钛作为其主要成分的合金材料或化合物材料的单层或叠层。具有低电阻的钛引起存储元件的尺寸减小,由此可以实现较高的集成。另外,在形成导电膜763至765的光刻步骤中,为了防止对下层中薄膜晶体管744至748的损伤优选进行湿法蚀刻;优选使用氢氟酸(HF)或由氨水和过氧化氢溶液构成的溶液作为蚀刻剂。
接下来,形成绝缘膜766以使其覆盖导电膜763至765。绝缘膜766通过已知的方法(SOG法、液滴喷射法等)由利用无机材料或有机材料的单层或叠层形成。另外,绝缘膜762优选形成0.75至3μm厚。然后通过光刻法蚀刻绝缘膜766,以便形成接触孔767至769以分别暴露出导电膜763至765。
随后,形成用作天线的导电膜786与导电膜765接触(图8A)。导电膜786通过已知的方法(等离子体CVD法、溅射法、印刷法或液滴喷射法)利用导电材料形成。优选,导电膜786形成为利用选自铝(Al)、钛(Ti)、银(Ag)或铜(Cu)的元素、或包含上述元素作为其主要成分的合金材料或化合物材料的单层或叠层。具体地,利用含银的浆料进行丝网印刷,然后进行50至350℃的热处理以形成导电膜786。可选地,铝膜通过溅射法形成,且被图案化而形成导电膜786。铝膜的图案化优选通过湿法蚀刻进行,且在湿法蚀刻之后优选进行200至300℃的热处理。
然后,形成有机化合物层787与导电膜763和764接触(图8B)。有机化合物层787通过已知的方法(液滴喷射法、气相沉积法等)形成。随后,形成导电膜771与有机化合物层787接触;其通过已知的方法(溅射法或气相沉积法)形成。
作为上述步骤的结果,完成了包括导电膜763、有机化合物层787和导电膜771的存储元件部分789以及包括导电膜764、有机化合物层787和导电膜771的存储元件部分790。
要注意的是,根据上述的制造步骤,在形成用作天线的导电膜786的步骤之后进行形成有机化合物层787的步骤,这是因为有机化合物层787的耐热性不高。
接下来,通过已知的方法(SOG法、液滴喷射法等)形成用作保护膜的绝缘膜772,以覆盖存储元件部分789和790以及用作天线的导电膜786。绝缘膜772由含碳的膜如DLC(类金刚石碳)、含氮化硅的膜、含氧化氮化硅的膜或有机材料形成,且优选由环氧树脂形成。
然后通过光刻法或激光照射蚀刻绝缘膜以暴露出剥离层702,由此形成开口773和774(图9A)。
接下来,通过将蚀刻剂引入到开口773和774中来移除剥离层702(图9B)。作为蚀刻剂,使用含氟化卤素或卤间化合物的气体或液体;例如,使用三氟化氯(ClF3)作为含氟化卤素的气体。于是,从衬底701剥离元件形成层791。在此要注意的是,元件形成层791包括包含薄膜晶体管744至748和存储元件部分789和790的元件组、以及用作天线的导电膜786。剥离层702可部分地留下,而没有被完全移除。通过留下一部分剥离层702,可以减少蚀刻剂的消耗,且可以缩短移除剥离层的时间。另外,甚至在移除剥离层702之后,元件形成层791也可以保留在衬底701处。
优选的是在剥离掉元件形成层791之后重新使用衬底701,目的是减少成本。另外,形成绝缘膜722来防止元件形成层791在移除剥离层702之后被分散开。其为小、薄且轻的元件形成层791在移除剥离层702之后容易分散开,因为其没有稳固地贴附到衬底701上。然而,通过在元件形成层791的上方形成绝缘膜772,加重元件形成层791且可以防止其从衬底701分散开。另外,通过形成绝缘膜772,防止本身薄且轻的元件形成层791在从衬底701剥离之后被应力等卷起,且可以确保其强度达到某种程度。
接下来,将元件形成层791的一个表面贴附到第一片材775上,且元件形成层791从衬底701完全剥离(图10A)。在部分地留下剥离层702而没有被完全地移除的情况下,通过物理方式从衬底701剥离元件形成层。然后,在元件形成层791的另一表面上方提供第二片材776,且进行热处理和压力处理中之一或二者以贴附第二片材776。与提供第二片材776同时或在其之后,剥离第一片材775且代替地提供第三片材777。然后,进行热处理和压力处理中之一或二者以贴附第三片材777。于是,完成了用第二片材776和第三片材777密封的半导体器件(图10B)。
要注意的是,可用第一片材775和第二片材776进行密封;然而,在用于从衬底701剥离元件形成层791的片材不同于用于密封元件形成层791的片材的情况下,如上所述用第二片材776和第三片材777密封元件形成层791。这在需要使用具有低粘接性片材的情况下是有效的,诸如当从衬底701剥离元件形成层791时第一片材775不仅可粘接至衬底701且粘接至元件形成层791的情况。
作为用于密封的第二片材776和第三片材777,可以使用通过使用聚丙烯、聚酯、乙烯基、聚氟乙烯、聚氯乙烯等形成、由纤维材料制成的纸的膜、基膜(聚酯、聚酰胺、无机气相沉积膜、纸等)和粘性合成树脂膜(丙烯酸基合成树脂、环氧基合成树脂等)的叠层膜等。要注意的是,通过进行热处理和压力处理将上述膜贴附到处理物体,且以以下方式进行处理;通过热处理熔融提供在膜最外表面上的粘性层或提供在其最外表面层上的层(不是粘性层),且然后施加压力,由此贴附该膜。要注意的是,粘性层可提供在第二片材776或第三片材777的表面上,但不是必须提供。粘性层对应于包含粘合剂诸如热固化树脂、紫外线固化树脂、环氧树脂基粘合剂和树脂添加剂的层。另外,优选的是对用于密封的片材进行二氧化硅涂布,目的是防止在密封之后湿气等进入内部;例如,可以使用其中叠置粘性层、由聚酯等制成的膜和二氧化硅涂层的片材。
要注意的是,该实施例模式可以与上述实施例模式自由组合来实施。即,在该实施例模式中也可以使用上述实施例模式中描述的材料和形成方法,同时在该实施例模式中描述的材料和形成方法也可以用在上述实施例模式中。
[实施例模式6]
参考图19A至21B说明其中静态RAM(SRAM)形成为本发明的半导体器件的元件的实例。
图19A中所示的半导体膜660和661优选由硅或含硅作为其主要成分的结晶半导体形成。例如,可以应用通过激光退火等通过结晶硅膜形成的多晶硅或单晶硅。此外,可以应用示出半导体特性的金属氧化物半导体、非晶硅或有机半导体。
在任何情况下,在具有绝缘表面的衬底的整个表面或一部分(比限定为晶体管中的半导体区大的区域)的上方形成首先形成的半导体膜。然后,通过光刻在半导体膜的上方形成掩模图案。利用掩模图案蚀刻半导体膜,以形成岛状半导体膜660和661,其每个都具有包括TFT的源区和漏区和沟道形成区的预定形状。充分考虑到其布局形成半导体膜660和661。
形成图19A中所示半导体膜660和661的光掩模具有图19B中所示的掩模图案670。掩模图案670根据在光刻工艺中使用的抗蚀剂是正型还是负型形成。当使用正型抗蚀剂时,将图19B中所示的掩模图案670制作成遮光部分。掩模图案670具有其中移除顶点A的多边形。另外,在拐角B的内部,该拐角弯曲多次以免成直角。至于该光掩模图案,移除了角部分。
图19B中所示的掩模图案670的形状映在图19A中所示的半导体膜660和661中。在那种情况下,可转印与掩模图案670相似的形状。可选地,可转印该形状以便该转印的图案具有比掩模图案670更圆的角部分。即,该转印的图案可具有图案形状比掩模图案670更平滑的圆形部分。
在半导体膜660和661的上方形成在其至少一个部分中包含氧化硅或氮化硅的绝缘层。形成绝缘层的一个目的是用作栅绝缘膜。如图20A所示,形成栅极布线662至664以与半导体膜部分地重叠。形成栅极布线662对应于半导体膜660,而形成栅极布线663对应于半导体膜660和661。此外,形成栅极布线664对应于半导体膜660和661。通过光刻,通过成形形成在绝缘膜上方的高导电性的金属膜或半导体膜来形成栅极布线。
用于形成栅极布线的光掩模具有图20B中所示的掩模图案671。移除掩模图案671的角部分,以便移除的部分是其中一边在布线宽度的五分之一至宽度一半的范围内的直角三角形。图20B中所示的掩模图案671的形状映至图20A中所示的栅极布线662至664。在那种情况下,可转印与掩模图案671相似的形状。可选地,可转印该形状以便该转印的图案具有比掩模图案671更圆的角部分。即,栅极布线662至664可具有图案形状比掩模图案671更平滑的圆形部分。栅极布线662至664角部分的外部会抑制当进行通过等离子体的干法蚀刻时由于不正常放电引起的粉末的产生,以及甚至当产生粉末时,当清洗时,拐角部分的内部能够洗掉趋向聚集在角周围的粉末。结果,存在可以显著提高产量的效果。
在形成栅极布线662至664之后形成层间绝缘膜。利用诸如氧化硅的无机绝缘膜材料或利用聚酰亚胺、丙烯酸树脂等的有机绝缘膜材料形成层间绝缘膜。可在层间绝缘膜和栅极布线662至664之间形成氮化硅、氧化氮化硅等的绝缘膜。另外,还可在层间绝缘膜的上方形成诸如氮化硅或氧化氮化硅的绝缘膜。该绝缘膜可以防止由于对于TFT不是优选的外来金属离子和湿气引起半导体膜和栅绝缘膜的污染。
在层间绝缘膜中,在预定位置中形成开口。例如,对应于下面放置的栅极布线和半导体膜形成该开口。通过光刻形成掩模图案和通过经由蚀刻形成预定图案来形成由金属或金属化合物的单层或多层形成的布线层。然后,如图21A所示,形成布线675至680以部分地重叠半导体膜。该布线连接特定的元件。该布线没有将特定的元件线性地连接至另一元件,且该布线由于布局的限制而弯曲。另外,布线的宽度在接触部分或另一区域中改变。在接触部分中,在接触孔等于或宽于布线宽度的情况下,布线的宽度在接触部分中增加了。
用于形成栅极布线675至680的光掩模具有图21B中所示的掩模图案672。如图21A所示,移除了布线层的角部分,在每个拐角部分中布线弯曲成L状,以便移除的部分是其中一边为10μm或更小的正三角形、或者处于布线宽度的五分之一至宽度一半的范围内,由此该图案具有圆形拐角部分。即,布线层拐角部分的外周边从顶面看成为曲线。具体地,为了使拐角部分的外周边变圆,移除了布线层的一部分,该部分对应于彼此垂直的两条线(称为第一直线)形成角部分且一条线(称为第二直线)与两条第一直线成近似45度的等腰直角三角形。当移除等腰直角三角形时,在布线层中新形成具有两个钝角的部分,且优选的是任意地设定掩模设计和蚀刻条件来蚀刻布线层,以便在每个钝角部分中制作接触第一直线和第二直线二者的曲线。等腰直角三角形彼此相等的两边长度在布线宽度的五分之一至宽度一半的范围内。另外,沿着拐角部分的外周边也使内周边变圆了。由于上述结构,布线675至680拐角部分的外部会抑制当进行通过等离子体的干法蚀刻时由于不正常放电引起的粉末的产生,且甚至当产生了粉末时,当清洗时,拐角部分的内部也能够洗掉趋向聚集在角周围的粉末。结果,存在可以显著提高产量的效果。另外,由于圆化了布线的角部分,所以可以希望导电。而且,对于在平行放置的多条布线的情况下清洗掉颗粒是非常有利的。
在图21A中,形成n沟道晶体管681至684、p沟道晶体管685和686。n沟道晶体管683和p沟道晶体管685以及n沟道晶体管684和p沟道晶体管686分别形成倒相器。包括前述6个晶体管的电路形成SRAM。可在晶体管的上方形成氮化硅、氧化硅等的绝缘膜。
要注意的是,该实施例模式可以与上述实施例模式自由组合来实施。即,在该实施例模式中也可以使用上述实施例模式中描述的材料和形成方法,同时在该实施例模式中描述的材料和形成方法也可以用在上述实施例模式中。
[实施例模式7]
在该实施例模式中,描述了当制造本发明的半导体器件时可以使用的形状的处理方法。
在该实施例模式中,当形成用于半导体器件的集成电路中的薄膜晶体管、电容器、布线等时,使用通过利用曝光掩模的蚀刻来处理抗蚀剂的抗蚀剂图案。
利用图22A至22D描述了由半透明膜形成的、提供有衍射光栅图案或具有光强度减弱功能的辅助图案的光曝光掩模。
图22A是部分光曝光掩模的放大顶视图。在图22B中示出了对应于图22A的部分曝光掩模的截面图。在图22B中,示出了光曝光掩模和在整个表面上施加了抗蚀剂的衬底彼此对应。
此外,图22A至22D对应于图23,且图22中制造的抗蚀剂图案519用于制造图23A至23C中的双栅TFT 510。
在图22A中,曝光掩模提供了由金属膜如Cr形成的遮蔽部分601a和601b,以及具有作为辅助图案的半透明膜602的部分。遮蔽部分601a的宽度是t1,遮蔽部分601b的宽度是t2。具有半透明膜602的部分的宽度是S1,其也指的是遮蔽部分601a和遮蔽部分601b之间的距离是S1。
在图22B中,曝光掩模具有在透光支撑衬底600上由MoSiN形成的半透明膜602和叠置在半透明膜602上方由金属膜如Cr形成的遮蔽部分601a和601b。半透明膜602也可以利用MoSi、MoSiO、MoSiON、CrSi等形成。
当利用图22A和图22B中示出的光曝光掩模使抗蚀剂膜暴露于光时,形成了未曝光区603a和光曝光区603b。当进行曝光时,光避开遮蔽部分且通过半透明膜,以便形成图22B中示出的光曝光区603b。
然后,当进行显影时,移除了曝光区603b并获得图23A中示出的抗蚀剂图案519。
作为曝光掩模的另一实例,在图22C中示出了在遮蔽部分601a和遮蔽部分601b之间、提供了具有多个狭缝的衍射光栅图案612的光曝光掩模的顶视图。当使用图22C中所示的光曝光掩模时,以相同的方式获得了图23A中示出的抗蚀剂图案519。
作为曝光掩模的另一实例,在图22D中示出了具有遮蔽部分601a和遮蔽部分601b之间的距离小于或等于曝光限度的光曝光掩模的顶视图。例如,在最佳的曝光条件下使用具有t1:6μm、t2:6μm和S1:1μm的光曝光掩模进行曝光,然后接着是实施例模式1的制造工艺,以便可以制造双栅极结构的TFT,在该结构中两个沟道形成区之间的距离小于2μm。当使用图22D示出的光曝光掩模时,以相同的方法获得了图23A中示出的抗蚀剂图案519。
如上所述,当通过图22A至22D示出的方法处理抗蚀剂膜时,在不增加步骤的前提下可以选择性地进行细微处理,且获得了各种不同的抗蚀剂图案。在图23A至23C中示出了通过利用这种抗蚀剂图案制造双栅TFT 510、单栅TFT 520、电容器530和布线540的实例。
在图23A中,在衬底500的上方形成绝缘膜508,且在绝缘膜508的上方形成半导体膜501、半导体膜502和半导体膜503。形成栅绝缘膜504、第一导电膜505和第二导电膜506以覆盖半导体膜501至503,且形成了如图22A至22D所示制造的具有不同形状的抗蚀剂图案519、抗蚀剂图案529、抗蚀剂图案539和抗蚀剂图案549。
抗蚀剂图案519具有带两个突出部分的形状,抗蚀剂图案529具有边缘上带有平缓阶梯的形状,抗蚀剂图案539具有带偏离中心侧的突出部分的形状,抗蚀剂图案549无阶梯、无凹陷或突出部分。
利用抗蚀剂图案519、529、539和549,通过蚀刻处理来进行处理,以形成第一栅电极511、第二栅电极512a、第二栅电极512b、第一栅电极521、第二栅电极522、第一栅电极531、第二栅电极532、第一布线541和第二布线542。利用第二栅电极512a、第二栅电极512b、第二栅电极522和第二栅电极532作为掩模,将具有一种导电类型的杂质元素添加到半导体膜501至503中,以形成低浓度杂质区514a、低浓度杂质区514b、低浓度杂质区514c、低浓度杂质区524a、低浓度杂质区524b、低浓度杂质区534a和低浓度杂质区534b(图23B)。
此外,利用第一栅电极511、第二栅电极512a、第二栅电极512b、第一栅电极521、第二栅电极522、第一栅电极531和第二栅电极532作为掩模,将具有一种导电类型的杂质元素添加到半导体膜501至503中,以形成高浓度杂质区515a、高浓度杂质区515b、低浓度杂质区516a、低浓度杂质区516b、高浓度杂质区525a、高浓度杂质区525b、低浓度杂质区526a、低浓度杂质区526b、高浓度杂质区535a、高浓度杂质区535b、低浓度杂质区536a和低浓度杂质区536b。另外,移除抗蚀剂图案513a、513b、523、533和543以制造双栅TFT 510、单栅TFT 520、电容器530和布线540(图23C)。
当使用赋予n型导电性的杂质元素(例如磷(P))作为添加以赋予一种导电类型的杂质元素时,可以制造具有n型杂质区的n沟道TFT,且当使用赋予p型导电性的杂质元素(例如硼(B))作为添加以赋予一种导电类型的杂质元素时,可以制造具有p型杂质区的p沟道TFT。
可选地,通过控制用于添加赋予一种导电类型的杂质元素的掺杂条件等,可以使所有的杂质区都形成为高浓度杂质区,而不形成低浓度杂质区。在该实施例模式中,示出了其中利用两个台面添加赋予一种导电类型的杂质元素以形成具有不同浓度的杂质区域的实例。然而,如图23C所示分别具有低浓度杂质区和高浓度杂质区的TFT和电容器可以通过采用添加一次赋予一种导电类型的杂质元素的步骤来制造。
用相同的步骤,可以制造两种TFT,即双栅TFT 510和单栅TFT 520。双栅TFT 510具有在第一栅电极511的上方彼此邻近的第二栅电极512a和512b。由于可以缩短第二栅电极512a和第二栅电极512b之间的距离,所以可以减小低浓度杂质区514b的宽度,也可以减小TFT的尺寸。因此,能够小型化,并且能实现具有更高性能的更精确且更轻的半导体器件。
至于电容器530,第一栅电极531可以形成具有比第二栅电极宽的形状,以便可以形成宽的低浓度杂质区536b的区域。由于形成在低浓度杂质区和栅电极之间的电容比形成在没有添加杂质元素的区域537和栅电极之间的电容大,当在第一栅电极531下面形成宽的低浓度杂质区536b时,可以获得大电容。
至于布线540,第一布线541和第二布线542可以形成和叠置大致相同的宽度,而如其它栅电极不缩小宽度,以便可以制造低阻抗的布线。另外,还可以制造微细的布线。
如上所述,在利用该实施例模式时,可以用相同的步骤处理导电膜和绝缘膜,以具有分别适合于所希望性能的不同形状。因此,在不增加步骤的情况下,可以制造不同类型的TFT、不同尺寸的布线等。该实施例模式可以与上述实施例模式1至7的每一个自由组合。
[实施例模式8]
在该实施例模式中,参考图11A至11C描述本发明的半导体器件用作能够非接触地发送和接受数据的RFID的情况的一个实施例模式。
RFID 220具有非接触地通信数据的功能,其包括电源电路211、时钟产生电路212、数据解调/调制电路213、用于控制其它电路的控制电路214、接口电路215、存储器216、数据总线217和天线(天线线圈)218(图11A)。
电源电路211用于基于从天线218输入的AC信号产生供给半导体器件中各个电路的电源。时钟产生电路212用于基于从天线218输入的AC信号产生供给半导体器件中各个电路的时钟信号。数据解调/调制电路213用于解调和调制数据用于与读出器/记录器219通信。控制电路214用于控制存储器216。天线218用于发送和接受电磁波和无线电波。读出器/记录器219控制半导体器件,与半导体器件通信,且处理其数据。要注意的是,RFID不限于该构造;例如,可另外提供诸如电源电压的限制器电路的另一组件和专用于密码分析的硬件。
另外,RFID可以是其中由无线电波将电源电压供给每个电路而没有装配电源(电池)的类型、其中由代替天线装配的电源(电池)将电源电压供给每个电路的类型、或者其中由无线电波和电源供给电源电压的类型。
在将本发明的半导体器件应用到RFID等上的情况下,有利之处在于:可以是非接触通信;可以是多次读取;可以是数据写入;可以是转换成多种形状;方向性宽且依据所选的频率提供宽的识别范围;等等。可以将RFID应用到可以由非接触无线通信识别人或物体各个信息的IC标签、可以由标签处理贴附到物体上的粘性标签、用于事件或娱乐的表带(wristband)等。另外,RFID可用树脂材料处理且它可直接固定到阻隔无线电通信的金属上。而且,RFID可以用于系统如入口管理系统和结帐系统的操作。
接下来,以下描述应用本发明半导体器件的RFID的实际使用的一个模式。读出器/记录器320设置在包括显示部分321的便携式终端的一侧上,且RFID 323设置在商品322的一侧上(图11B)。当靠着商品322的RFID保持读出器/记录器320时,在显示部分321中显示了有关商品的信息,诸如商品的原料和产地、每个制造工艺的测试结果、分布工艺的记录、以及此外还有商品的说明。另外,当由带式输送机传送商品326(图11C)时,可以通过利用设置在商品326中的读出器/记录器324和RFID 325检查商品326。以该方式,可以容易获得信息,且通过利用用于系统的RFID实现高功能和高增值。
要注意的是,该实施例模式可以与上述实施例模式自由组合来实施。
[实施例模式9]
本发明的半导体器件可以应用于广泛的领域。例如,其可以应用于电子设备。可以应用本发明半导体器件的电子设备包括TV接收机、计算机、诸如移动电话的便携式信息终端、如数字照相机和摄像机的相机、导航系统等。参考图12描述将本发明的半导体器件应用到移动电话上的情况。
移动电话包括外壳2700和2706、面板2701、外壳2702、印刷电路板2703、操作按钮2704和电池2705。结合有面板2701以便可拆卸的外壳2702设置到印刷电路板2703上。根据结合有面板2701的电子设备适当地改变外壳2702的形式和尺寸。将多个封装的半导体器件装配到印刷电路板2703上,且本发明的半导体器件可以用作半导体器件中之一。装配到印刷电路板2703上的多个半导体器件中的每一个都具有控制器、中央处理单元(CPU)、存储器、电源电路、音频处理电路、发送/接收电路等中的任一功能。
面板2701经由连接膜2708与印刷电路板2703组合。以上描述的面板2701、外壳2702和印刷电路板2703与操作按钮2704和电池2705一起容纳在外壳2700和2706的内部。设置包括在面板2701中的像素区域2709以便可以从提供在外壳2700中的窗口看到。
本发明的半导体器件是紧凑的、薄的和轻的。于是,半导体器件可以有效地利用电子设备的外壳2700和2706内部的有限空间。
而且,本发明的半导体器件可以用作RFID,例如,用在纸币、硬币、有价证券、证书、债券、包装箱、书籍、记录介质、个人物品、交通工具、食物项目、衣服、保健项目、生活物品、药品、电子设备等中。参考图13A至13H描述其实例。
纸币和硬币指的是市场中的货币,其包括在特定领域中其为货币的票据(现金代金券)、纪念币等。有价证券指的是支票、股票、期票等(图13A)。证书指的是驾照、居住卡等(图13B)。债券指的是邮票、米票、各种礼券等(图13C)。包装箱指的是用于饭盒等的包装纸、塑料瓶等(图13D)。书籍指的是书、书籍等(图13E)。记录介质指的是DVD软件、录像带等(图13F)。交通工具指的是带行走轮的车辆如自行车、船等(图13G)。个人物品指的是包、眼镜等(图13H)。食物项目指的是食品、饮料等。衣服指的是服饰、鞋类等。保健项目指的是医疗器具、健康用具等。生活用品指的是家具、照明设备等。药品指的是药、农用化学品等。电子设备指的是液晶显示器、EL显示器、电视设备(TV接收机和薄的TV接收机)、移动电话等。
通过提供用于纸币、硬币、有价证券、证书、债券等的RFID,可以防止伪造它们。而且,通过提供用于包装箱、书籍、记录介质、个人项目、食物项目、生活物品、电子设备等的RFID,可以提高检查系统、租贷系统等的效率。通过提供用于交通工具、保健项目、药品等的RFID,可以防止伪造和偷窃它们,且可以防止医药被误用。RFID可贴附到物体的表面或者嵌入物体中。例如,RFID可嵌入在书的纸中或嵌入在包装的有机树脂中。
以该方式,通过提供用于包装箱、记录介质、个人物品、食物项目、衣服、生活物品、电子设备等的RFID,可以提高检查系统、租贷系统等的效率。通过提供用于交通工具的RFID,可以防止伪造或偷窃它们。另外,通过将RFID嵌入诸如动物的生物中,可以容易地识别每种生物;例如,通过将RFID嵌入在诸如家畜的生物中,可以容易地识别它们的出生年份、性别、品种等。
如上文所述,可以提供本发明的半导体器件用于使用的任一物体。要注意的是,该实施例模式可以与上述实施例模式自由组合来实施。
[实施例1]
在该实施例中,参考图14至15C描述包括在本发明半导体器件中的电源电路和延迟电路的结构、以及所述电路操作的计算结果。
本发明的半导体器件至少包括电源电路430和延迟电路443(图14)。
电源电路430包括通过整流和平滑接收的载波(图15A)用于产生信号(图15B)的整流器、和用于保持由整流器电路产生的信号的电容器。将由整流器电路产生的信号提供给延迟电路443。
延迟电路443包括交变电源431、电容器432、n型晶体管433和434、电容器435、倒相器436和437、电阻器438、电容器439、倒相器440和441、以及电容器442。电容器432、435、439和442以及电阻器438由导电膜、半导体膜、掺杂有杂质诸如磷和硼的半导体膜等形成。
延迟电路443通过利用从电源电路430输入的信号(图15B)产生复位信号(图15C),且此外还将产生的复位信号提供给每个电路。在写入操作或读取操作期间,由延迟电路443产生的复位信号提供给电路444。此外,向其提供复位信号的电路444是包括在半导体器件中的每个电路,其是时钟产生电路、校正电路、判断电路、控制器电路、编码电路等。
如果由延迟电路443产生的复位信号太早,则提供至每个电路的电源变得不稳定且每个电路可不执行复位操作。另一方面,如果由延迟电路443产生的复位信号太迟,则每个电路可开始下一个操作而没有复位操作。如上所述,当延迟电路443没有以所希望的定时产生复位信号时,每个电路可不正常地操作。因此,需要延迟电路443以所希望的定时产生复位信号。
用于由延迟电路443产生复位信号的定时取决于延迟电路443的电阻和电容;具体地,取决于电阻器438的电阻和电容器439的电容。鉴于此,根据本发明,通过最佳化电阻器438的电阻和电容器439的电容,以所希望的定时产生复位信号。
更具体地,由于存在产生复位信号的定时太早的问题,所以电阻器438的电阻最佳为100至400k0。因此,对于将载波输入到半导体器件中直至产生复位信号所需的时间可以延迟至n秒(n>0,参见图15C中由虚线所示的波形),其为m秒(m>0,参见图15C中由链线所示的波形)。以该方式,通过延迟产生复位信号的定时,以所希望的定时将复位信号提供给每个电路,以便半导体器件可以正常地操作。
[实施例2]
利用图16A至16D、图17和图18描述在制造本发明的半导体器件时关于结晶条件和元件形成层的剥落性质的实验结果。
在衬底1600的上方形成金属膜1601。对于衬底1600,使用了由Asahi Glass,Co.Ltd.制造的AN-100衬底(126.6mm×126.6mm,0.7mmt)。
作为金属膜1601,通过溅射设备形成了钨膜(图16A)。在压强为0.2Pa、电功率为1kW和衬底温度为200℃的条件下,通过引入0.02SLM的Ar气形成了30nm厚的钨膜。要注意的是1SLM是1000sccm,即0.06m3/h。
然后,在一氧化二氮的气氛下对金属膜1601的表面进行等离子体处理,以形成由金属氧化物、金属氮化物或金属氧化氮化物制成的膜1602(图16B)。在衬底温度为345℃、一氧化二氮气体流速为0.4SLM、压强为240Pa和电功率为50W的条件下,通过PE-CVD设备进行等离子体处理60秒。除此之外,在图17中所示的条件下,在一氧化二氮的气氛下测试该等离子体处理。此时,在任一情况下,一氧化二氮气体流速为0.4SLM,衬底温度为345℃。
随后,在由金属氧化物、金属氮化物或金属氧化氮化物制成的膜1602的上方形成绝缘膜1603。通过PE-CVD设备使氧化氮化硅膜形成为绝缘膜1603。至于膜形成条件,RF频率为13.56MHz,衬底温度为345℃,使用了0.015SLM的甲硅烷、1.2SLM的氢气、0.15SLM的氨气和0.02SLM的一氧化二氮气体,电功率为250W,压强为40Pa,膜形成速率为13nm/min,且该膜形成为具有50nm厚。
随后,在绝缘膜1603的上方形成了绝缘膜1604。通过PE-CVD设备形成了氮氧化硅膜作为绝缘膜1604。至于膜形成条件,RF频率为13.56MHz,衬底温度为345℃,使用了0.03SLM的甲硅烷和1.2SLM的一氧化二氮气体,电功率为50W,压强为40Pa,膜形成速率为44nm/min,且该膜形成为具有100nm厚。
随后,在绝缘膜1604的上方形成非晶硅膜1605(图16C)。在13.56MHz的RF频率和345℃的衬底温度的条件下,利用0.28SLM的甲硅烷和0.3SLM的氢气、用60W的电功率和170Pa的压强形成了具有66nm厚的非晶硅膜1605。
之后,为了移除非晶硅膜1605表面上的颗粒进行了清洗,然后在650℃下进行了GRTA(气体快速热退火)处理75秒以便释放包含在非晶硅膜中的氢元素,但未示于图中。
之后,为了移除由GRTA处理形成的氧化硅膜,用0.5%的HF水溶液处理90秒。
之后,进行了非晶硅膜的结晶(图16D)。至于结晶,在从激光器输出12.5W至18.0W相差0.5W的13个条件下,且进一步增加了18.4W,并以0.2m/秒、0.35m/秒和0.5m/秒的台速率,用激光器扫描非晶硅膜进行了处理。所使用的激光器是波长为532nm的固态(YVO4)脉冲激光器,重复频率为80MHz,且脉冲宽度为15psec。
然后,通过带剥离测试评价是否可以剥离掉绝缘膜1603、绝缘膜1604和结晶硅膜。结晶的条件和带剥离测试的结果一起示于图18中。
在图18中,短语“膜被分离开”指的是当作为非晶硅膜的结晶处理用激光器照射时硅膜脱落,“能够结晶”指的是用激光器照射的整个区域质量改变成具有大颗粒尺寸的结晶硅膜,“不能结晶”指的是被激光器照射的、并非整个区域质量改变成具有大颗粒尺寸的结晶硅膜。带剥离测试中的圆标记指的是可以进行至少一次剥离。
[实施例3]
在该实施例中,利用实验数据,将描述在本发明的半导体器件的制造工艺中使用的剥离层。
作为实例,在衬底800的上方形成了金属膜801,且在一氧化二氮的气氛下对金属膜801的表面进行了等离子体处理,以便形成剥离层(由金属氧化物、金属氮化物或金属氧化氮化物制成的膜)。通过CVD在剥离层(由金属氧化物、金属氮化物或金属氧化氮化物制成的膜)802的上方形成了三层绝缘膜,且在绝缘膜的上方形成了半导体膜。之后,在空气中在450℃下对样品进行了热处理30分钟。在该实施例中,由金属氧化物、金属氮化物或金属氧化氮化物制成的膜称为剥离层(由金属氧化物、金属氮化物或金属氧化氮化物制成的膜)802。
通过溅射法形成了30nm厚的钨膜作为金属膜801,且在一氧化二氮的气氛下通过等离子体处理形成了由氧化钨、氮化钨或氧化氮化钨制成的膜作为剥离层(由金属氧化物、金属氮化物或金属氧化氮化物制成的膜)802,叠置了180nm厚的氮氧化硅膜、75nm厚的氮化钨膜和75nm厚的氧化氮化钨膜作为绝缘膜803,且形成了66nm厚的非晶硅膜作为半导体膜。通过CVD法连续地形成了绝缘膜和半导体膜。在该实施例中,绝缘膜和半导体膜将成为元件。
在热处理之后在样品的半导体膜上方形成了几十μm厚的粘合剂,且结合了将成为相对衬底的玻璃衬底。然后,从衬底800到相对衬底一侧剥离了用作元件的绝缘膜和半导体膜。通过透射电子显微镜(下文还称为TEM),剥离之前样品、剥离之后衬底一侧上的样品和剥离之后元件一侧上的样品的截面照片分别示于图24、图25和图26中。
如图24所示,在衬底800的上方叠置金属膜801、剥离层(由金属氧化物、金属氮化物或金属氧化氮化物制成的膜)802和绝缘膜803。金属膜801是接近黑色的暗灰色,且金属膜801上方的剥离层(由金属氧化物、金属氮化物或金属氧化氮化物制成的膜)802是轻灰色。图25示出了剥离之后的衬底侧,其中金属膜801叠置在衬底800的上方且由剥离工艺分开的剥离层(由金属氧化物、金属氮化物或金属氧化氮化物制成的膜)805a残留在金属膜801的上方。另一方面,图26示出了剥离之后的元件侧,其中由剥离工艺分开的剥离层(由金属氧化物、金属氮化物或金属氧化氮化物制成的膜)805b残留在绝缘膜803的上方。如图25和图26所示,通过剥离工艺将剥离层(由金属氧化物、金属氮化物或金属氧化氮化物制成的膜)802分成衬底侧和元件侧,且残留在衬底800侧上方的剥离层(由金属氧化物、金属氮化物或金属氧化氮化物制成的膜)比残留在元件侧上方的厚。
进行剥离之前的剥离层(由金属氧化物、金属氮化物或金属氧化氮化物制成的膜)802、剥离之后的剥离层805a(由金属氧化物、金属氮化物或金属氧化氮化物制成的膜)和剥离之后的剥离层805b(由金属氧化物、金属氮化物或金属氧化氮化物制成的膜)的X射线反射率(XRR)测量,且获得了每一个的密度、膜厚度和表面粗糙度。该结果示于表1中。
[表1]
  样品   密度(g/cm3)   厚度(nm)   粗糙度(nm)
  剥离之后衬底侧上的剥离层   10.14   1.437   0.78
  剥离之后元件侧上的剥离层   6.9   3.75   1.14
  剥离之前的剥离层   10.3   5.2   4
如表1所示,剥离之后衬底侧上的剥离层的厚度比剥离之后元件侧上的剥离层的厚度厚。衬底侧上的剥离层和元件侧上的剥离层的总膜厚几乎等于剥离之前的剥离层的厚度,以便可以看到剥离之前的剥离层被分成衬底侧和元件侧。另外,剥离之后衬底侧上的剥离层的密度和剥离之前剥离层的密度几乎是相同值。
接下来,进行剥离之后在衬底侧上的剥离层和剥离之后在元件侧上的剥离层的X射线光电子光谱测量(ECSA:Electron Spectroscopyfor Chemical Analysis,XPS:X-ray Photoelectron Spectroscopy),且获得了包含在每层中元素的定量比。该结果示于表2和图27A至图27C中。图27A示出了包含在剥离层中的元素和定量比之间的关系,图27B示出了剥离层中氧的成分和定量比之间的关系,以及图27C示出了剥离层中钨的成分和定量比之间的关系。在图27A至图27C中,分别地,黑点示出了衬底侧上剥离层中的检测量,x标记示出了元件侧上剥离层中的检测量。
[表2]
  样品   W(原子%)   O(原子%)   N(原子%)   Si(原子%)   C(原子%)
  W1   W2   W3   W4   O1   O2   O3
  剥离之后衬底侧上的剥离层   39.4   40   11.3   0   9.3
  49.9   13.3   11.3   25.5   61.2   29   9.8
  剥离之后元件侧上的剥离层   25.5   47.3   13.8   4   9.3
  0   14.5   37.4   48.1   57.6   25.5   16.9
W1:金属W,W2:WO2、WNx,W3:WO2-3、WNxOy,W4:WO3等。
O1:WOx,O2:W(OHx)、WOxNy,O3:C=O、O-C-O、Si-O等。
至于表2的分析方法,将用于钨(W)的结合能W4f和用于氧(O)的结合能01s施加到以下成分上。在表2中,W1是金属W,W2是WO2或WNx,W3是WO2至3或WNxOy,W4是WO3等,O1是WOx,O2是W(OHx)或WOxNy,O3是C=O、O-C-O、Si-O等。在表2中,示出了在每个样品中的元素的组分比,且W、O、N、Si和C近似为100%。另外,至于W和O,示出了分别在W和O中的成分比,且W1至W4关于W近似为100%,以及O1至O3关于0近似为100%。
通过在一氧化二氮的气氛下对形成于衬底上方的金属膜表面进行等离子体处理恰好在形成剥离层之后包含在剥离层(由金属氧化物、金属氮化物或金属氧化氮化物制成的膜)中的元素的定量比如下:钨(W)为22.7%(W1为2.9%,W2为0.1%,W3为6.7%,以及W4为90.2%),氧(O)为62.6%(O1为68.5%,O2为24.4%,以及O3为7.2%),氮(N)为1.7%,硅(Si)为1.3%,以及碳(C)为11.7%。
氮含量在衬底侧上的剥离层和在热处理之后在元件侧上的剥离层中增加了。此外,衬底侧上的剥离层的密度和元件侧上的剥离层的密度彼此不同,如表1中所示,而且,剥离后衬底侧上的剥离层中每个成分的比和元件侧上的剥离层中每个成分的比彼此不同,如图27C所示。
通过X射线光电子光谱测量了热处理前后剥离层中在膜厚度方向上包含在每个层中的元素成分的定量比,且检查了热处理前后剥离层中的成分变化。该结果示于图28至图32。图29和图31是示出热处理之前剥离层中元素含量的光谱,图30和图32是示出热处理之后剥离层中元素含量的光谱。图31示出了显示热处理之前剥离层中钨成分含量的光谱,以及图32示出了显示热处理之后剥离层中钨成分含量的光谱。通过分析图29和图31中的数据得出的W2、W3和W4关于W1的变化示于图28中。剥离层中的W1随着其靠近钨膜而增加,这意指W1的变化与膜厚度方向上的深度成比例,以便将W1设定为标准。在图28中,开圆点示出了加热前剥离层中的W2,黑点示出了加热之后剥离层中的W2,开三角形点示出了加热前剥离层中的W3,黑三角形点示出了加热之后剥离层中的W3,开四角形点示出了加热前剥离层中的W4,以及黑正方形点示出了加热之后剥离层中的W4。至于W3和W4,含量在加热之后在剥离层中降低了。然而,仅对于W2,含量在加热之后的剥离层中增加了。W2是归因于WN的峰,因此其意指通过对剥离层进行热处理增加了WN成分。
如上所述,能够检查剥离之后衬底侧上的剥离层和元件一侧上的剥离层的性质变化、以及加热前后剥离层成分的变化。
该申请基于2005年5月5日在日本专利局申请的日本专利申请序列no.2005-158761,其全部内容通过参考并入这里。

Claims (17)

1.一种半导体器件的制造方法,包括以下步骤:
在衬底上方形成金属膜;
在一氧化二氮的气氛下对金属膜进行等离子体处理以在金属膜的表面上形成金属氧化膜;
在金属氧化膜的上方形成元件形成层;
在元件形成层的上方形成绝缘膜;以及
从衬底剥离元件形成层。
2.一种半导体器件的制造方法,包括以下步骤:
在衬底上方形成金属膜;
在一氧化二氮和氩的混合气体气氛下对金属膜进行等离子体处理以在金属膜的表面上形成金属氧化膜;
在金属氧化膜的上方形成元件形成层;
在元件形成层的上方形成绝缘膜;以及
从衬底剥离元件形成层。
3.一种半导体器件的制造方法,包括以下步骤:
在衬底上方形成金属膜;
在一氧化二氮的气氛下对金属膜进行等离子体处理以在金属膜的表面上形成金属氧化膜以及在金属氧化膜上方连续而不暴露于空气地形成第一绝缘膜;
在第一绝缘膜的上方形成元件形成层;
在元件形成层的上方形成第二绝缘膜;以及
从衬底剥离元件形成层。
4.一种半导体器件的制造方法,包括以下步骤:
在衬底上方形成金属膜;
在一氧化二氮和氩的混合气体气氛下对金属膜进行等离子体处理以在金属膜的表面上形成金属氧化膜以及在金属氧化膜上方连续而不暴露于空气地形成第一绝缘膜;
在第一绝缘膜的上方形成元件形成层;
在元件形成层的上方形成第二绝缘膜;以及
从衬底剥离元件形成层。
5.一种半导体器件的制造方法,包括以下步骤:
在衬底上方形成金属膜;
在含一氧化二氮的气氛下对金属膜进行等离子体处理,以在金属膜的表面上形成金属氧化膜;
在金属氧化膜的上方形成第一绝缘膜;
在第一绝缘膜的上方形成包括半导体膜的元件形成层;
在元件形成层的上方形成第二绝缘膜;以及
从衬底剥离元件形成层,
其中叠置的金属氧化膜、第一绝缘膜和半导体膜连续地形成而不暴露于空气。
6.一种半导体器件的制造方法,包括以下步骤:
在衬底上方形成金属膜;
在一氧化二氮的气氛下对金属膜进行等离子体处理,以在金属膜的表面上形成金属氧化膜;
在金属氧化膜的上方形成元件形成层;
在元件形成层的上方形成绝缘膜;
在绝缘膜和元件形成层中形成开口;
将蚀刻剂引入到开口中以移除金属膜和金属氧化膜;以及
从衬底剥离元件形成层。
7.一种半导体器件的制造方法,包括以下步骤:
在衬底上方形成金属膜;
在一氧化二氮的气氛下对金属膜进行等离子体处理,以在金属膜的表面上形成金属氧化膜;
在金属氧化膜的上方形成元件形成层;
在元件形成层的上方形成绝缘膜;
在绝缘膜和元件形成层中形成开口;
将蚀刻剂引入到开口中以移除金属膜和金属氧化膜,以便留下至少一部分的金属膜和金属氧化膜;以及
通过物理方式从衬底剥离元件形成层。
8.一种半导体器件的制造方法,包括以下步骤:
在衬底上方形成金属膜;
在一氧化二氮和氩的混合气体气氛下对金属膜进行等离子体处理,以在金属膜的表面上形成金属氧化膜;
在金属氧化膜的上方形成元件形成层;
在元件形成层的上方形成绝缘膜;
在绝缘膜和元件形成层中形成开口;
将蚀刻剂引入到开口中以移除金属膜和金属氧化膜;以及
从衬底剥离元件形成层。
9.一种半导体器件的制造方法,包括以下步骤:
在衬底上方形成金属膜;
在一氧化二氮和氩的混合气体气氛下对金属膜进行等离子体处理,以在金属膜的表面上形成金属氧化膜;
在金属氧化膜的上方形成元件形成层;
在元件形成层的上方形成绝缘膜;
在绝缘膜和元件形成层中形成开口;
将蚀刻剂引入到开口中以移除金属膜和金属氧化膜,以便留下至少一部分的金属膜和金属氧化膜;以及
通过物理方式从衬底剥离元件形成层。
10.一种半导体器件的制造方法,包括以下步骤:
在衬底上方形成金属膜;
在一氧化二氮的气氛下对金属膜进行等离子体处理以在金属膜的表面上形成金属氧化膜以及在金属氧化膜的上方连续而不暴露于空气地形成第一绝缘膜;
在第一绝缘膜的上方形成元件形成层;
在元件形成层的上方形成第二绝缘膜;
在第二绝缘膜和元件形成层中形成开口;
将蚀刻剂引入到开口中以移除金属膜和金属氧化膜;以及
从衬底剥离元件形成层。
11.一种半导体器件的制造方法,包括以下步骤:
在衬底上方形成金属膜;
在一氧化二氮的气氛下对金属膜进行等离子体处理以在金属膜的表面上形成金属氧化膜以及在金属氧化膜的上方连续而不暴露于空气地形成第一绝缘膜;
在第一绝缘膜的上方形成元件形成层;
在元件形成层的上方形成第二绝缘膜;
在第二绝缘膜和元件形成层中形成开口;
将蚀刻剂引入到开口中以移除金属膜和金属氧化膜,以便留下至少一部分的金属膜和金属氧化膜;以及
通过物理方式从衬底剥离元件形成层。
12.一种半导体器件的制造方法,包括以下步骤:
在衬底上方形成金属膜;
在一氧化二氮和氩的混合气体气氛下对金属膜进行等离子体处理,以在金属膜的表面上形成金属氧化膜以及在金属氧化膜的上方连续而不暴露于空气地形成第一绝缘膜;
在第一绝缘膜的上方形成元件形成层;
在元件形成层的上方形成第二绝缘膜;
在第二绝缘膜和元件形成层中形成开口;
将蚀刻剂引入到开口中以移除金属膜和金属氧化膜;以及
从衬底剥离元件形成层。
13.一种半导体器件的制造方法,包括以下步骤:
在衬底上方形成金属膜;
在一氧化二氮和氩的混合气体气氛下对金属膜进行等离子体处理,以在金属膜的表面上形成金属氧化膜以及在金属氧化膜的上方连续而不暴露于空气地形成第一绝缘膜;
在第一绝缘膜的上方形成元件形成层;
在元件形成层的上方形成第二绝缘膜;
在第二绝缘膜和元件形成层中形成开口;
将蚀刻剂引入到开口中以移除金属膜和金属氧化膜,以便留下至少一部分的金属膜和金属氧化膜;以及
通过物理方式从衬底剥离元件形成层。
14.根据权利要求5至权利要求8中任何一项的半导体器件的制造方法,其中金属氧化膜和第一绝缘膜通过等离子体CVD设备形成。
15.根据权利要求5至权利要求9中任何一项的半导体器件的制造方法,其中金属氧化膜和第一绝缘膜在同一个室中形成。
16.一种半导体器件的制造方法,包括以下步骤:
在衬底上方形成金属膜;
在含一氧化二氮的气氛下对金属膜进行等离子体处理,以在金属膜的表面上形成金属氧化膜;
在金属氧化膜的上方形成第一绝缘膜;
在第一绝缘膜的上方形成具有半导体膜的元件形成层;
在元件形成层的上方形成第二绝缘膜;
在第二绝缘膜和元件形成层中形成开口;
将蚀刻剂引入到开口中以移除金属膜和金属氧化膜;以及
从衬底剥离元件形成层,
其中叠置的金属氧化膜、第一绝缘膜和半导体膜连续地形成而不暴露于空气。
17.根据权利要求1至权利要求16中任何一项的半导体器件的制造方法,其中金属膜利用选自由钨(W)、钼(Mo)、钛(Ti)、钽(Ta)、铌(Nb)、镍(Ni)、钴(Co)、锆(Zr)、锌(Zn)、钌(Ru)、铑(Rh)、铅(Pb)、锇(Os)和铱(Ir)构成的组中的元素、包含上述元素作为其主要成分的合金材料或化合物材料形成。
CN200610088627A 2005-05-31 2006-05-31 半导体器件的制造方法 Expired - Fee Related CN100585796C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2005158761 2005-05-31
JP2005158761 2005-05-31

Publications (2)

Publication Number Publication Date
CN1873915A true CN1873915A (zh) 2006-12-06
CN100585796C CN100585796C (zh) 2010-01-27

Family

ID=37464005

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200610088627A Expired - Fee Related CN100585796C (zh) 2005-05-31 2006-05-31 半导体器件的制造方法

Country Status (2)

Country Link
US (1) US7465674B2 (zh)
CN (1) CN100585796C (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101587822B (zh) * 2008-05-19 2011-04-06 展晶科技(深圳)有限公司 分离半导体及其基板的方法
TWI676607B (zh) * 2017-09-22 2019-11-11 日商吉奧馬科技股份有限公司 樹脂基板積層體及電子元件之製造方法
CN111057999A (zh) * 2019-12-18 2020-04-24 上海米蜂激光科技有限公司 通过连续波激光辐照制备纳米多孔二氧化硅薄膜的方法及其设备
CN113270563A (zh) * 2020-02-14 2021-08-17 京东方科技集团股份有限公司 一种柔性基板及其制备方法、柔性显示基板

Families Citing this family (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6897477B2 (en) 2001-06-01 2005-05-24 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device, manufacturing method thereof, and display device
US7534702B2 (en) * 2004-06-29 2009-05-19 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing a semiconductor device
US7736964B2 (en) 2004-11-22 2010-06-15 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device, and method for manufacturing the same
JP2007134388A (ja) * 2005-11-08 2007-05-31 Sharp Corp 窒化物系半導体素子とその製造方法
TWI379409B (en) * 2006-09-29 2012-12-11 Semiconductor Energy Lab Method for manufacturing semiconductor device
US8137417B2 (en) 2006-09-29 2012-03-20 Semiconductor Energy Laboratory Co., Ltd. Peeling apparatus and manufacturing apparatus of semiconductor device
US7681301B2 (en) * 2007-03-07 2010-03-23 James Neil Rodgers RFID silicon antenna
EP1970951A3 (en) * 2007-03-13 2009-05-06 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
EP1970952A3 (en) * 2007-03-13 2009-05-06 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
EP1976000A3 (en) * 2007-03-26 2009-05-13 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device
EP1976001A3 (en) * 2007-03-26 2012-08-22 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device
US8809203B2 (en) * 2007-06-05 2014-08-19 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device using a microwave plasma CVD apparatus
WO2009031482A1 (en) 2007-09-07 2009-03-12 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
WO2009049264A1 (en) * 2007-10-10 2009-04-16 Kovio, Inc. Wireless devices including printed integrated circuitry and methods for manufacturing and using the same
JP2009205669A (ja) * 2008-01-31 2009-09-10 Semiconductor Energy Lab Co Ltd 半導体装置
US8563397B2 (en) * 2008-07-09 2013-10-22 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
KR101029105B1 (ko) * 2008-08-29 2011-04-13 주식회사 하이닉스반도체 반도체 소자의 금속배선 및 그 형성방법
EP2366271B1 (en) * 2008-11-25 2019-03-20 Thin Film Electronics ASA Printed antennas, methods of printing an antenna, and devices including the printed antenna
US8877648B2 (en) * 2009-03-26 2014-11-04 Semprius, Inc. Methods of forming printable integrated circuit devices by selective etching to suspend the devices from a handling substrate and devices formed thereby
WO2010140522A1 (en) * 2009-06-05 2010-12-09 Semiconductor Energy Laboratory Co., Ltd. Photoelectric conversion device and manufacturing method thereof
WO2010140495A1 (en) * 2009-06-05 2010-12-09 Semiconductor Energy Laboratory Co., Ltd. Photoelectric conversion device and method for manufacturing the same
WO2010140539A1 (en) * 2009-06-05 2010-12-09 Semiconductor Energy Laboratory Co., Ltd. Photoelectric conversion device and method for manufacturing the same
TWI517268B (zh) * 2009-08-07 2016-01-11 半導體能源研究所股份有限公司 端子構造的製造方法和電子裝置的製造方法
JP5719560B2 (ja) * 2009-10-21 2015-05-20 株式会社半導体エネルギー研究所 端子構造の作製方法
KR101750982B1 (ko) 2009-11-06 2017-06-26 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치 및 반도체 장치의 제작 방법
KR101747158B1 (ko) * 2009-11-06 2017-06-14 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치를 제작하기 위한 방법
KR20130009978A (ko) 2010-02-26 2013-01-24 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 소자의 제조 방법 및 성막 장치
JP2011199003A (ja) * 2010-03-19 2011-10-06 Tokyo Electron Ltd シリコン酸化膜の形成方法、及びプラズマ処理装置
JP2011227369A (ja) * 2010-04-22 2011-11-10 Hitachi Displays Ltd 画像表示装置及びその製造方法
CN205159286U (zh) * 2012-12-31 2016-04-13 菲力尔系统公司 用于微辐射热计真空封装组件的晶片级封装的装置
WO2014129519A1 (en) 2013-02-20 2014-08-28 Semiconductor Energy Laboratory Co., Ltd. Peeling method, semiconductor device, and peeling apparatus
US20140273525A1 (en) * 2013-03-13 2014-09-18 Intermolecular, Inc. Atomic Layer Deposition of Reduced-Leakage Post-Transition Metal Oxide Films
CN109273622B (zh) 2013-08-06 2021-03-12 株式会社半导体能源研究所 剥离方法
TWI794098B (zh) 2013-09-06 2023-02-21 日商半導體能源研究所股份有限公司 發光裝置以及發光裝置的製造方法
CN104568533B (zh) * 2013-10-23 2017-03-15 中芯国际集成电路制造(上海)有限公司 Tem分析样品的制备方法
US9937698B2 (en) 2013-11-06 2018-04-10 Semiconductor Energy Laboratory Co., Ltd. Peeling method and light-emitting device
WO2015087192A1 (en) 2013-12-12 2015-06-18 Semiconductor Energy Laboratory Co., Ltd. Peeling method and peeling apparatus
US9397149B2 (en) 2013-12-27 2016-07-19 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
KR102368997B1 (ko) 2014-06-27 2022-02-28 가부시키가이샤 한도오따이 에네루기 켄큐쇼 발광 장치, 모듈, 전자 기기, 발광 장치의 제작 방법
US9799829B2 (en) 2014-07-25 2017-10-24 Semiconductor Energy Laboratory Co., Ltd. Separation method, light-emitting device, module, and electronic device
JP6822858B2 (ja) 2016-01-26 2021-01-27 株式会社半導体エネルギー研究所 剥離の起点の形成方法及び剥離方法

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5273609A (en) * 1990-09-12 1993-12-28 Texas Instruments Incorporated Method and apparatus for time-division plasma chopping in a multi-channel plasma processing equipment
US5324683A (en) * 1993-06-02 1994-06-28 Motorola, Inc. Method of forming a semiconductor structure having an air region
US5757456A (en) * 1995-03-10 1998-05-26 Semiconductor Energy Laboratory Co., Ltd. Display device and method of fabricating involving peeling circuits from one substrate and mounting on other
JP3579492B2 (ja) 1995-03-16 2004-10-20 株式会社半導体エネルギー研究所 表示装置の作製方法
CN1143394C (zh) 1996-08-27 2004-03-24 精工爱普生株式会社 剥离方法、溥膜器件的转移方法和薄膜器件
JP3809681B2 (ja) 1996-08-27 2006-08-16 セイコーエプソン株式会社 剥離方法
US6127199A (en) * 1996-11-12 2000-10-03 Seiko Epson Corporation Manufacturing method of active matrix substrate, active matrix substrate and liquid crystal display device
USRE38466E1 (en) * 1996-11-12 2004-03-16 Seiko Epson Corporation Manufacturing method of active matrix substrate, active matrix substrate and liquid crystal display device
US20010012667A1 (en) * 1999-01-15 2001-08-09 Yi Ma Clustered system and method for formation of integrated circuit devices
WO2000051181A1 (en) 1999-02-24 2000-08-31 Hitachi Maxell, Ltd. Ic device and its production method, and information carrier mounted with ic device and its production method
JP4748859B2 (ja) 2000-01-17 2011-08-17 株式会社半導体エネルギー研究所 発光装置の作製方法
US7060153B2 (en) * 2000-01-17 2006-06-13 Semiconductor Energy Laboratory Co., Ltd. Display device and method of manufacturing the same
US20010053559A1 (en) * 2000-01-25 2001-12-20 Semiconductor Energy Laboratory Co., Ltd. Method of fabricating display device
JP4495295B2 (ja) 2000-03-15 2010-06-30 株式会社日立製作所 有価証券類の不正利用防止方法および有価証券類の不正利用防止システム
US6436775B2 (en) * 2000-06-21 2002-08-20 Hynix Semiconductor, Inc. MOSFET device fabrication method capable of allowing application of self-aligned contact process while maintaining metal gate to have uniform thickness
US8415208B2 (en) * 2001-07-16 2013-04-09 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and peeling off method and method of manufacturing semiconductor device
WO2003010825A1 (en) 2001-07-24 2003-02-06 Seiko Epson Corporation Transfer method, method of manufacturing thin film element, method of manufacturing integrated circuit, circuit substrate and method of manufacturing the circuit substrate, electro-optic device and method of manufacturing the electro-optic device, and ic card and electronic equipmen
KR100490575B1 (ko) * 2001-08-03 2005-05-17 야마하 가부시키가이샤 귀금속 박막 패턴 형성방법
JP3956697B2 (ja) 2001-12-28 2007-08-08 セイコーエプソン株式会社 半導体集積回路の製造方法
WO2003060986A2 (en) * 2002-01-11 2003-07-24 The Pennsylvania State University Method of forming a removable support with a sacrificial layers and of transferring devices
TWI272641B (en) * 2002-07-16 2007-02-01 Semiconductor Energy Lab Method of manufacturing a semiconductor device
US6787440B2 (en) * 2002-12-10 2004-09-07 Intel Corporation Method for making a semiconductor device having an ultra-thin high-k gate dielectric
TWI328837B (en) * 2003-02-28 2010-08-11 Semiconductor Energy Lab Semiconductor device and method of manufacturing the same
TWI221010B (en) * 2003-08-07 2004-09-11 Ind Tech Res Inst A method for transferably pasting an element
CN1894796B (zh) 2003-12-15 2010-09-01 株式会社半导体能源研究所 薄膜集成电路器件的制造方法和非接触薄膜集成电路器件及其制造方法
US7271076B2 (en) * 2003-12-19 2007-09-18 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of thin film integrated circuit device and manufacturing method of non-contact type thin film integrated circuit device

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101587822B (zh) * 2008-05-19 2011-04-06 展晶科技(深圳)有限公司 分离半导体及其基板的方法
TWI676607B (zh) * 2017-09-22 2019-11-11 日商吉奧馬科技股份有限公司 樹脂基板積層體及電子元件之製造方法
CN111057999A (zh) * 2019-12-18 2020-04-24 上海米蜂激光科技有限公司 通过连续波激光辐照制备纳米多孔二氧化硅薄膜的方法及其设备
CN111057999B (zh) * 2019-12-18 2021-12-10 上海米蜂激光科技有限公司 通过连续波激光辐照制备纳米多孔二氧化硅薄膜的方法及其设备
CN113270563A (zh) * 2020-02-14 2021-08-17 京东方科技集团股份有限公司 一种柔性基板及其制备方法、柔性显示基板
WO2021160056A1 (zh) * 2020-02-14 2021-08-19 京东方科技集团股份有限公司 柔性基板及其制备方法、柔性显示基板
CN113270563B (zh) * 2020-02-14 2023-09-05 京东方科技集团股份有限公司 一种柔性基板及其制备方法、柔性显示基板
US11937442B2 (en) 2020-02-14 2024-03-19 Boe Technology Group Co., Ltd. Flexible substrate, preparation method therefor and flexible display substrate

Also Published As

Publication number Publication date
US7465674B2 (en) 2008-12-16
US20060270191A1 (en) 2006-11-30
CN100585796C (zh) 2010-01-27

Similar Documents

Publication Publication Date Title
CN1873915A (zh) 半导体器件的制造方法
CN1280880C (zh) 激光照射方法和激光照射器件
CN1881569A (zh) 集成电路器件及制造集成电路器件的方法
CN1716539A (zh) 掺杂装置
CN1519775A (zh) 卡以及利用该卡的记帐系统
CN1292489C (zh) 半导体装置及其制造方法
CN1912739A (zh) 曝光掩模以及使用其的半导体器件的制造方法
CN1870233A (zh) 半导体器件及其制造方法
CN1770474A (zh) 半导体器件及其制造方法
CN1893117A (zh) 半导体器件以及其制造方法
CN1992351A (zh) 半导体器件及其制造方法
CN1873998A (zh) 半导体器件及其制造方法
CN1855399A (zh) 半导体器件和制造半导体器件的方法
CN101044597A (zh) 激光照射方法、激光照射装置和制造半导体器件的方法
CN1450665A (zh) 半导体显示器件
CN1912740A (zh) 曝光掩模
CN1417859A (zh) 半导体器件
CN1523668A (zh) 半导体器件
CN1941288A (zh) 半导体器件、半导体器件的制造方法、液晶显示器件、rfid标签、发光器件以及电子器具
CN1922727A (zh) 半导体器件及ic卡、ic标签、rfid、转发器、票据、证券、护照、电子装置、包和外衣的制造方法
CN1790748A (zh) 半导体器件和制造所述半导体器件的方法
CN1808689A (zh) 半导体器件制作方法
CN1655187A (zh) Id标号,id标签和id卡
CN1870261A (zh) 半导体器件及其制造方法
CN1505161A (zh) 半导体器件,显示器件,发光器件以及其制作方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20100127

Termination date: 20180531