CN1447981B - 微电子装置制造中用于有机聚合物电介质的硬面层的有机硅酸盐树脂 - Google Patents

微电子装置制造中用于有机聚合物电介质的硬面层的有机硅酸盐树脂 Download PDF

Info

Publication number
CN1447981B
CN1447981B CN018145213A CN01814521A CN1447981B CN 1447981 B CN1447981 B CN 1447981B CN 018145213 A CN018145213 A CN 018145213A CN 01814521 A CN01814521 A CN 01814521A CN 1447981 B CN1447981 B CN 1447981B
Authority
CN
China
Prior art keywords
layer
organosilicate
etching
integrated circuit
hardstanding
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN018145213A
Other languages
English (en)
Chinese (zh)
Other versions
CN1447981A (zh
Inventor
E·O·谢弗二世
K·E·霍华德
J·J·M·韦特卢斯
J·E·黑茨内尔
P·H·汤森三世
L·K·米尔斯
S·冈巴尔-费特内尔
L·R·威尔逊
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Dow Global Technologies LLC
Original Assignee
Dow Global Technologies LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Dow Global Technologies LLC filed Critical Dow Global Technologies LLC
Publication of CN1447981A publication Critical patent/CN1447981A/zh
Application granted granted Critical
Publication of CN1447981B publication Critical patent/CN1447981B/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/04Polysiloxanes
    • C08G77/14Polysiloxanes containing silicon bound to oxygen-containing groups
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/7681Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving one or more buried masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
CN018145213A 2000-08-21 2001-08-20 微电子装置制造中用于有机聚合物电介质的硬面层的有机硅酸盐树脂 Expired - Fee Related CN1447981B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US22617000P 2000-08-21 2000-08-21
US60/226,170 2000-08-21
US28431701P 2001-04-17 2001-04-17
US60/284,317 2001-04-17
PCT/US2001/025977 WO2002016477A2 (en) 2000-08-21 2001-08-20 Organosilicate resins as hardmasks for organic polymer dielectrics in fabrication of microelectronic devices

Publications (2)

Publication Number Publication Date
CN1447981A CN1447981A (zh) 2003-10-08
CN1447981B true CN1447981B (zh) 2013-08-07

Family

ID=26920274

Family Applications (1)

Application Number Title Priority Date Filing Date
CN018145213A Expired - Fee Related CN1447981B (zh) 2000-08-21 2001-08-20 微电子装置制造中用于有机聚合物电介质的硬面层的有机硅酸盐树脂

Country Status (7)

Country Link
US (2) US7115531B2 (US07268200-20070911-C00007.png)
EP (2) EP1837902B1 (US07268200-20070911-C00007.png)
JP (1) JP5350571B2 (US07268200-20070911-C00007.png)
KR (1) KR100795714B1 (US07268200-20070911-C00007.png)
CN (1) CN1447981B (US07268200-20070911-C00007.png)
TW (1) TWI271413B (US07268200-20070911-C00007.png)
WO (1) WO2002016477A2 (US07268200-20070911-C00007.png)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI656146B (zh) * 2016-12-05 2019-04-11 美商羅門哈斯電子材料有限公司 用於底層之芳族樹脂

Families Citing this family (76)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1190277B1 (en) 1999-06-10 2009-10-07 AlliedSignal Inc. Semiconductor having spin-on-glass anti-reflective coatings for photolithography
US6824879B2 (en) 1999-06-10 2004-11-30 Honeywell International Inc. Spin-on-glass anti-reflective coatings for photolithography
JP2004509468A (ja) * 2000-09-13 2004-03-25 シップレーカンパニー エル エル シー 電子デバイスの製造
US6451712B1 (en) * 2000-12-18 2002-09-17 International Business Machines Corporation Method for forming a porous dielectric material layer in a semiconductor device and device formed
JP2002299337A (ja) * 2001-03-29 2002-10-11 Toshiba Corp 半導体装置の製造方法および半導体装置
US6839808B2 (en) * 2001-07-06 2005-01-04 Juniper Networks, Inc. Processing cluster having multiple compute engines and shared tier one caches
US6699792B1 (en) * 2001-07-17 2004-03-02 Advanced Micro Devices, Inc. Polymer spacers for creating small geometry space and method of manufacture thereof
DE60217247T2 (de) * 2001-09-28 2007-10-04 Jsr Corp. Gestapelte Schicht, isolierender Film und Substrate für Halbleiter
US6933586B2 (en) * 2001-12-13 2005-08-23 International Business Machines Corporation Porous low-k dielectric interconnects with improved adhesion produced by partial burnout of surface porogens
US6734096B2 (en) * 2002-01-17 2004-05-11 International Business Machines Corporation Fine-pitch device lithography using a sacrificial hardmask
US6815333B2 (en) 2002-04-02 2004-11-09 Dow Global Technologies Inc. Tri-layer masking architecture for patterning dual damascene interconnects
US6806182B2 (en) * 2002-05-01 2004-10-19 International Business Machines Corporation Method for eliminating via resistance shift in organic ILD
US6846756B2 (en) * 2002-07-30 2005-01-25 Taiwan Semiconductor Manufacturing Co., Ltd Method for preventing low-k dielectric layer cracking in multi-layered dual damascene metallization layers
US7071112B2 (en) * 2002-10-21 2006-07-04 Applied Materials, Inc. BARC shaping for improved fabrication of dual damascene integrated circuit features
JP2004153015A (ja) * 2002-10-30 2004-05-27 Fujitsu Ltd 半導体装置及びその製造方法
US20040084774A1 (en) * 2002-11-02 2004-05-06 Bo Li Gas layer formation materials
US6917108B2 (en) 2002-11-14 2005-07-12 International Business Machines Corporation Reliable low-k interconnect structure with hybrid dielectric
CN100334695C (zh) * 2003-01-02 2007-08-29 上海华虹(集团)有限公司 一种含硅低介电常数材料炉子固化工艺
US6900137B2 (en) * 2003-03-28 2005-05-31 International Business Machines Corporation Dry etch process to edit copper lines
US20040191417A1 (en) * 2003-03-28 2004-09-30 Dorie Yontz Method of integrating a porous dielectric in an integrated circuit device
TW200505966A (en) 2003-04-02 2005-02-16 Dow Global Technologies Inc Organosilicate resin formulation for use in microelectronic devices
WO2004090018A1 (en) * 2003-04-02 2004-10-21 Dow Global Technologies Inc. Multifunctional substituted monomers and polyarylene compositions therefrom
US7164197B2 (en) 2003-06-19 2007-01-16 3M Innovative Properties Company Dielectric composite material
US20050059788A1 (en) * 2003-07-30 2005-03-17 Sumitomo Chemical Company, Limited Organic polymer film and method for producing the same
JP4513956B2 (ja) * 2003-07-30 2010-07-28 日本電気株式会社 有機高分子膜及びその製造方法
US20050087490A1 (en) * 2003-10-28 2005-04-28 International Business Machines Corporation Process for removing impurities from low dielectric constant films disposed on semiconductor devices
US8053159B2 (en) 2003-11-18 2011-11-08 Honeywell International Inc. Antireflective coatings for via fill and photolithography applications and methods of preparation thereof
US7217648B2 (en) * 2004-12-22 2007-05-15 Taiwan Semiconductor Manufacturing Company, Ltd. Post-ESL porogen burn-out for copper ELK integration
US7335588B2 (en) * 2005-04-15 2008-02-26 International Business Machines Corporation Interconnect structure and method of fabrication of same
US20070015082A1 (en) * 2005-07-14 2007-01-18 International Business Machines Corporation Process of making a lithographic structure using antireflective materials
US7326442B2 (en) * 2005-07-14 2008-02-05 International Business Machines Corporation Antireflective composition and process of making a lithographic structure
KR100703559B1 (ko) * 2005-12-28 2007-04-03 동부일렉트로닉스 주식회사 듀얼다마신 구조를 가지는 반도체 소자 및 그 제조방법
US7485573B2 (en) * 2006-02-17 2009-02-03 International Business Machines Corporation Process of making a semiconductor device using multiple antireflective materials
KR100737155B1 (ko) * 2006-08-28 2007-07-06 동부일렉트로닉스 주식회사 반도체 소자의 고주파 인덕터 제조 방법
KR100796047B1 (ko) * 2006-11-21 2008-01-21 제일모직주식회사 레지스트 하층막용 하드마스크 조성물, 이를 이용한 반도체집적회로 디바이스의 제조방법 및 그로부터 제조된 반도체집적회로 디바이스
US8642246B2 (en) * 2007-02-26 2014-02-04 Honeywell International Inc. Compositions, coatings and films for tri-layer patterning applications and methods of preparation thereof
JP4451457B2 (ja) * 2007-02-26 2010-04-14 富士通株式会社 絶縁膜材料及びその製造方法、多層配線及びその製造方法、並びに、半導体装置の製造方法
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
JP2009016672A (ja) * 2007-07-06 2009-01-22 Tokyo Electron Ltd 半導体装置の製造方法、半導体装置、半導体製造装置及び記憶媒体。
US20090026924A1 (en) * 2007-07-23 2009-01-29 Leung Roger Y Methods of making low-refractive index and/or low-k organosilicate coatings
US7682924B2 (en) 2007-08-13 2010-03-23 Micron Technology, Inc. Methods of forming a plurality of capacitors
KR100901759B1 (ko) * 2007-09-12 2009-06-11 제일모직주식회사 레지스트 하층막용 하드마스크 조성물, 이를 이용한반도체 집적회로 디바이스의 제조방법 및 반도체 집적회로디바이스
US8388851B2 (en) 2008-01-08 2013-03-05 Micron Technology, Inc. Capacitor forming methods
US20090200668A1 (en) * 2008-02-07 2009-08-13 International Business Machines Corporation Interconnect structure with high leakage resistance
US7759193B2 (en) 2008-07-09 2010-07-20 Micron Technology, Inc. Methods of forming a plurality of capacitors
JP5413185B2 (ja) * 2008-12-25 2014-02-12 Jsr株式会社 ネガ型感放射線性組成物、硬化パターン形成方法および硬化パターン
KR101266291B1 (ko) * 2008-12-30 2013-05-22 제일모직주식회사 레지스트 하층막용 조성물 및 이를 이용한 반도체 집적회로디바이스의 제조방법
US8518788B2 (en) 2010-08-11 2013-08-27 Micron Technology, Inc. Methods of forming a plurality of capacitors
US8598465B2 (en) * 2011-01-27 2013-12-03 Northrop Grumman Systems Corporation Hermetic circuit ring for BCB WSA circuits
US8864898B2 (en) 2011-05-31 2014-10-21 Honeywell International Inc. Coating formulations for optical elements
US9076680B2 (en) 2011-10-18 2015-07-07 Micron Technology, Inc. Integrated circuitry, methods of forming capacitors, and methods of forming integrated circuitry comprising an array of capacitors and circuitry peripheral to the array
US8946043B2 (en) 2011-12-21 2015-02-03 Micron Technology, Inc. Methods of forming capacitors
US8652926B1 (en) 2012-07-26 2014-02-18 Micron Technology, Inc. Methods of forming capacitors
US9109080B2 (en) 2012-10-22 2015-08-18 Delsper LP Cross-linked organic polymer compositions and methods for controlling cross-linking reaction rate and of modifying same to enhance processability
US9127138B2 (en) 2013-01-28 2015-09-08 Delsper LP Anti-extrusion compositions for sealing and wear components
SG11201507318WA (en) 2013-03-15 2015-10-29 Delsper LP Cross-linked organic polymers for use as elastomers
US9058983B2 (en) 2013-06-17 2015-06-16 International Business Machines Corporation In-situ hardmask generation
EP3259581B1 (en) 2015-02-17 2020-01-29 Honeywell International Inc. Humidity sensor and method for manufacturing the sensor
EP3194502A4 (en) 2015-04-13 2018-05-16 Honeywell International Inc. Polysiloxane formulations and coatings for optoelectronic applications
JP6600480B2 (ja) * 2015-04-20 2019-10-30 東京エレクトロン株式会社 被処理体を処理する方法
KR101926023B1 (ko) 2015-10-23 2018-12-06 삼성에스디아이 주식회사 막 구조물 제조 방법 및 패턴형성방법
EP3244201B1 (en) 2016-05-13 2021-10-27 Honeywell International Inc. Fet based humidity sensor with barrier layer protecting gate dielectric
US10410883B2 (en) * 2016-06-01 2019-09-10 Corning Incorporated Articles and methods of forming vias in substrates
US10794679B2 (en) 2016-06-29 2020-10-06 Corning Incorporated Method and system for measuring geometric parameters of through holes
US10468244B2 (en) * 2016-08-30 2019-11-05 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-K films to fill surface features
US11017998B2 (en) 2016-08-30 2021-05-25 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-K films to fill surface features
US11175581B2 (en) * 2016-12-05 2021-11-16 Rohm And Haas Electronic Materials Llc Aromatic resins for underlayers
US10894848B2 (en) * 2016-12-14 2021-01-19 Rohm And Haas Electronic Materials Llc Polyarylene resins
US10580725B2 (en) 2017-05-25 2020-03-03 Corning Incorporated Articles having vias with geometry attributes and methods for fabricating the same
US11078112B2 (en) 2017-05-25 2021-08-03 Corning Incorporated Silica-containing substrates with vias having an axially variable sidewall taper and methods for forming the same
US11042093B2 (en) * 2017-11-15 2021-06-22 Rohm And Haas Electronic Materials Llc Gap-filling method
KR102113659B1 (ko) * 2017-11-28 2020-05-21 삼성에스디아이 주식회사 하드마스크 조성물 및 패턴 형성 방법
US11554984B2 (en) 2018-02-22 2023-01-17 Corning Incorporated Alkali-free borosilicate glasses with low post-HF etch roughness
US11584956B2 (en) 2018-12-21 2023-02-21 Microsoft Technology Licensing, Llc Selectively controllable cleavable linkers
US11773422B2 (en) 2019-08-16 2023-10-03 Microsoft Technology Licensing, Llc Regulation of polymerase using cofactor oxidation states
US11896945B2 (en) * 2019-10-09 2024-02-13 Microsoft Technology Licensing, Llc High surface area coatings for solid-phase synthesis

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4043953A (en) * 1975-05-02 1977-08-23 Ppg Industries, Inc. Ambient temperature, moisture-curable acrylic-silane coating compositions having improved potlife
DE4218495A1 (de) * 1991-06-06 1992-12-10 Mitsubishi Electric Corp Halbleiter-vorrichtung und verfahren zur herstellung dieser halbleiter-vorrichtung
CN1165161A (zh) * 1995-09-21 1997-11-19 旭硝子株式会社 低介电树脂组合物
EP0466025B1 (en) * 1990-07-06 1999-03-10 Nippon Telegraph And Telephone Corporation Resist material, method for the production of the same and process of forming resist patterns using the same
CN1257529A (zh) * 1997-07-15 2000-06-21 旭化成工业株式会社 用于制造绝缘薄膜的烷氧基硅烷/有机聚合物组合物及其用途

Family Cites Families (72)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3457224A (en) * 1968-09-26 1969-07-22 Owens Illinois Inc Method for preparing organopolysiloxanes using vanadium chelate hydrolysis catalysts
US3474070A (en) * 1969-01-10 1969-10-21 Owens Illinois Inc Methods for preparing organopolysiloxanes using ferric-containing catalysts
US3491054A (en) * 1969-03-28 1970-01-20 Owens Illinois Inc Methods for preparing organo-polysiloxanes using aluminum hydrolysis catalysts
US3837897A (en) * 1972-05-04 1974-09-24 Owens Corning Fiberglass Corp Glass fiber reinforced elastomers
JPS56151731A (en) * 1980-04-25 1981-11-24 Japan Synthetic Rubber Co Ltd Preparation of silicone resin
JPS60110726A (ja) * 1983-11-18 1985-06-17 Mitsubishi Electric Corp シリコ−ン化合物の製法
US4596733A (en) * 1983-12-30 1986-06-24 International Business Machines Corporation Electroerosion recording material with polyorganosiloxane overlayer
JPS61247756A (ja) * 1985-04-26 1986-11-05 Toray Silicone Co Ltd 室温硬化性オルガノポリシロキサン組成物
US4812588A (en) * 1987-12-14 1989-03-14 The Dow Chemical Company Polyorganosiloxane-bridged bisbenzocyclobutene monomers
JP2606321B2 (ja) * 1988-10-06 1997-04-30 富士通株式会社 感光性耐熱樹脂組成物と半導体装置の製造方法
US5155175A (en) * 1989-12-08 1992-10-13 Intellectual Property Law Dept. Crosslinkable fluorinated polyarylene ether composition
JP2718231B2 (ja) * 1990-01-10 1998-02-25 三菱電機株式会社 高純度末端ヒドロキシフェニルラダーシロキサンプレポリマーの製造方法および高純度末端ヒドロキシフェニルラダーポリシロキサンの製造方法
US5179188A (en) * 1990-04-17 1993-01-12 Raychem Corporation Crosslinkable fluorinated aromatic ether composition
US5115082A (en) * 1990-04-17 1992-05-19 Raychem Corporation Fluorinated poly(arylene ether)
JPH04338958A (ja) * 1990-07-06 1992-11-26 Nippon Telegr & Teleph Corp <Ntt> レジスト材料、その製造方法およびこれを用いたパターン形成方法
US5138081A (en) * 1991-04-30 1992-08-11 The Dow Chemical Company Process for purifying vinylically-unsaturated organosilicon compounds
US5136069A (en) * 1991-03-28 1992-08-04 The Dow Chemical Company Process for preparing vinylically-unsaturated compounds (II)
DE4125201C1 (US07268200-20070911-C00007.png) * 1991-07-30 1992-10-01 Fraunhofer-Gesellschaft Zur Foerderung Der Angewandten Forschung Ev, 8000 Muenchen, De
JP3132885B2 (ja) 1992-03-19 2001-02-05 富士通株式会社 レジスト組成物及びそれを用いるパターン形成方法
US5854302A (en) * 1993-04-29 1998-12-29 The Dow Chemical Company Partially polymerized divinylsiloxane linked bisbenzocyclobutene resins and methods for making said resins
JP3713719B2 (ja) * 1993-12-08 2005-11-09 チッソ株式会社 ポリシロキサンの製造方法
US5565384A (en) * 1994-04-28 1996-10-15 Texas Instruments Inc Self-aligned via using low permittivity dielectric
JPH07331172A (ja) * 1994-06-09 1995-12-19 Toray Ind Inc カラーフィルタ保護膜形成用塗液組成物
US6149855A (en) * 1994-07-28 2000-11-21 Watson; Louis L. Method of manufacturing a building material from volcanic magma
JPH08100035A (ja) * 1994-09-28 1996-04-16 Showa Denko Kk 水性エマルジョンおよびその製造方法
US5994489A (en) * 1994-10-24 1999-11-30 The Dow Chemical Company Adhesion promoter and self-priming arylcyclobutene resin compositions
US5668210A (en) * 1994-10-24 1997-09-16 The Dow Chemical Company Adhesion promoter and self-priming arylcyclobutene resin compositions
JPH07331173A (ja) * 1995-02-21 1995-12-19 Toray Ind Inc 光学材料形成用塗液組成物および光学材料
JP3347936B2 (ja) * 1995-03-16 2002-11-20 信越化学工業株式会社 光硬化性オルガノポリシロキサン組成物
US5986045A (en) 1995-06-26 1999-11-16 Alliedsignal Inc. Poly(arylene ether) compositions and the method for their manufacture
US5874516A (en) * 1995-07-13 1999-02-23 Air Products And Chemicals, Inc. Nonfunctionalized poly(arylene ethers)
BR9610547A (pt) 1995-09-12 1999-07-06 Dow Chemical Co Compostos aromáticos substituídos com etinila síntese polímeros e usos dos mesmos
JP3179340B2 (ja) * 1996-05-27 2001-06-25 大日本塗料株式会社 オルガノポリシロキサンの製造方法
US5989998A (en) 1996-08-29 1999-11-23 Matsushita Electric Industrial Co., Ltd. Method of forming interlayer insulating film
US5965679A (en) * 1996-09-10 1999-10-12 The Dow Chemical Company Polyphenylene oligomers and polymers
US5854126A (en) 1997-03-31 1998-12-29 Siemens Aktiengesellschaft Method for forming metallization in semiconductor devices with a self-planarizing material
US6218497B1 (en) * 1997-04-21 2001-04-17 Alliedsignal Inc. Organohydridosiloxane resins with low organic content
US6143855A (en) * 1997-04-21 2000-11-07 Alliedsignal Inc. Organohydridosiloxane resins with high organic content
JPH10298254A (ja) * 1997-04-23 1998-11-10 Mitsubishi Rayon Co Ltd 硬化性組成物およびその製造方法、並びに歯科用修復材料
US5920790A (en) * 1997-08-29 1999-07-06 Motorola, Inc. Method of forming a semiconductor device having dual inlaid structure
US6218078B1 (en) * 1997-09-24 2001-04-17 Advanced Micro Devices, Inc. Creation of an etch hardmask by spin-on technique
US5984489A (en) * 1998-02-04 1999-11-16 Rubenstein; Adam Ornamental lighting for trees
US6177199B1 (en) * 1999-01-07 2001-01-23 Alliedsignal Inc. Dielectric films from organohydridosiloxane resins with low organic content
US6962727B2 (en) * 1998-03-20 2005-11-08 Honeywell International Inc. Organosiloxanes
US6218020B1 (en) * 1999-01-07 2001-04-17 Alliedsignal Inc. Dielectric films from organohydridosiloxane resins with high organic content
US6140445A (en) * 1998-04-17 2000-10-31 Crompton Corporation Silane functional oligomer
DE19817785A1 (de) * 1998-04-21 1999-10-28 Inst Neue Mat Gemein Gmbh Feste, aufschmelzbare und thermisch härtbare Masse, deren Herstellung und deren Verwendung
US6265780B1 (en) * 1998-12-01 2001-07-24 United Microelectronics Corp. Dual damascene structure for the wiring-line structures of multi-level interconnects in integrated circuit
US6184284B1 (en) * 1998-08-24 2001-02-06 The Dow Chemical Company Adhesion promoter and self-priming resin compositions and articles made therefrom
DE69941677D1 (de) 1998-09-25 2010-01-07 Jgc Catalysts & Chemicals Ltd Flüssige beschichtungszusammensetzung für silicabeschichtung mit niedriger durchlössigkeit und mit d
US6231989B1 (en) * 1998-11-20 2001-05-15 Dow Corning Corporation Method of forming coatings
EP1141128B1 (en) * 1998-11-24 2006-04-12 Dow Global Technologies Inc. A composition containing a cross-linkable matrix precursor and a poragen, and a porous matrix prepared therefrom
EP1157059A1 (en) * 1999-01-08 2001-11-28 The Dow Chemical Company Low dielectric constant polymers having good adhesion and toughness and articles made with such polymers
US20030089987A1 (en) 1999-02-05 2003-05-15 Suketu A. Parikh Dual damascene misalignment tolerant techniques for vias and sacrificial etch segments
JP4096138B2 (ja) * 1999-04-12 2008-06-04 Jsr株式会社 レジスト下層膜用組成物の製造方法
US6218317B1 (en) * 1999-04-19 2001-04-17 National Semiconductor Corp. Methylated oxide-type dielectric as a replacement for SiO2 hardmasks used in polymeric low K, dual damascene interconnect integration
JP3353743B2 (ja) * 1999-05-18 2002-12-03 日本電気株式会社 半導体装置とその製造方法
US6509259B1 (en) 1999-06-09 2003-01-21 Alliedsignal Inc. Process of using siloxane dielectric films in the integration of organic dielectric films in electronic devices
JP3459408B2 (ja) * 1999-06-18 2003-10-20 日本山村硝子株式会社 シリコーンオリゴマー溶液の製造方法及び該溶液から形成されたオルガノポリシロキサン膜
JP2001019724A (ja) * 1999-07-06 2001-01-23 Jsr Corp 膜形成用組成物、電子材料、膜の形成方法及び膜
JP2001044191A (ja) * 1999-07-27 2001-02-16 Sony Corp 積層絶縁膜とその製造方法および半導体装置とその製造方法
US6498399B2 (en) 1999-09-08 2002-12-24 Alliedsignal Inc. Low dielectric-constant dielectric for etchstop in dual damascene backend of integrated circuits
JP2001077196A (ja) * 1999-09-08 2001-03-23 Sony Corp 半導体装置の製造方法
US6232424B1 (en) * 1999-12-13 2001-05-15 Dow Corning Corporation Soluble silicone resin compositions having good solution stability
JP2001156170A (ja) * 1999-11-30 2001-06-08 Sony Corp 多層配線の製造方法
MXPA01011348A (es) * 2000-02-08 2003-07-14 Adsil Lc Metodo para mejorar la eficiencia de la transferencia del calor utilizando recubrimientos de silano y articulos recubiertos producidos por el mismo.
KR20000063142A (ko) * 2000-02-17 2000-11-06 이응찬 폴리오르가노실세스키옥산 제조용 출발물질,폴리오르가노실세스키옥산 및 폴리오르가노실세스키옥산제조방법
YU65002A (sh) * 2000-02-28 2006-01-16 Adsil Lc. Nevodeni preparati za prevlačenje dobijeni iz silana i metalnih alkoholata
JP3604007B2 (ja) * 2000-03-29 2004-12-22 富士通株式会社 低誘電率被膜形成材料、及びそれを用いた被膜と半導体装置の製造方法
JP3679972B2 (ja) * 2000-04-04 2005-08-03 三菱電機株式会社 高純度シリコーンラダーポリマーの製造方法
US6720249B1 (en) * 2000-04-17 2004-04-13 International Business Machines Corporation Protective hardmask for producing interconnect structures
JP4377040B2 (ja) * 2000-07-24 2009-12-02 Necエレクトロニクス株式会社 半導体の製造方法

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4043953A (en) * 1975-05-02 1977-08-23 Ppg Industries, Inc. Ambient temperature, moisture-curable acrylic-silane coating compositions having improved potlife
EP0466025B1 (en) * 1990-07-06 1999-03-10 Nippon Telegraph And Telephone Corporation Resist material, method for the production of the same and process of forming resist patterns using the same
DE4218495A1 (de) * 1991-06-06 1992-12-10 Mitsubishi Electric Corp Halbleiter-vorrichtung und verfahren zur herstellung dieser halbleiter-vorrichtung
CN1165161A (zh) * 1995-09-21 1997-11-19 旭硝子株式会社 低介电树脂组合物
CN1257529A (zh) * 1997-07-15 2000-06-21 旭化成工业株式会社 用于制造绝缘薄膜的烷氧基硅烷/有机聚合物组合物及其用途

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI656146B (zh) * 2016-12-05 2019-04-11 美商羅門哈斯電子材料有限公司 用於底層之芳族樹脂

Also Published As

Publication number Publication date
WO2002016477A2 (en) 2002-02-28
WO2002016477A3 (en) 2002-12-27
KR20040030400A (ko) 2004-04-09
CN1447981A (zh) 2003-10-08
US20020052125A1 (en) 2002-05-02
EP1837902A3 (en) 2008-04-02
KR100795714B1 (ko) 2008-01-21
TWI271413B (en) 2007-01-21
EP1837902B1 (en) 2017-05-24
US20060063393A1 (en) 2006-03-23
US7268200B2 (en) 2007-09-11
EP1314193A2 (en) 2003-05-28
US7115531B2 (en) 2006-10-03
JP5350571B2 (ja) 2013-11-27
EP1837902A2 (en) 2007-09-26
JP2004506797A (ja) 2004-03-04

Similar Documents

Publication Publication Date Title
CN1447981B (zh) 微电子装置制造中用于有机聚合物电介质的硬面层的有机硅酸盐树脂
CN100375265C (zh) 用于图形化双波纹互连的三层掩膜结构
US6509259B1 (en) Process of using siloxane dielectric films in the integration of organic dielectric films in electronic devices
KR101197526B1 (ko) 마이크로전자 장치에 사용하기 위한 유기 실리케이트 수지조성물
KR100538750B1 (ko) 상호 접속 구조체 및 이종 로우-k 유전체
US20050042860A1 (en) Method for eliminating reaction between photoresist and OSG
US9196523B2 (en) Self-aligned permanent on-chip interconnect structures
US6858528B2 (en) Composite sacrificial material
US20130001781A1 (en) STRUCTURES AND METHODS FOR PHOTO-PATTERNABLE LOW-k (PPLK) INTEGRATION
US20090014880A1 (en) Dual damascene process flow enabling minimal ulk film modification and enhanced stack integrity
CA2368265A1 (en) Electron beam process during damascene processing
KR20020020910A (ko) 집적회로내에 자체 정렬된 구리 확산 장벽 제조방법
US20200219765A1 (en) Interconnect structures containing patternable low-k dielectrics and anti-reflective coatings and method of fabricating the same
US6489030B1 (en) Low dielectric constant films used as copper diffusion barrier
US6498399B2 (en) Low dielectric-constant dielectric for etchstop in dual damascene backend of integrated circuits
US7830012B2 (en) Material for forming exposure light-blocking film, multilayer interconnection structure and manufacturing method thereof, and semiconductor device
JP3632684B2 (ja) 半導体素子及び半導体パッケージ
JPH06271772A (ja) シルセスキオキサンポリマー組成物
AU2002232816A1 (en) Method for eliminating reaction between photoresist and organosilicate glass

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C12 Rejection of a patent application after its publication
RJ01 Rejection of invention patent application after publication

Open date: 20031008

CI01 Publication of corrected invention patent application

Correction item: Rejection of patent application

Correct: Dismiss

False: Reject

Number: 31

Volume: 26

ERR Gazette correction

Free format text: CORRECT: PATENT APPLICATION REJECTION AFTER PUBLICATION; FROM: REJECTION TO: REJECTION OF REVOCATION

C14 Grant of patent or utility model
GR01 Patent grant
CI01 Publication of corrected invention patent application

Correction item: Priority information

Correct: 60/226,170 2000.08.21 US|60/284,317 2001.04.17 US

Number: 32

Volume: 29

CI03 Correction of invention patent

Correction item: Priority information

Correct: 60/226,170 2000.08.21 US|60/284,317 2001.04.17 US

Number: 32

Page: The title page

Volume: 29

ERR Gazette correction

Free format text: CORRECT: PRIORITY INFORMATION; FROM: NONE TO: 60/226,170 2000.08.21 US;60/284,317 2001.04.17 US

RECT Rectification
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20130807

Termination date: 20200820