KR101197526B1 - 마이크로전자 장치에 사용하기 위한 유기 실리케이트 수지조성물 - Google Patents

마이크로전자 장치에 사용하기 위한 유기 실리케이트 수지조성물 Download PDF

Info

Publication number
KR101197526B1
KR101197526B1 KR1020057018491A KR20057018491A KR101197526B1 KR 101197526 B1 KR101197526 B1 KR 101197526B1 KR 1020057018491 A KR1020057018491 A KR 1020057018491A KR 20057018491 A KR20057018491 A KR 20057018491A KR 101197526 B1 KR101197526 B1 KR 101197526B1
Authority
KR
South Korea
Prior art keywords
layer
organic
organic silicate
composition
bis
Prior art date
Application number
KR1020057018491A
Other languages
English (en)
Other versions
KR20050108417A (ko
Inventor
키란 케이. 바이케리카
사오광 펑
잭 이. 헤츠너
존 엠. 마허
마이클 이. 밀스
폴 제이. 파파
리차드 제이. 스트릿매터
래리 알. 윌슨
Original Assignee
다우 글로벌 테크놀로지스 엘엘씨
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 다우 글로벌 테크놀로지스 엘엘씨 filed Critical 다우 글로벌 테크놀로지스 엘엘씨
Publication of KR20050108417A publication Critical patent/KR20050108417A/ko
Application granted granted Critical
Publication of KR101197526B1 publication Critical patent/KR101197526B1/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D4/00Coating compositions, e.g. paints, varnishes or lacquers, based on organic non-macromolecular compounds having at least one polymerisable carbon-to-carbon unsaturated bond ; Coating compositions, based on monomers of macromolecular compounds of groups C09D183/00 - C09D183/16
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/04Polysiloxanes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0755Non-macromolecular compounds containing Si-O, Si-C or Si-N bonds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0757Macromolecular compounds containing Si-O, Si-C or Si-N bonds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0045Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Wood Science & Technology (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Materials For Photolithography (AREA)
  • Silicon Polymers (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)
  • Compositions Of Macromolecular Compounds (AREA)
  • Paints Or Removers (AREA)
  • Surface Treatment Of Optical Elements (AREA)
  • Application Of Or Painting With Fluid Materials (AREA)
  • Laminated Bodies (AREA)

Abstract

본 발명은 규소 원자에 결합된, 에틸렌계 불포화 결합을 갖는 하나 이상의 그룹을 갖는 알콕시 또는 아실옥시 실란(a), 규소 원자에 결합된, 방향족 환을 함유하는 하나 이상의 그룹을 갖는 알콕시 또는 아실옥시 실란(b), 잠산 촉매(c) 및 임의로, 규소 원자에 결합된, 하나 이상의 C1-C6 알킬 그룹을 갖는 알콕시 또는 아실옥시 실란(d)을 포함하는, 전자 장치의 제조시 하나 이상의 층을 형성하는 데에 사용되는, 경화성 유기 실리케이트 조성물에 관한 것이다.
유기 실리케이트, 마이크로전자 장치, 하드마스크, 포토레지스트, 반사 방지 피복물.

Description

마이크로전자 장치에 사용하기 위한 유기 실리케이트 수지 조성물{Organosilicate resin formulation for use in microelectronic devices}
본 발명은 마이크로전자 장치의 제조에서 하드마스크(hardmasks), 에치스탑(etchstops), 반사 방지층, 접착 증진층, 화학/기계 연마(CMP) 종료층 또는 기타의 층 및 층들의 복합체로서의 유기 실리케이트 수지의 용도, 이러한 소자의 제조 방법 및 이로부터 제조된 전자 장치에 관한 것이다.
마이크로전자 장치의 제조 산업은 전력 소모를 감소시키고 소자의 생산 속도를 높이기 위하여 소자를 소형화하는 추세이다. 도선이 더욱 정교해지고 밀접하게 팩킹될수록 이러한 도선들 사이의 유전체에 대한 요건은 더 엄격해진다. 전형적으로 사용되는 유전 재료인 이산화규소의 유전 상수보다 더 낮은 유전 상수를 갖는 새로운 재료가 연구 중이다. 많은 승인을 얻고 있는 저 유전 재료 중에는 약 3.0 미만의 유전 상수를 갖는 스핀 온(spin-on), 유기, 무기 또는 혼성 중합체가 있다. 폴리아릴렌 에테르 및 실크(SiLKTM) 반도체 유전막[제조원: 더 다우 케미칼 캄파니(The Dow Chemical Company)]을 포함하는 폴리아릴렌은 주요한 유기 중합체 유전막이라 생각된다. 적합한 무기 중합체 및 혼성 중합체의 예는 미국 특허 제6,159,871호 및 제6,541,398호 등에 기재된 유기 실리케이트 글래스(organic silicate glasses: OSG) 및 탄소 함유 산화물(carbon doped oxide: CDO) 중합체를 포함한다.
이러한 새로운 유전 재료를 사용한 마이크로전자 장치의 제조 방법이 재검토되었다[참조: Material Research Society Bulletin, Vol. 22, no. 10(1997)]. 그러나, 현재까지 폴리아릴렌 유전체는 일반적으로 목적하는 형상의 유전 재료로 패턴을 변형시키는 무기 하드마스크를 이용하는 전형적인 방식으로 패턴화되어 왔다. 전형적으로, 폴리아릴렌 유전체를 기판에 도포하고 경화시킨 후, 무기 하드마스크를 강화 플라즈마 화학 증착법에 의해 증착시킨다. 하드마스크와 폴리아릴렌 필름 사이의 적절한 접착을 보장하도록 증착 상태를 주의깊게 관찰해야 한다. 패턴은 표준 패턴 기술, 예를 들면 포토레지스트 또는 소프트마스크를 도포한 후 소프트마스크를 노출 및 현상하고, 소프트마스크로부터 하드마스크로 패턴 이동한 후 소프트마스크를 제거하는 방법으로 형성한다. 하드마스크의 에칭은 전형적으로 반응성 이온 플라즈마 내에서 불소 반응성 성분을 생성하는 불소 함유 화합물을 사용하여 수행한다. 이 후, 하부의 폴리아릴렌 유전체를 일반적으로 불소를 함유하지 않는 상이한 에칭 화합물을 사용하여 패턴화할 수 있다.
마이크로전자 장치의 제조에서 유전 재료, 에치스탑 및 하드마스크의 각종 양태 및 방법을 논의한 추가의 문헌으로는 인접한 에치스탑으로서 사용되는 층과 유전 재료는 실질적으로 상이한 에치 선택성을 가져야 한다고 기술한 국제 공개특허공보 제WO 01/18861호가 있다. 당해 문헌에는 무기 층(탄소 원자를 함유하지 않 은 층으로 정의됨)은 비아(via) 레벨 및 금속 레벨의 금속간 유전체에 사용되어야 하고, 에치스탑 재료로서 무기 층들 사이에는 낮은 유전 상수의 유기 재료가 사용되어야 한다고 기재되어 있다.
국제 공개특허공보 제WO 00/75979호는 유기 중합체인 제1 유전체 층과, 제1 유전체 층 위에 존재하는 오가노하이드리도실록산(organohydridosiloxane)인 제2 유전체 층을 갖는 구조를 개시하였다. 미국 특허 제6,218,078호는 낮은 유전 상수의 중합체(벤조사이클로부텐) 위에 피복되는 스핀 온 하드마스크 조성물[하이드로겐실세스퀴옥산(hydrogensilsesquioxane)]의 용도를 개시하였다. 미국 특허 제6,218,317호는 중합체 층간 유전체(ILD) 재료 위의 메틸화된 옥사이드 하드마스크의 용도를 개시하였다. 유리하게, 하드마스크 및 ILD 조성물은 둘 다 스핀-코팅 기술에 의해 도포될 수 있다.
예컨대, 미국 특허 제5,994,489호 및 국제 공개특허공보 제WO 00/11096호에 기재된 바와 같이, 유기 실리케이트 수지는 치환된 알콕시실란 또는 치환된 아실옥시실란의 완전 가수분해 또는 부분 가수분해된 반응 생성물을 포함한다. 국제 공개특허공보 제WO 02/16477호는 전자 장치의 제조에서 하드마스크로서 유용하게 사용되는 유기 실리케이트 조성물을 개시하였다. 더욱 구체적으로, 당해 조성물은,
규소 원자에 결합된, 에틸렌계 불포화 결합을 갖는 하나 이상의 그룹을 갖는 알콕시 또는 아실옥시 실란(a),
규소 원자에 결합된, 방향족 환을 함유하는 하나 이상의 그룹을 갖는 알콕시 또는 아실옥시 실란(b) 및
임의로, 규소 원자에 결합된, 하나 이상의 C1-C6 알킬 그룹을 갖는 알콕시 또는 아실옥시 실란(c)을 포함한다. 경화 특성을 향상시키기 위하여 염산과 같은 산 촉매를 포함할 수 있다.
불리하게, 상기 조성물은 높은 온도를 제외하고는 경화될 수 없다. 이 때문에 전기로 또는 이와 유사한 장치를 사용한 가열 단계를 사용하게 된다. 조성물 중에 산 촉매가 함유된 경우에 최종 조성물은 제한된 "보존 기간" 또는 "사용 가능 시간"을 갖는다. 이러한 이유로, 재료의 사용 가능 시간을 연장하고, 도포된 필름에 결함을 일으키고 목적하는 박막 두께의 달성을 곤란하게 할 수 있는 입자 및 겔의 형성을 막기 위하여 일반적으로는 당해 재료를 저온(20℃ 미만)으로 유지하여야 한다. 이러한 냉장 요건은 선적, 보관, 도포 및 후속적 사용에 어려움을 갖게 한다.
개선된 저장성 및 사용 특성을 갖는 조성물이 바람직할 것이다.
[발명의 개요]
본 발명에 따르면,
규소 원자에 결합된, 에틸렌계 불포화 결합을 갖는 하나 이상의 그룹을 갖는 알콕시 또는 아실옥시 실란(a),
규소 원자에 결합된, 방향족 환을 함유하는 하나 이상의 그룹을 갖는 알콕시 또는 아실옥시 실란(b),
잠산(latent acid) 촉매(c) 및
임의로, 규소 원자에 결합된, 하나 이상의 C1-C6 알킬 그룹을 갖는 알콕시 또는 아실옥시 실란(d)을 포함하는, 개선된 경화성 유기 실리케이트 조성물이 제공된다.
상기 조성물이 마이크로전자 장치 내의 하드마스크, 매립된 에치스탑, 반사 방지층, 접착 증진층, CMP 종료층, 기타의 층 또는 이들의 복합체를 형성하기 위하여 상기 국제 공개특허공보 제WO 02/16477호에 개시된 방법에 적용될 때 특히 효과적이다. 잠산 촉매로 인해 조성물은 미리 조기 경화를 일으키는 조건 하에서 선적, 저장 및 도포될 수 있다.
추가로, 본 발명은
기판을 제공하는 단계,
유기, 무기 또는 혼성 중합체를 포함하고, 유전 상수가 3.0 미만인 제1(유전체) 층을 기판 위에 형성하는 단계,
제1항 내지 제5항 중의 어느 한 항에 따르는 유기 실리케이트 조성물을 제1 층 위에 도포하는 단계 및
유기 실리케이트 조성물을 가수분해(경화)시켜 유기 실리케이트 수지층을 형성하는 단계를 포함하는 다층 필름 제품의 제조방법을 제공한다.
또한, 광활성화된 잠산 발생 촉매를 사용함으로써 패턴화 및 선택적 제거가 가능한 선택적 하드마스크 조성물이 제공된다. 따라서, 본 발명은
기판을 제공하는 단계,
유기, 무기 또는 혼성 중합체를 포함하고, 유전 상수가 3.0 미만인 제1 층을 기판 위에 형성하는 단계,
광활성화된 잠산 촉매를 함유한 유기 실리케이트 수지를 포함한 하나 이상의 층을 제1 층 위에 도포하는 단계,
유기 실리케이트 수지 층을 광 패턴에 선택적으로 노출시키는 단계,
유기 실리케이트 수지의 노출 부분 또는 비노출 부분 중 적어도 일부분을 제거하여 제1 층의 적어도 일부분을 벗겨내는 단계 및
임의로 제1 층의 벗겨진 부분의 일부분 또는 전부를 제거하는 단계를 포함하는, 전자 장치 내의 1개 이상의 층을 형성하는 방법도 제공한다.
본 발명의 또 다른 양태에 따르면, 저 유전 상수의 유기, 무기 또는 혼성 중합체로 된 제2 층을 유기 실리케이트 층 위에 도포할 수 있다. 당해 구성에서, 유기 실리케이트 층은 듀얼 다마신(dual damascene) 회로 형성 공정에서 트렌치의 깊이를 정확히 조절하기 위한 매립된 에치스탑으로서의 역할을 한다. 매립된 에치스탑은 광활성화된 잠산 촉매를 함유할 수 있으며, 비아(via)들이 제1 유기 중합체 유전층 안으로 관통하는 포토리소그래피 기술에 의해 패턴화될 수 있다. 매립된 에치스탑 층의 에칭은 선택된 통합 설계의 형태에 따라서 제2 유기, 무기 또는 혼성 중합체 층의 피복 전 또는 후에 일어날 수 있다.
본 발명의 또 다른 양태에 따르면, 본 발명에 따른 1종 이상의 조성물은 인쇄 회로 또는 기타 전자 장치의 제조에서 1회 이상의 연속적 노출 및/또는 경화 단 계를 수행하는 동안 반사광 또는 산란광, 특히 UV 광을 흡수하기 위한 목적으로 제공된다. 이러한 반사 방지층은 자외선 광의 전달을 위하여 공기 대신 유체, 특히 물을 사용하는 액침 리소그래피 공정에 사용될 때 특히 유리하다. 당해 공정은 광 산란을 증가시키고/증가시키거나 입사각을 변화시킬 수 있으므로 더 많은 UV 광 흡수에 대한 필요성을 증가시킨다. 특히 바람직한 양태에서는, 각각 광 흡수 특성이 약간 상이한(중합체의 방향족 그룹 함량을 조절함으로써 얻을 수 있다) 본 발명의 조성물의 다수 층, 바람직하게는 2, 3 또는 4개의 층을 증착 및 경화시켜서 복합 구조물에 최대의 UV 흡수 특성을 제공할 수 있다.
더욱 상세하게, 본 발명은
기판을 제공하는 단계,
기판의 적어도 일부분 또는 상기 기판에 도포된 하나 이상의 중간층 위에 본원에 설명된 유기 실리케이트 조성물을 층으로 도포하는 단계 및
유기 실리케이트 조성물을 가수분해(경화)하여 유기 실리케이트 수지를 형성하는 단계를 포함하는, 기판 위에 반사 방지 피복물을 형성하는 방법을 제공한다.
본 발명의 다른 양태에서, 본 발명에 따른 하나 이상의 층들은 하드마스크, 에치스탑, 반사 방지층, 접착 증진층, 화학/기계 연마(CMP) 종료층 또는 이들의 복합체와 같은 다중적 기능을 수행할 수 있다. 특히 바람직한 양태에서는 본 발명의 조성물이 반사 방지층과 에치스탑 층으로서 작용한다.
본 발명은 또한 집적 회로와 같은 전자 장치 또는 이를 포함한 제품이고, 트랜지스터를 함유한 기판 및 유전 상수가 3.0 미만이고 유기, 무기 또는 혼성 중합 체를 포함한 층 또는 영역에 의해 적어도 부분적으로 분리된 금속선의 패턴을 함유하는 전기 배선 구조물을 포함하며, 상기 제품은 추가로 본원의 위에서 기술되었거나 다음에 기술된 하나 이상의 유기 실리케이트 조성물의 층을 포함한다.
본원에서 원소 주기율 표는 문헌[참조: CRC Press, Inc.(2003)]에 공개되고 저작권 보호된 원소 주기율 표에 따른다. 또한, 그룹(들)에 대한 언급은 IUPAC 명명 시스템을 사용하여 상기 원소 주기율 표에 반영한 그룹(들)에 따른다. 미국 특허 시행령에 따라, 본원에 언급된 모든 특허, 특허 출원 또는 특허공보문헌의 내용은, 특히 합성 기술, 원료 및 당업계에서의 일반 지식에 대한 설명과 관련하여, 그 전문을 참조로 인용한다(또는 이에 상당하는 미국 문헌을 참조로 인용한다).
본원에서 "포함한다" 함은 임의의 부가적 성분, 단계 또는 공정의 존재를 본원에서의 이들의 기재 여부에 관계없이 배제하지 않는다는 의미이다. 명확하게 하자면, 본원에서 "포함한다"는 용어를 사용하여 청구되어진 모든 조성물은 달리 언급되지 않는 한 임의의 부가적 첨가제, 보조제 또는 화합물을 함유할 수 있다. 반면, "본질적으로 이루어진다" 함은 실시 성능에 필수적이지 않은 것들은 제외시키고 임의의 기타 성분, 단계 또는 공정을 배제시킴을 의미한다. "이루어진다"란 용어가 사용된 경우는 특정하게 서술 또는 열거되지 않은 임의의 성분, 단계 또는 공정이 배제된다. "또는"이란 달리 언급하지 않는 한 열거된 구성원들 개개는 물론 이들의 조합도 의미한다.
"알킬리덴"이란 분자의 나머지 부분에의 두 결합이 동일한 탄소에서 이루어진 2가의 지방족 탄화수소 라디칼을 의미한다. "알킬렌"이란 분자의 나머지 부분에의 결합들이 상이한 탄소에서 이루어진, 화학식 -(CnH2n)-에 상응하는 2가 라디칼을 의미한다. "아릴"이란 방향족 라디칼을 의미하며, "방향족"은 (4n+2)개의 전자(여기서, n은 정수이다)를 함유한다[참조: Morrison and Boyd, "Organic Chemistry", 3rd Ed., 1973]. "아릴렌"이란 2가 방향족 라디칼을 의미한다. "아실"이란 -C(O)R 구조를 갖는 그룹을 의미한다(예컨대, C2 아실은 -C(O)CH3이다). "아실옥시"란 -OC(O)R 구조를 갖는 그룹이다.
알콕시 또는 아실옥시실란을 가수분해하면 미가수분해, 부분 가수분해, 완전 가수분해 및 올리고머화된 알콕시실란 또는 아실옥시실란의 혼합물이 생성된다. 올리고머화는 가수분해 또는 부분 가수분해된 알콕시실란 또는 아실옥시실란이 다른 알콕시실란 또는 아실옥시실란과 반응하여 물, 알코올 또는 산 및 Si-O-Si 결합을 형성할 때 일어난다. 본원에서 "가수분해된 알콕시실란" 또는 "가수분해된 아실옥시실란"은 임의의 수준의 부분 또는 완전 가수분해뿐만 아니라 올리고머화 생성물을 포괄한다.
본 발명에 사용하기 위한 에틸렌계 불포화 그룹을 하나 이상 갖는 바람직한 알콕시 또는 아실옥시 실란은 하기 화학식을 갖는다.
Figure 112005055211418-pct00001
위의 화학식에서,
Ra는 C1-C6 알킬리덴, C1-C6 알킬렌, 아릴렌 또는 직접 결합이고,
Ya는 C1-C6 알킬, C2-C6 알케닐, C2 -6 알키닐, C6-C20 아릴, 3-메타크릴옥시, 3-아크릴옥시, 3-아미노에틸-아미노, 3-아미노, -SiZa 2OXa 또는 -OXa이고,
Xa는 각각 독립적으로 C1-C6 알킬 또는 C2-C6 아실이고,
Za는 C1-C6 알킬, C2-C6 알케닐, C2 -6 알키닐, C6 -20 아릴 또는 -OXa이되, Ya, Za 및 Xa 중의 하나 이상은 에틸렌계 불포화 결합을 갖는다.
본 발명에 사용하기 위한 1개 이상의 방향족 그룹을 갖는 바람직한 알콕시 또는 아실옥시 실란은 하기 화학식을 갖는다.
Figure 112005055211418-pct00002
위의 화학식에서,
Rb는 C1-C6 알킬리덴, C1-C6 알킬렌, 아릴렌 또는 직접 결합이고,
Yb는 C1-C6 알킬, C2-C6 알케닐, C2 -6 알키닐, C6-C20 아릴, 3-메타크릴옥시, 3-아크릴옥시, 3-아미노에틸-아미노, 3-아미노, -SiZb 2OXb 또는 -OXb이고,
Xb는 각각 독립적으로 C1-C6 알킬 또는 C2-C6 아실이고,
Zb는 C1-C6 알킬, C2-C6 알케닐, C2 -6 알키닐, C6 -20 아릴 또는 -OXb이되, Yb, Zb 및 Xb 중의 하나 이상은 방향족 환을 포함한다.
실란은 알콕시 실란, 아실옥시 실란, 트리알콕시-실란, 트리아세톡시실란, 디알콕시실란, 디아세톡시실란, 테트라알킬옥시실란 또는 테트라-아세톡시실란일 수 있다. 규소 원자에 직접 결합된 유기 그룹의 몇몇 예는 메틸, 에틸, 페닐, 안트라세닐, 에타크릴옥시프로필, 아미노프로필, 3-아미노에틸아미노프로필, 비닐, 벤질, 비사이클로헵테닐, 사이클로헥세닐에틸, 사이클로헥실, 사이클로펜타디에닐, 7-옥타-1-에닐, 페네틸, 알릴 및 아세톡시를 포함한다.
규소 원자에 직접 결합되거나 규소 원자에 직접 결합된 그룹 내에 존재하는 방향족 치환체는 최종 조성물 및 이로부터 제조된 필름에 UV 흡광도 특성을 부여하는 데에 매우 바람직하다. 이러한 필름은 UV 흡광도 특성으로 인해 반사 방지 특성을 가짐으로써 특히 액침 리소그래피 공정에서 원치 않는 광 산란 및 입사광의 반사를 감소시킨다. 이러한 산란 및 반사는 선 변화 또는 확장 및 기타 성분들의 원치 않는 조기 경화를 유발할 수 있다. UV 흡수성 치환체가 본 발명의 조성물 내에 균일하게 혼입되기 때문에 별도의 안료를 첨가하지 않고서도 더욱 균일한 UV 광의 흡광도가 달성된다. 추가로, UV 흡수성 잔기를 중합체 자체에 혼입시킴으로써 불충분하게 혼입된 첨가제로 인한 필름 특성의 손실을 막을 수 있다. 그 결과, 본 발명의 조성물은 개선된 상 분리, 연마, 간격 충전, 화학적 제거 및 접착 특성을 갖는다.
더욱 구체적으로, 페닐 치환체는 대략 193㎚의 광을 사용하는 화상화 공정 또는 경화를 위한 개선된 UV 흡광도 특성을 제공한다. 안트라세닐 치환체는 대략 248㎚의 광을 사용하는 공정을 위해 특히 바람직하다. 중합체 내의 이러한 방향족 치환체의 양 및 종류를 비교적 소량의 증액분으로 조절하여 각 층마다 약간씩 다른 UV 흡광도를 갖는 다층 구조의 필름을 제공할 수 있다. 인접한 수지층이 0.1 내지 10몰%, 바람직하게는 0.1 내지 1.0몰%의 방향족 함량의 차이를 가지면 최종 다층 필름의 UV 흡광도 특성이 개선된다.
흡수 및/또는 상쇄 간섭에 의해 포토리소그래피 공정 도중 반사되는 비-화상화 광의 양을 최소화하기 위하여 포토레지스트 층의 상부(TARC) 또는 바로 아래(BARC)에 반사 방지 피복물을 사용할 수 있다. 비-화상화 광 반사를 최소화하면 수득된 포토레지스트의 선폭 변화를 개선(최소화)할 수 있다.
다층 BARC의 사용은 액침 리소그래피 공정에서 높은 NA(>0.85) 광의 전체적인 반사율을 최소화하는 데에 특히 유용하다. 더욱이, 상이한 경화 기작에 의한 다층 TARC 또는 BARC 필름의 형성은 본 응용을 위해 특히 적합할 수 있다. 따라서, 이 기술을 통해 비교적 낮은 사용 온도에서 효과적인 열적 산 생성기(TAG)를 사용하여 제1 층을 형성한 후 보다 고온의 TAG에 의해 활성화되는 ARC 층을 하나 이상 추가로 가공할 수 있다. 다른 양태에서, 제1 ARC 층은 TAG에 의해 활성화되고 제2 또는 후속의 ARC 층은 광 산 발생기(PAG)에 의해 활성화될 수 있으며, 그 반대도 성립될 수 있다. 이러한 방식으로 패턴을 다양한 층들에 정판하거나 광학적 특성, 에치 선택성, CMP 제거율 또는 기타의 물리적 또는 화학적 특성에서 차이를 나타내는 복수 개의 ARC 층을 제조할 수 있다. 최종 단계로서, 블랭킷(blanket) 노광을 사용하여 전체 필름, 표면 필름 단독, 하나 이상의 중간 필름층 또는 다층 ARC의 상부층에 정판된 패턴을 경화할 수 있다.
반사 방지 피복층 및 전자 장치에의 그의 삽입 기술이 개시되어 있다[참조: "Materials evaluation of antireflective coatings for single layer 193㎚ lithography", Kunz, R.R. et al., SPIE (1994) 2195, 447~460; "Anti-reflective Coatings, a Story of Interfaces", Semiconductor International, (1999), 55~60; Lin et al., "Dual layer Inorganic SiON Bottom ARC for 0.25㎛ DUV Hard Mask Applications", SPIE (2000), 246; "Anti-reflective Coatings; Theory and Practice", SPIE (2004), 118].
특히 바람직한 것은,
화학식
Figure 112005055211418-pct00003
의 실란(a)(여기서, Ra는 C1-C6 알킬리덴, C1-C6 알킬렌, 아릴렌 또는 직접 결합이고, Ya는 C1-C6 알킬, C2-C6 알케닐, C2-6 알키닐, C6-C20 아릴, 3-메타크릴옥시, 3-아크릴옥시, 3-아미노에틸-아미노, 3-아미노, -SiZa 2OXa 또는 -OXa이고, Xa는 각각 독립적으로 C1-C6 알킬 또는 C2-C6 아실이고, Za는 C1-C6 알킬, C2-C6 알케닐, C2-6 알키닐, C6-20 아릴 또는 -OXa이되, Ya, Za 및 Xa 중의 하나 이상은 에틸렌계 불포화 결합을 갖는다) 50 내지 95몰%,
화학식
Figure 112005055211418-pct00004
의 실란(b)(여기서, Rb는 C1-C6 알킬리덴, C1-C6 알킬렌, 아릴렌 또는 직접 결합이고, Yb는 C1-C6 알킬, C2-C6 알케닐, C2 -6 알키닐, C6-C20 아릴, 3-메타크릴옥시, 3-아크릴옥시, 3-아미노에틸-아미노, 3-아미노, -SiZb 2OXb 또는 -OXb이고, Xb는 각각 독립적으로 C1-C6 알킬 또는 C2-C6 아실이고, Zb는 C1-C6 알킬, C2-C6 알케닐, C2 -6 알키닐, C6 -20 아릴 또는 -OXb이되, Yb, Zb 및 Xb 중의 하나 이상은 방향족 환을 포함한다) 5 내지 40몰%,
잠산 촉매(c) 및
화학식
Figure 112008090868429-pct00005
의 실란(d)(여기서, Rc는 C1-C6 알킬리덴, C1-C6 알킬렌, 아릴렌 또는 직접 결합이고, Yc는 C1-C6 알킬, C2-C6 알케닐, C2-6 알키닐, C6-C20 아릴, 3-메타크릴옥시, 3-아크릴옥시, 3-아미노에틸-아미노, 3-아미노, -SiZc 2OXc 또는 -OXc이며, Xc는 각각 독립적으로 C1-C6 알킬 또는 C2-C6 아실이고, Zc는 C1-C6 알킬, C2-C6 알케닐, C2-6 알키닐, C6-20 아릴 또는 -OXc이되, Zc 및 Rc-Yc의 결합기 중의 하나 이상은 C1-6 알킬 그룹을 포함한다) 0 내지 45몰%[상기 몰%는 실란(a), (b) 및 (d)의 총 몰량을 기준으로 함]를 포함하는 혼합물의 가수분해 또는 부분 가수분해된 생성물이다.
가수분해된 유기 실란 조성물의 제조는 분자량, 중합체 구조(예를 들면 블록 공중합체 및 랜덤 공중합체)의 조절과 같은 목적하는 특성을 제공하도록 조절할 수 있다. 유기 실란의 배합물이 사용되고 유기 실란 중 하나가 다른 것에 비해 현저하게 반응성이 큰 경우에는 반응성이 큰 종류들을 가수분해 반응 중에 연속적으로 첨가함이 바람직하다. 이것은 두 가지 형태의 실란의 잔류물이 최종 올리고머 또는 중합체 전체에 걸쳐서 더욱 균일하게 분포되게 함을 보장한다. 첨가 속도는 최종 중합체 중의 실란 잔류물의 목적하는 혼합물이 제공되도록 조절한다. "연속적으로 첨가한다" 함은 반응성 실란을 한 번에 첨가하지 않고 대략 균일한 분량으로 수 차례 이상 첨가하거나 더욱 바람직하게는 반응 전체에 걸쳐서 균일하게 첨가함을 의미한다.
"잠산 촉매(LAC)"란 적합한 반응 조건에 노출시 분해되어 본 경화 공정을 개시 또는 촉매할 수 있는 산을 생성하는 화합물을 의미한다. 바람직하게 LAC는 본 발명의 조성물 또는 그의 응용 및 용도에 필요한 임의의 제형물 중에 존재할 때 비교적 안정하다. "비교적 안정하다" 함은 조성물을 25℃ 이하의 온도에서 1개월, 바람직하게는 6개월간 노출시킨 후 실란 화합물(들)의 분자량이 10% 미만, 바람직하게는 5% 미만 증가하게 됨을 의미한다.
적합한 잠산 촉매는 열 또는 빛에 노출시 산을 생성하는 물질이다. 본원에서는 이러한 조성물을 열적 산 생성기(TAG) 또는 광 산 생성기(PAG)라 부른다. 2종 이상의 열적 산 생성기의 혼합물과 같은 한 가지 종류의 촉매의 2종 이상의 혼합물, 또는 열적 산 생성기와 광 산 생성기와 같은 상이한 종류의 촉매들의 혼합물이 본 발명에 유리하게 사용될 수 있다.
잠산 촉매의 사용량은 반응을 촉진하는 임의의 양이며, 전형적으로는 조성물 중의 실란 단량체의 중량을 기준으로 0.1 내지 25중량%이다. 촉매는 바람직하게는 0.5 내지 15중량%, 더욱 바람직하게는 1 내지 12중량%의 양으로 존재한다.
본 발명에 유용한 열적 산 생성기는 가열, 전형적으로는 25 내지 220℃ 범위의 온도에 노출시 산을 생성하는 임의의 화합물이다. 열적 산 생성기의 존재로 인해, 본 발명의 조성물은 산 촉매를 함유하지 않는 조성물에 비해서 낮은 경화 온도에서 단시간에 쉽게 경화된다. 본 발명에 유용한 적합한 열적 산 생성기는 제한 없이 2,4,4,6-테트라브로모사이클로헥사디에논; 디노닐나프탈렌 디설폰산, 디노닐나프탈렌 설폰산, 도데실벤젠 설폰산, 트리플루오로메틸설폰산(트리플릭산) 및 p-톨루엔 설폰산과 같은 유기 설폰산 및 불소화 설폰산; 벤조인 토실레이트, 페닐트리플레이트 및 2-니트로벤질 토실레이트와 같은 유기 설폰산의 알킬 에스테르; 벤질 할로겐화 방향족 화합물, 모노- 및 디-알킬 산 포스페이트, 모노- 및 디-페닐 산 포스페이트, 알킬페닐 산 포스페이트 및 이들의 배합물을 포함한다. 차단된(blocked) 설폰산 에스테르, 차단된 불소화 설폰산 에스테르 및 차단된 포스폰산 에스테르와 같은 차단된 열적 산 생성기, 즉 산 에스테르가 바람직하다. 이러한 열적 산 생성기는 당업계에 주지되어 있으며 일반적으로 상업적으로 구입이 가능하다[예: 상품명 나큐어(NACURETM) 또는 케이-퓨어(K-PureTM)의 차단된 산 생성기, 제조원: 코네티컷주 노르워크 소재의 킹 인더스트리스(King Industries, Norwalk, Conneticut)].
본 발명에 유용한 광 산 생성기는 빛, 전형적으로는 파장 190 내지 420㎚의 빛(다른 파장도 물론 적합할 수 있다)에 노출시 산을 생성하는 임의의 화합물이다. PAG를 함유하는 본 발명에 따른 조성물은 유기 실리케이트 층의 선택적인 경화 및 에칭이 요구되는 경우에 특히 적합하게 사용된다. 적합한 광 산 생성기는 할로겐화 트리아진, 오늄 염 및 설폰화 에스테르를 포함한다.
특히 유용한 할로겐화 트리아진은 할로메틸-s-트리아진을 포함한다. 적합한 할로겐화 트리아진은 예를 들면 2-[(1-(3,4-벤조디옥솔릴)]-4,6-비스(트리클로로메틸)-1,2,5-트리아진, 2-[(1-(2,3-벤조디옥솔릴)]-4,6-비스(트리클로로메틸)-1,3,5-트리아진, 2-[(1-(3,4-벤조디옥솔릴)]-4,6-비스(트리브로모메틸)-1,3,5-트리아진, 2-[(1-(2,3-벤조디옥솔릴)]-4,6-비스(트리브로모메틸)-1,3,5-트리아진, 2-(2-푸르필에틸리덴)-4,6-비스(트리클로로메틸)-1,3,5-트리아진, 2-[2-(5-메틸푸릴)에틸리덴]-4,6-비스(트리클로로메틸)-1,3,5-트리아진, 2-[2-(4-메틸푸릴)에틸리덴]-4,6-비스(트리클로로메틸)-1,3,5-트리아진, 2-[2-(3-메틸푸릴)에틸리덴]-4,6-비스(트리클로로메틸)-1,3,5-트리아진, 2-[2-(4,5-디메틸푸릴)에틸리덴]-4,6-비스(트리클로로메틸)-1,3,5-트리아진, 2-[2-(5-메톡시푸릴)에틸리덴]-4,6-비스(트리클로로메틸)-1,3,5-트리아진, 2-[2-(4-메톡시푸릴)에틸리덴]-4,6-비스(트리클로로메틸)-1,3,5-트리아진, 2-[2-(3-메톡시푸릴)에틸리덴]-4,6-비스(트리클로로메틸)-1,3,5-트리아진, 2-[2-(4,5-디메톡시푸릴)에틸리덴]-4,6-비스(트리클로로메틸)-1,3,5-트리아진, 2-(2-(2-푸르필에틸리덴)-4,6-비스(트리브로모메틸)-1,3,5-트리아진, 2-[2-(5-메틸푸릴)에틸리덴]-4,6-비스(트리브로모메틸)-1,3,5-트리아진, 2-[2-(4-메틸푸릴)에틸리덴]-4,6-비스(트리브로모메틸)-1,3,5-트리아진, 2-[2-(3-메틸푸릴)에틸리덴]-4,6-비스(트리브로모메틸)-1,3,5-트리아진, 2-[2-(4,5-디메톡시푸릴)에틸리덴]-4,6-비스(트리브로모메틸)-1,3,5-트리아진, 2-[2-(5-메톡시푸릴)에틸리덴]-4,6-비스(트리브로모메틸)-1,3,5-트리아진, 2-[2-(4-메톡시푸릴)에틸리덴]-4,6-비스(트리브로모메틸)-1,3,5-트리아진, 2-[2-(3-메톡시푸릴)에틸리덴]-4,6-비스(트리브로모메틸)-1,3,5-트리아진, 2-[2-(4,5-디메톡시푸릴)에틸리덴]-4,6-비스(트리브로모메틸)-1,3,5-트리아진, 2,4,6-트리스-(트리클로로메틸)-1,3,5-트리아진, 2,4,6-트리스-(트리브로모메틸)-1,3,5-트리아진, 2-페닐-4,6-비스(트리클로로메틸)-1,3,5-트리아진, 2-페닐-4,6-비스(트리브로모메틸)-1,3,5-트리아진, 2-(4-메톡시페닐)-4,6-비스(트리클로로메틸)-1,3,5-트리아진, 2-(4-메톡시페닐)-4,6-비스(트리브로모메틸)-1,3,5-트리아진, 2-(2-(1-나프틸)-4,6-비스(트리클로로메틸)-1,3,5-트리아진, 2-(1-나프틸)-4,6-비스(트리브로모메틸)-1,3,5-트리아진, 2-(4-메톡시-1-나프틸)-4,6-비스(트리클로로메틸)-1,3,5-트리아진, 2-(4-메톡시-1-나프틸)-4,6-비스(트리브로모메틸)-1,3,5-트리아진, 2-(4-클로로페닐)-4,6-비스(트리브로모메틸)-1,3,5-트리아진, 2-스티릴-4,6-비스(트리클로로메틸)-1,3,5-트리아진, 2-스티릴-4,6-비스(트리브로모메틸)-1,3,5-트리아진, 2-(4-메톡시스티릴)-4,6-비스(트리클로로메틸)-1,3,5-트리아진, 2-(4-메톡시스티릴)-4,6-비스(트리브로모메틸)-1,3,5-트리아진, 2-(3,4,5-트리메톡시스티릴)-4,6-비스(트리클로로메틸)-1,3,5-트리아진, 2-(3,4,5-트리메톡시스티릴)-4,6-비스(트리클로로메틸)-1,3,5-트리아진, 2-(3-클로로-1-페닐)-4,6-비스(트리클로로메틸)-1,3,5-트리아진, 2-(3-클로로페닐)-4,6-비스(트리브로모메틸)-1,3,5-트리아진을 포함한다. 본 발명에 유용한 다른 트리아진 형태의 광 산 생성기가 미국 특허 제5,366,846호에 개시되어 있다.
s-트리아진 화합물은 특정한 메틸-할로메틸-s-트리아진 및 특정한 알데히드 또는 알데히드 유도체의 축합 반응 생성물이다. 이러한 s-트리아진 화합물은 미국 특허 제3,954,475호 및 문헌[참조: Wakabayashi et al., Bulletin of the Chemical Society of Japan, 42, 2924~30 (1969)]에 개시된 방법에 따라 제조될 수 있다.
본 발명에서 광 산 생성기로서 사용하기에 특히 적합한 것은 약한 친핵성 음이온을 갖는 오늄 염이다. 이러한 음이온의 예는 2가 내지 7가 금속 또는 비금속, 예컨대 안티몬, 주석, 철, 비스무트, 알루미늄, 갈륨, 인듐, 티타늄, 지르코늄, 스칸듐, 크롬, 하프늄, 구리, 붕소, 인 및 비소의 할로겐 착물 음이온이다. 적합한 오늄 염의 예는 제한 없이 디아릴-디아조늄 염 및 주기율 표의 1, 2, 5, 12, 15 또는 16족 금속 또는 준금속의 오늄 염, 예를 들면 할로늄 염, 4급 암모늄, 포스포늄 및 아르소늄 염, 방향족 설포늄 염 및 설폭소늄 염 또는 셀레늄 염을 포함한다. 적합한 오늄 염의 예가 미국 특허 제4,442,197호, 제4,603,101호 및 제4,624,912호에 개시되어 있다.
마지막에 언급한 오늄 염의 예는 요오도늄 염, 설포늄 염(테트라히드로티오페늄 염 포함), 포스포늄 염, 디아조늄 염 및 피리디늄 염을 포함한다. 그의 특정 예는 디페닐요오도늄-트리플루오로메탄설포네이트, 디페닐요오도늄노나플루오로-n-부탄설포네이트, 디페닐요오도늄피렌설포네이트, 디페닐요오도늄 n-도데실벤젠설포네이트, 디페닐요오도늄헥사플루오로안티모네이트, 비스(4-t-부틸페닐)요오도늄-트리플루오로메탄설포네이트, 비스(4-t-부틸페닐)요오도늄노나플루오로-n-부탄설포네이트, 비스(4-t-부틸페닐)요오도늄 n-도데실벤젠설포네이트, 비스(4-t-부틸페닐)-요오도늄헥사플루오로안티모네이트, 비스(4-t-부틸페닐)-요오도늄-나프탈렌설포네이트, 트리페닐설포늄트리플루오로메탄설포네이트, 트리페닐설포늄노나플루오로-n-부탄설포네이트, 트리페닐설포늄헥사플루오로-안티모네이트, 트리페닐설포늄-나프탈렌설포네이트, 트리페닐설포늄-10-캄포르설포네이트, 4-하이드록시페닐페닐-메틸설포늄 p-톨루엔설포네이트, 사이클로헥실-2-옥소사이클로헥실-메틸설포늄트리플루오로메탄-설포네이트, 디사이클로헥실-2-옥소사이클로헥실설포늄트리플루오로메탄설포네이트, 2-옥소사이클로헥실디메틸설포늄트리플루오로메탄설포네이트, 4-하이드록시페닐-벤질-메틸설포늄 p-톨루엔설포네이트, 1-나프틸디메틸-설포늄트리플루오로-메탄설포네이트, 1-나프틸디에틸설포늄트리플루오로-메탄설포네이트, 4-시아노-1-나프틸디메틸설포늄트리플루오로메탄설포네이트, 4-니트로-1-나프틸디메틸-설포늄트리플루오로메탄설포네이트, 4-메틸-1-나프틸디메틸설포늄-트리플루오로메탄설포네이트, 4-시아노-1-나프틸디에틸설포늄트리플루오로메탄설포네이트, 4-니트로-1-나프틸디에틸설포늄트리플루오로메탄설포네이트, 4-메틸-1-나프틸디에틸설포늄트리플루오로메탄설포네이트, 4-하이드록시-1-나프틸디메틸설포늄트리플루오로메탄설포네이트, 4-하이드록시-1-나프틸테트라히드로티오페늄-트리플루오로메탄설포네이트, 4-메톡시-1-나프틸테트라히드로티오페늄트리플루오로메탄설포네이트, 4-에톡시-1-나프틸테트라히드로-티오페늄트리플루오로-메탄설포네이트, 4-n-부톡시-1-나프틸테트라히드로티오페늄노나플루오로-n-부탄설포네이트, 4-메톡시-메톡시-1-나프틸테트라히드로티오페늄트리플루오로메탄설포네이트, 4-에톡시메톡시-1-나프틸테트라히드로티오페늄-트리플루오로메탄설포네이트, 4-(1'-메톡시에톡시)-1-나프틸테트라히드로티오페늄트리플루오로-메탄설포네이트, 4-(2'-메톡시에톡시)-1-나프틸테트라히드로티오페늄트리플루오로-메탄설포네이트, 4-메톡시카보닐옥시-1-나프틸테트라히드로티오페늄트리플루오로메탄설포네이트, 4-에톡시카보닐옥시-1-나프틸테트라히드로티오페늄트리플루오로메탄설포네이트, 4-n-프로폭시카보닐옥시-1-나프틸테트라히드로티오페늄-트리플루오로메탄설포네이트, 4-i-프로폭시카보닐-옥시-1-나프틸-테트라히드로티오페늄-트리플루오로메탄설포네이트, 4-n-부톡시-카보닐옥시-1-나프틸테트라히드로티오페늄트리플루오로메탄설포네이트, 4-t-부톡시카보닐옥시-1-나프틸테트라히드로-티오페늄트리플루오로메탄설포네이트, 4-(2'-테트라히드로-푸라닐옥시)-1-나프틸테트라히드로티오페늄트리플루오로메탄-설포네이트, 4-(2'-테트라히드로피라닐옥시)-1-나프틸테트라히드로티오페늄트리플루오로-메탄설포네이트, 4-벤질옥시-1-나프틸테트라히드로티오페늄트리플루오로메탄-설포네이트 및 1-(1'-나프틸아세토메틸)-테트라히드로티오페늄트리플루오로메탄설포네이트를 포함한다.
본 발명에서 광 산 생성기로서 유용한 설폰화 에스테르는 설포닐옥시 케톤을 포함한다. 적합한 설폰화 에스테르는 제한 없이 벤조인 토실레이트, t-부틸페닐 알파-(p-톨루엔설포닐옥시)-아세테이트 및 t-부틸 알파-(p-톨루엔설포닐옥시)-아세테이트를 포함한다. 이러한 설폰화 에스테르는 문헌[참조: Journal of Photopolymer Science and Technology, vol. 4, No. 3, 337~340 (1991)]에 개시되어 있다.
추가의 적합한 광 산 생성기는 예를 들면 알킬 설포네이트 에스테르, 알킬 설폰산 이미드, 할로알킬 설포네이트 에스테르, 아릴 설포네이트 에스테르 및 이미노설포네이트와 같은 설폰산 유도체를 포함한다. 설폰산 유도체의 바람직한 양태는 벤조인 토실레이트, 피로갈올 트리스(트리플루오로메탄설포네이트), 니트로벤질-9,10-디에톡시안트라센-2-설포네이트, 트리플루오로메탄설포닐비사이클로-[2.2.1]헵트-5-엔-2,3-디카보디이미드, N-하이드록시석신이미드트리플루오로메탄-설포네이트 및 1,8-나프탈렌디카복실산 이미드 트리플루오로메탄설포네이트와 같은 설폰산 유도체를 포함한다.
특히 바람직한 설폰산 유도체 광 산 생성기는 디페닐요오도늄트리-플루오로메탄설포네이트, 비스(4-t-부틸페닐)요오도늄-트리플루오로메탄설포네이트, 비스(4-t-부틸페닐)요오도늄노나플루오로-n-부탄설포네이트, 트리페닐설포늄트리-플루오로메탄설포네이트, 트리페닐설포늄노나플루오로-n-부탄설포네이트, 사이클로헥실 2-옥소사이클로헥실 메틸설포늄트리플루오로메탄설포네이트, 디사이클로헥실 2-옥소사이클로헥실설포늄-트리플루오로메탄설포네이트, 2-옥소사이클로헥실-디메틸-설포늄트리플루오로메탄-설포네이트, 4-하이드록시-1-나프틸디메틸설포늄트리플루오로메탄설포네이트, 4-하이드록시-1-나프틸테트라히드로티오프늄트리플루오로메탄설포네이트, 1-(1-나프틸아세토메틸)-테트라히드로티오프늄트리플루오로메탄설포네이트, 트리플루오로메탄설포닐비사이클로[2.2.1]헵트-5-엔-2,3-디카보디이미드, N-하이드록시-석신이미드트리플루오로메탄설포네이트 및 1,8-나프탈렌 디카복실산 이미드 트리플루오로메탄설포네이트를 포함한다.
추가의 적합한 광 산 생성기는 예를 들면 페닐비스(트리클로로메틸)-s-트리아진, 4-메톡시-페닐비스(트리클로로메틸)-s-트리아진 및 1-나프틸비스(트리클로로메틸)-s-트리아진과 같은 (트리클로로메틸)-s-트리아진 유도체 및 1,1-비스(4'-클로로페닐)-2,2,2-트리클로로에탄을 포함한 할로알킬 그룹 함유 탄화수소 화합물 및 할로알킬 그룹 함유 헤테로사이클릭 화합물을 포함한다.
추가의 적합한 광 산 생성기는 디아조케톤 화합물, 예를 들면 1,3-디케토-2-디아조 화합물, 디아조벤조퀴논 화합물 및 디아조나프토퀴논 화합물을 포함한다. 디아조케톤의 바람직한 양태는 1,2-나프토퀴논디아지도-4-설포닐클로라이드, 1,2-나프토-퀴논디아지도-5-설포닐클로라이드; 2,3,4,4'-테트라하이드록시벤조페논의 1,2-나프토퀴논-디아지도-4-설폰산 에스테르 또는 1,2-나프토퀴논디아지도-5-설폰산 에스테르; 및 1,1,1-트리스-(4'-하이드록시페닐)에탄의 1,2-나프토퀴논디아지도-4-설폰산 에스테르 또는 1,2-나프토퀴논디아지도-5-설폰산 에스테르를 포함한다.
추가의 적합한 광 산 생성기는 설폰 화합물, 예를 들면 베타-케토설폰, 베타-설포닐설폰 및 이들 화합물의 알파-디아조-유도체를 포함한다. 설폰 화합물의 바람직한 양태로서, 4-트리스페나실설폰, 메시틸페나실설폰 및 비스(페닐설포닐)메탄을 들 수 있다.
바람직한 PAG의 예는 미국 특허 제4,731,605호에 개시된 것과 같은 (트리플루오로메틸설포닐옥시)-비사이클로[2.2.1]헵트-5-엔-2,3-디카복스이미드("MDT"), N-하이드록시아미드 또는 -이미드의 오늄 염, 방향족 디아조늄 염, 설포늄 염, 디아릴요오도늄 염 및 설폰산 에스테르를 포함한다. 또한, N-하이드록시나프탈이미드의 도데칸 설포네이트("DDSN")와 같은 유기 산 활성기를 생성하는 PAG도 물론 사용 가능하다.
본 발명의 유기 실리케이트 조성물은 하나 이상의 층의 다층 조성물로서, 바람직하게는 액침 리소그래피 공정에서 다마신 또는 듀얼 다마신 공정에서와 같이 하나 이상의 층의 부분적 에칭으로 임의로 형성된 2, 3 또는 4층의 다층 조성물로서 적합하게 도포된다. 매우 바람직하게, 유기 실리케이트 조성물은 절연 재료의 제1 층, 바람직하게는 낮은 유전 상수를 갖는 유기 중합체 층 위에 하드마스크 또는 에치스탑으로서 증착된다.
본원에서 "유기 중합체"란 중합체의 주사슬 내에 주로 탄소를 갖는 중합체를 의미하지만, 폴리이미드와 같이 산소(예: 폴리아릴렌 에테르) 또는 질소와 같은 헤테로원자도 포함할 수 있다[참조: "Thin Film Multichip Modules", pp. 104~122, International Society for Hybrid Microelectronics, Pub., 1992]. 유기 중합체는 주사슬 내에 소수의 규소 원자를 함유할 수 있으나, 더욱 바람직하게는 주사슬 내에 규소를 갖지 않거나 본질적으로 갖지 않는다.
유기 실리케이트 글래스(OSG) 및 탄소 함유 산화물(CDO)은 둘 모두 통상 플라즈마 화학 기상 증착(PE-CVD)으로 불리는 기술에 의해 저압(진공)에 기초한 증착 챔버에서 제조된다. 이들 재료는 트리메틸실란 및 산소 또는 기타의 산화제와 같은 기상 반응물로부터 제조되며, 재료를 분해시키고 낮은 증착 온도에서 중간체의 반응을 진행시킬 수 있는 에너지원으로서 플라즈마를 필요로 한다.
유전 층은 미리 첨가된 포라겐(poragen)을 제거함으로써 형성한 기공을 함유할 수 있다. 생성된 기공은 재료의 유전 상수를 추가로 감소시키는 데에 유용할 수 있다. 층은 또한 접착 증진제(Si 함유 접착 증진제 포함), 피복 보조제 및/또는 기공 형성 후 남은 잔류 물질을 함유할 수 있다. 제1 층에서 발견되는 이러한 부가적 성분의 양은 비교적 소량이 바람직하며, 예를 들면 10중량% 미만, 바람직하게는 1중량% 미만, 더욱 바람직하게는 0.1중량% 미만이다.
바람직하게, 유전체 층은 다공성 또는 비-다공성 폴리아릴렌 중합체이다. 폴리아릴렌의 예는 미국 특허 제5,965,679호에 개시된 것과 같은 방향족 사이클로펜타디에논 및 방향족 아세틸렌 화합물의 환 형성 반응 생성물, 유럽 특허 제EP-B-755 957호에 기술된 바와 같은 폴리(아릴렌 에테르) 및 기타의 수지들을 포함한다[참조: N. H. Hendricks and K.S.Y Liu, Polvm . Prepr. (Am. Chem. Soc., Div. Polym. Chem.) 1996, 37(1), pp. 150~1; also J.S.Drage et al., Material Res. Soc., Symp . Proc . (1997), vol. 476 (Low Dielectric Constant Materials Ⅲ), pp. 121~128; 및 미국 특허 제5,115,082호, 제5,155,175호, 제5,179,188호 및 제5,874,516호 및 국제 공개특허공보 제WO 91/09081호, 제WO 97/01593호 및 유럽 특허 제755,957호]. 추가의 적합한 중합체 층은 국제 공개특허공보 제WO 97/10193호에 기재된 가교결합된 폴리페닐렌을 포함한다.
더욱 구체적으로, 제1 층은 화학식 [A]W[B]Z[EG]v의 올리고머의 경화 또는 가교결합된 생성물(여기서, A는 화학식
Figure 112010074449216-pct00006
의 구조를 갖고, B는 화학식
Figure 112010074449216-pct00007
의 구조를 가지며, EG는 화학식
Figure 112010074449216-pct00008
의 구조 중 하나 이상을 갖는 말단 그룹이고, 위의 화학식에서, R1 및 R2는 독립적으로 H 또는 비치환 또는 불활성 치환된 방향족 잔기이고, Ar은 각각 독립적으로 모노사이클릭 또는 폴리사이클릭 방향족 그룹 또는 불활성 치환된 그의 유도체이며, M은 결합이고, y는 3 이상의 정수이며, p는 주어진 머(mer) 단위 내의 반응하지 않은 아세틸렌 그룹의 수이고, r은 주어진 머 단위 내의 반응된 아세틸렌 그룹의 수보다 1 작으며, p+r=y-1이고, z는 1 내지 1000의 정수이며, w는 0 내지 1000의 정수이고, v는 2 이상의 정수이다)인 다공성 또는 비-다공성 폴리아릴렌 중합체이다.
삭제
이러한 올리고머 및 중합체는 비스사이클로펜타디에논, 3개 이상의 아세틸렌 잔기를 함유한 방향족 아세틸렌 및 임의로 2개의 방향족 아세틸렌 잔기를 함유한 다작용성 화합물을 반응시켜서 제조할 수 있다. 이러한 반응은
(a) 화학식
Figure 112005055211418-pct00009
의 비스사이클로펜타디에논,
(b) 화학식
Figure 112005055211418-pct00010
의 다작용성 아세틸렌 및
(c) 임의로 화학식
Figure 112005055211418-pct00011
의 디아세틸렌의 화합물들의 반응에 의해 나타낼 수 있다(위의 화학식에서, R1, R2, Ar 및 y는 위에서 정의한 바와 같다).
방향족 잔기의 정의는 페닐, 폴리방향족 및 융합된 방향족 잔기를 포함한다. "불활성 치환된"이란 치환체 그룹이 사이클로펜타디에논 및 아세틸렌 중합 반응에 대해 본질적으로 불활성이며, 마이크로전자 장치 내의 경화된 중합체의 사용 조건 하에서 물과 같은 주위 환경의 물질과 쉽게 반응하지 않음을 의미한다. 이러한 치환체 그룹은, 예를 들면 F, Cl, Br, -CF3, -OCH3, -OCF3, -O-Ph 및 탄소수 1 내지 8의 알킬, 탄소수 3 내지 8의 사이클로알킬을 포함한다. 예컨대, 비치환 또는 불활성 치환된 방향족 잔기는 하기 잔기들을 포함한다.
Figure 112005055211418-pct00012
위의 화학식에서, Z'는 공유 결합, -O-, -S-, 알킬렌, -CF2-, -CH2-, -O-CF2-, 퍼플루오로알킬렌, 퍼플루오로알킬렌옥시,
Figure 112005055211418-pct00013
(여기서, R3는 각각 독립적으로 -H, -CH3, -CH2CH3, -(CH2)2CH3 또는 Ph이고, Ph은 페닐이다)이다.
제2의 바람직한 유기 중합체는 하기 화학식의 화합물의 반응 생성물이다.
Figure 112005055211418-pct00014
위의 화학식에서,
Ar 및 Z'는 각각 위에서 정의한 바와 같고,
R은 각각 독립적으로 수소, 또는 탄소수 20 이하의 알킬, 아릴 또는 불활성 치환된 알킬 또는 아릴 그룹이고,
n 및 m은 2 이상의 정수이고,
q는 1 이상의 정수이고,
하나 이상의 방향족 환 위의 2개 이상의 에틸렌성 그룹은 서로 오르토이다.
바람직하게 이들 중합체는 화학식
Figure 112005055211418-pct00015
의 반복 단위(여기서, R 및 Z'는 위에서 정의한 바와 같다)를 갖는다.
기판은 바람직하게는 실리콘 웨이퍼, 실리콘-온-인슐레이터(silicon-on-insulator) 또는 갈륨 아르세나이드와 같은 반도체 재료를 포함한 전기적 활성 기판이다. 바람직하게 기판은 트랜지스터를 포함한다. 기판은 미리 도포된 금속 배선의 층 및/또는 전기 절연 재료를 포함할 수 있다. 이들 전기 절연 재료는 상기 논의된 유기, 무기 또는 혼성 중합체이거나, 이산화규소, 불소화 산화규소, 질화규소 및 실세스퀴옥산과 같은 기타의 공지된 유전체일 수도 있다. 미리 도포된 금속 배선은 융기된 지형을 가질 수 있는데, 이 경우 유기 중합체 또는 그의 전구체가 이들 지형 사이의 틈을 채울 수 있어야 한다.
유기 중합체 유전막은 목적하는 두께를 달성할 수 있는 임의의 공지된 방법에 의해 기판에 도포된다. 바람직하게, 유기 중합체의 경화되지 않은 중합체 또는 올리고머를 용매계로부터 500 내지 5000rpm의 회전 속도로 스핀 코팅한다. 유기 중합체 층의 두께는 바람직하게는 5000㎚ 미만, 더욱 바람직하게는 50 내지 2000㎚이다. 적합한 용매는 메시틸렌, 피리딘, 트리에틸아민, N-메틸피롤리디논(NMP), 메틸 벤조에이트, 에틸 벤조에이트, 부틸 벤조에이트, 사이클로펜타논, 사이클로헥사논, 사이클로헵타논, 사이클로옥타논, 사이클로헥실피롤리디논 및 에테르 또는 하이드록시 에테르(예를 들면, 디벤질에테르, 디글라임, 트리글라임, 디에틸렌 글리콜 에틸 에테르, 디에틸렌 글리콜 메틸 에테르, 디프로필렌 글리콜 메틸 에테르, 디프로필렌 글리콜 디메틸 에테르, 프로필렌 글리콜 페닐 에테르, 프로필렌 글리콜 메틸 에테르, 트리프로필렌 글리콜 메틸 에테르, 톨루엔, 크실렌, 벤젠, 디프로필렌 글리콜 모노메틸 에테르 아세테이트, 디클로로벤젠, 프로필렌 카보네이트, 나프탈렌, 디페닐 에테르, 부티로락톤, 디메틸아세트아미드, 디메틸포름아미드) 및 이들의 혼합물을 포함한다.
이어서 남은 액체를 피복물로부터 제거하고 올리고머 또는 경화되지 않은 중합체를 바람직하게는 가열에 의해 경화시킨다. 질소 환경 하에 열판 상에서 초기의 가열 단계를 수행한 후 열판 또는 전기로에서 제2의 고온 경화를 수행함이 바람직하다. 미국 특허 제5,965,679호에 개시된 바람직한 폴리아릴렌에 대한 경화 온도는 50℃ 내지 600℃, 더욱 바람직하게는 100 내지 450℃ 범위이며, 0.1 내지 60분간 수행한다. 제1 다공성 층의 제조에 있어서, 예컨대 국제 공개특허공보 제WO 00/31183호에 개시된 바와 같이 포로겐을 연소 또는 다른 방법에 의해 제거하기 위하여 특별한 단계를 필요로 할 수 있다.
제1 층을 도포(도포 단계는 잔류 용매를 제거하기 위한 베이킹 단계를 포함할 수 있다) 및 임의로 경화한 후, 본 발명의 유기 실리케이트 조성물을 도포한다. 단량체의 증착, 스핀 코팅, 딥 코팅 및 분무 코팅과 같은 임의의 공지된 코팅 방법을 사용할 수 있다. 그러나, 올리고머 단독의 또는 용액 중의 스핀 코팅 또는 저분자량 중합체 용액의 스핀 코팅이 바람직하다. 경화된 유기 실리케이트 층의 두께는 응용 또는 용도에 따라서 일반적으로 1 내지 500㎚이다. 예를 들어, 접착 증진층은 전형적으로 1 내지 20, 바람직하게는 3 내지 15, 가장 바람직하게는 5 내지 10㎚의 평균 두께를 갖는다. 에치스탑 층은 전형적으로 10 내지 200, 바람직하게는 30 내지 150, 가장 바람직하게는 50 내지 100㎚의 평균 두께를 갖는다. 반사 방지층은 전형적으로 10 내지 500, 바람직하게는 15 내지 400, 가장 바람직하게는 50 내지 200㎚의 평균 두께를 갖는다. 복수 층의 반사 방지 피복물은 낱개의 코팅이 상기 평균 두께 범위를 갖는다.
유기 실리케이트 층의 경화는 바람직하게는 50 내지 500℃, 더욱 바람직하게는 100 내지 400℃의 온도에서 0.1 내지 60분간 수행된다. 정확한 사용 온도 및 시간은 선택된 유기 실리케이트 재료에 따라 달라진다.
필요에 따라 경화 단계 이전에 유기 실리케이트 재료 위에 포토레지스트를 도포할 수 있다. 하드마스크 위에 패턴을 노출하는 포토레지스트의 부분을 제거하기 위하여 통상의 방법에 따라 포토레지스트를 화상화하고 현상한다. 그런 다음 유기 실리케이트 하드마스크를 에칭하여 제1 층 유전막의 일부분을 노출시킨다. 유기 실리케이트 하드마스크의 에칭은 습식 에칭(예: 전기화학, 광전기화학 또는 개방 회로 에칭) 또는 건식 에칭(예: 증기, 플라즈마, 레이저-, 전자- 또는 이온-빔) 기술과 같은 여러 가지 방법에 의해 수행할 수 있다[참조: Etching in Microsystems, Michael Kohler, Wiley-VCH]. 포토레지스트는 에칭 도중 또는 별개의 제거 단계에서 제거될 수 있다. 이 후, 제1 유전체 층의 노출된 부분을 습식 또는 건식 에칭과 같은 방법에 의해 에칭하여 트렌치, 비아 또는 기타의 목적하는 지형을 형성한다. 그런 다음 필요에 따라 패턴화된 유기 실리케이트 층 위에 제2의 유기 중합체 층을 도포하고 경화시킬 수 있다. 제2 유기 중합체 층 위에 임의의 종류(바람직하게는 유기 실리케이트)의 제2 하드마스크를 도포하고 표준 공정에 따라 패턴화할 수 있다. 이 후, 유기 중합체를 매립된 하드마스크 또는 에치스탑까지 아래로 에칭하고, 매립된 하드마스크 내에 패턴이 개방된 위치에서는, 제1 유기 중합체 층을 통해 아래로 에칭할 수 있다.
다른 양태에 따르면, 유기 실리케이트 재료를 제1 유기, 무기 또는 혼성 중합체 유전층 위에 도포한 후, 바람직하게는 50 내지 500℃, 더욱 바람직하게는 100 내지 400℃의 온도에서 0.1 내지 60분간 경화시킨다. 정확한 온도는 선택된 유기 실리케이트 재료에 따라 달라진다. 그런 다음, 앞서 언급한 임의의 코팅 방법에 의해 제2의 유전 재료 층을 도포하고 유전층의 완전 또는 부분적 경화를 수행한다. 트렌치의 균일성, 깊이 및/또는 모양을 조절하기 위하여 에치스탑으로서 매립된 유기 실리케이트 층을 사용하여 각종 듀얼 다마신 공정에 의해 트렌치 및 비아 구조를 둘 다 구성할 수 있다. 유기 실리케이트 하드마스크도 제1 유전체 층의 일부분을 노출하도록 에칭될 수 있다. 유기 실리케이트 하드마스크의 에칭은 상기 언급한 임의의 습식 또는 건식 에칭 기술에 의해 수행될 수 있다. 그런 다음 제1 유전체 층의 노출된 부분을 다시 동일한 습식 또는 건식 에칭 기술에 의해 에칭하여 비아 또는 기타의 목적하는 지형을 만들 수 있다.
평탄화를 향상시키고/거나 표면 층 또는 지형을 제거하기 위한 화학 기계 연마도 공지의 방법에 따라 사용할 수 있다. 포토레지스트 및 기타의 잔여 층을 제거하기 위한 세척 단계도 공지된 바와 같이 사용할 수 있다.
유기 실리케이트 하드마스크는 그 자체가 낮은 유전 상수를 갖기 때문에 통상적으로는 제품으로부터 제거되지 않고 오히려 그 위에 도포된 추가의 배선/유전 층을 갖는 하드마스크인 매립된 하드마스크로서 사용될 수 있다. 달리, 유기 실리케이트 하드마스크는 임의의 공지된 방법, 예를 들면 산소/용매 처리, 열 분해 및 용매 세척 등에 의해 제거될 수 있다.
유기 실리케이트 수지가 유기 중합체 유전막을 위한 하드마스크로서 사용될 수 있게 하는 하나의 중요한 인자는 유기 실리케이트 수지가 유기 중합체 유전막을 에칭하는 데에 사용되는 화학 물질에 대해 비교적 내성이라는 것이다. 이러한 이유로 유기 중합체 유전층이 본 발명에 사용되기에 바람직하다. 에치 선택성은 제거된 제1 중합체의 두께를 동일한 에칭 화학에 노출시 제거된 유기 실리케이트의 두께로 나눈 값으로서 정의된다. 본 발명에 따르면, 바람직하게는 3 이상, 더욱 바람직하게는 5 이상의 에치 선택성이 달성된다. 가수분해된 실란에 대하여 에치 선택성은 더욱 높아서 10을 초과, 바람직하게는 20을 초과한다. 에치 선택성은 유기 실리케이트 층을 불화 플라즈마에 노출시키거나 광 또는 전자 빔을 조사(e-빔 조사)하는 등의 특정한 처리에 의해 더욱 높아질 수 있다.
본 발명의 몇몇 이점들은 특정 실시예를 통한 설명에 의해 명백해진다.
제1 시도는 하드마스크와 같은 비-소멸성(즉, 층은 제거되지 않고 영구적인 소자의 일부분이 된다) 유기 실리케이트를 사용한다. 이는 증기상 증착된 층을 저 유전율의 스핀-온 증착된 층으로 대체하는 것을 허용한다. 표준 패턴화 기술을 여전히 사용할 수 있다. 다층 유전체 더미의 복합 유전 상수의 감소로 인해 소자 내 성능이 개선된다. 9 내지 3의 상대 유전율을 갖는 표준 증기상 증착된 층에 비해서 본 발명의 스핀 온 층의 상대적 유전율은 3.2 내지 1.8, 바람직하게는 3.0 내지 1.8이다. 스핀 온 유전체는 사용이 용이하고 장치 비용이 저렴하다는 점에서도 바람직하다. 본 발명의 조성물로 인한 개선된 접착성은 다공성 유전체 층의 효과적인 형성을 가능하게 하며, 포라겐 분해 생성물에 관련하여 후속 도포되는 층의 투과성이 증가된다.
제2 시도는 소멸성(즉, 층이 제거된다) 유기 실리케이트 수지를 사용한다. 표준 패턴화 기술을 또한 사용할 수 있다. 패턴화 단계 후, 증착된 층을 적어도 부분적으로 제거하기 위하여, 예를 들면, CF4와 같은 불소 또는 브롬 함유 화합물을 갖는 플라즈마를 포함한 전용의 가공 단계를 사용한다. 이 층의 제거로 인해 최저의 가능한 유전 상수가 얻어진다. 다층 구조내 계면의 수의 감소로 인해 수율 및 신뢰성이 증가된다.
제3 시도는 비-소멸성의 광한정성(photodefinable) 유기 실리케이트를 사용한다. 제1 시도에서 언급한 이점 이외에, 공정 유도성 결함의 가능성이 낮아지기 때문에 수율의 증가가 예상된다. 또한, 포토레지스트 및 소프트마스크의 필요성이 감소하거나 없어진다.
제4 시도는 소멸성의 광한정성 유기 실리케이트를 사용한다. 제2 시도에서 언급한 이점 뿐 아니라 공정 유도성 결함의 가능성이 낮아져 수율이 증가되고, 포토레지스트 또는 소프트마스크에 대한 필요성이 없어져 공정이 간단해지는 추가의 이점을 갖는다.
제5 시도는 상술한 본 발명의 조성물을 증착 및 경화함으로써 반사 방지 피복물을 형성하는 단계를 포함한다.
제6 시도는 상술한 본 발명의 조성물을 다층으로 증착 및 경화함으로써 각각 UV 흡수 특성이 상이한 다층 필름을 갖는 반사 방지 피복물을 형성하는 단계를 포함한다.
본 발명의 방법의 추가의 변형을 하기 실시예를 통해 설명하겠으나, 이는 단지 예시를 위한 목적일 뿐 본 발명의 범위를 제한하지 않는다. 달리 언급하지 않는 한 모든 부 및 백분율은 중량 기준이다.
실시예 1
A) 90/10몰% 비닐/페닐 유기 실리케이트의 합성
250㎖들이 3구 둥근 바닥 플라스크를 20℃의 수욕 안에 넣고 공기 모터, 워터 재킷 응축기, 온도 조절기에 연결된 열전쌍 및 첨가 깔대기와 함께 고정시킨다. 21.6g(1.2mol)의 산성수(3N 아세트산)를 플라스크에 첨가한다. 53.3g(0.360mol)의 비닐트리메톡시실란 및 7.94g(0.040mol)의 페닐트리메톡시실란을 미리 혼합하고 첨가 깔대기에 첨가한다. 실란을 45분에 걸쳐 반응기에 첨가한다. 반응이 완결된 후 수욕을 제거하고 가열 맨틀을 플라스크 아래에 놓는다. 첨가 깔대기를 제거하고 딘-스타크(dean-stark) 트랩 및 질소 스윕(sweep) 라인을 부착한다. 용액을 100℃로 서서히 가열한다. 가열하는 동안 메탄올 및 아세트산이 트랩 내에 수집된다. 반응이 100℃에 도달했을 때, 100g의 프로필렌글리콜 모노메틸에테르 아세테이트를 첨가한다. 추가의 메탄올을 모으면서 용액을 125℃로 서서히 가열한다. 온도가 125℃에 도달했을 때 트랩을 제거하고 반응을 125℃에서 3시간 동안 유지시킨다. 3시간 후, 가열을 중단하고 75g의 프로필렌글리콜 모노메틸에테르 아세테이트를 첨가하고, 용액을 실온에 도달할 때까지 혼합한다. 실란을 첨가한 후 100℃ 및 125℃에서 공정이 끝날 때까지 30분 마다 분취량을 취한다. 분자량 측정, 분자량 분포(PD) 및 용해도 결과를 표 1에 기재한다.
분자량 데이타
샘플 Mn Mw Mz PD 비고
1 457 481 510 1.05 100℃에서 측정
2 971 1400 2260 1.44 125℃에서 측정
3 1260 2380 4980 1.88 125℃에서 30분 후에 측정
4 1510 3390 7950 2.25 125℃에서 60분 후에 측정
5 1870 5580 15000 2.99 125℃에서 90분 후에 측정
6 2080 7560 23100 3.64 125℃에서 120분 후에 측정
7 2250 9680 30400 4.3 125℃에서 150분 후에 측정
8 2270 13700 50800 6.02 125℃에서 180분 후에 측정
B) UV 경화성 조성물 제조, 경화 및 용해도 시험
표 1의 유기 실리케이트 용액 (1) 및 (4) 내지 (8)을 프로필렌글리콜 모노메틸 에테르 아세테이트 중에 15% 고형분 함량으로 균일하게 희석시키고, 4인치(10㎝) 실리콘 웨이퍼 위에 스핀 코팅한다. 용액을 300 내지 420rpm으로 18초간, 이어서 500 내지 680rpm으로 30초간 회전시킨다. 용매를 제거하기 위하여 웨이퍼를 130℃로 설정한 오븐에 1분간 넣어둔다. 실온으로 냉각한 후, 웨이퍼의 일부분을 현상 용액[MF-CD-26 마이크로포짓 디벨로퍼(Microposit Developer), 제조원: 시플리 케미칼 캄파니(Shipley Chemical Company)]에 30분간 담근다. 함침 후 웨이퍼를 탈이온수로 세정하고 공기 건조시킨다. 용액 (7) 및 (8)은 30초간의 함침 후 현상액에 용해되지 않는다. 용액(8)은 120초간의 함침 후에도 여전히 불용성이나, 용액(7)은 가장자리만 용해된다(약간의 코팅이 남는다). 용액 (5) 및 (6)은 30초간의 함침 후 가장자리만 용해되고 120초간의 함침 후 단지 약간 더 많은 필름이 용해된다(여전히 웨이퍼 위에 약간의 코팅이 보인다). 용액 (1) 및 (4)는 30초간의 함침 후 현상액 중에 완전히 용해된다.
혼합된 트리아릴설포늄 헥사플루오로안티모네이트 염, 광 산 생성 촉매[시라큐어(CYRACURER) UVI-6976, 제조원: 더 다우 케미칼 캄파니] 0.072g을 6.000g의 용액(4)에 첨가하고 균일해질 때까지 혼합한다. 4인치(10㎝) 실리콘 웨이퍼를 혼합물로 스핀 코팅한다. 웨이퍼를 130℃로 설정한 오븐에 1분간 넣어둔다. 실온으로 냉각한 후, 웨이퍼를 전구 높이까지 4"(10㎝) 거리를 두고 공기 중에서 10ft/분(3M/분)으로 UV 광(500와트, Hg 전구)에 노출시킨다. 웨이퍼를 현상 용액에 30초간, 이어서 120초간 추가로 함침시킨다. 코팅은 30초 및 120초의 함침 후 현상액에 불용성이며, 이는 코팅이 UV 광에 노출시 광경화성 하드마스크로 쉽게 전환될 수 있음을 증명한다.
실시예 2
혼합된 트리아릴설포늄 헥사플루오로안티모네이트 염(시라큐어 UVI-6976, 제조원: 더 다우 케미칼 캄파니)을 포함한 8%(수지 고형분 기준)의 양이온성 광산 생성기를 대표적인 유기실리케이트 수지(100% 비닐트리메톡시실란 또는 비닐트리메톡시실란과 페닐트리메톡시실란의 혼합물(95:5 mol:mol))에 첨가함으로써 화상화를 위한 광 하드마스크 조성물을 제조한다. 프로필렌 글리콜 메틸 에테르 아세테이트 용매[다우아놀(DowanolTM) PMA, 제조원: 더 다우 케미칼 캄파니]를 사용하여 조성물을 15% 고형분으로 희석한 후 0.22㎛ PTFE 필터를 통해 여과한다. 대략 2㎖의 광 하드마스크 조성물을 고정된 4인치(10㎝) 실리콘 웨이퍼 위에 분산(교련)시킨다. 분산 후, 웨이퍼를 먼저 500rpm으로 5초간 회전시킨 다음 2000 또는 5000rpm으로 30초간 제2 회전 주기를 수행한다. 광 하드마스크 조성물을 도포한 후, 피복된 실리콘 웨이퍼를 150℃로 설정된 직접 열판[Model VBS-200, 솔리텍 웨이퍼 프로세싱 인코포레이션(Solitec Wafer Processing, Inc.)] 위에서 예비-노출 베이킹한다.
광 노출 및 화상화는 칼 서스 마스크 동기기(Karl Suss Mask Aligner) Model MA 150으로부터 365㎚(I-선) 자외선 광을 사용하여 수행한다. 조사량은 3 내지 100㎛의 비아로 이루어진 멀티 전송 석영 마스크를 사용하여 5 내지 250mJ/㎠로 변화시킨다. 광 노출 후, 피복된 실리콘 웨이퍼를 220℃의 열판 위에서 60초 동안 후-베이킹한다. 피복된 웨이퍼를 2.38%의 테트라메틸암모늄 하이드록시드(TMAH) 수용액에 함침시킨 후 탈이온수로 세정함으로써 현상한다. 최종 단계로서, 현상된 웨이퍼를 2000rpm의 회전, 세정, 건조기 장치(PSC-102, 제조원: 세미툴 캄파니(Semitool Company)]에 넣어서 네가티브 화상(negative image)을 수득한다. 결과를 표 2에 기재한다.
조성물 Mw TMAH 중의 현상 시간(초) 완전 개방된 최소 비아(㎛)
100 7000 180 25
95:5 2000 10 15
95:5 2000 30 15
실시예 3
90:10 비닐:페닐 유기 실리케이트 수지(MW=2120).
비닐트리메톡시실란 및 페닐트리메톡시실란 수지의 90:10(mol:mol) 혼합물을 사용하여 실시예 2의 반응 조건을 실질적으로 반복한다. 시료는 8% 시라큐어 UVI-6976을 포함하며, 500rpm으로 5초간 회전시킨 다음 5000rpm으로 30초간 제2 회전 주기를 수행한 후, 55℃로 설정된 오븐에서 60초간 예비-노출 베이킹한다. 노출 후, 필름을 180℃로 설정된 오븐에서 60초간 후-베이킹한다. 필름을 2.38% TMAH 수용액 중에서 현상한다. 결과를 표 3에 기재한다.
조사량(mJ/㎠) 완전 개방된 최소 비아(㎛)
50.0 35
45.6 25
30.9 25
14.7 15
6.7 15
실시예 4
비닐 대 페닐의 비율을 90/10 대신 85/15로 한 것을 제외하고는 실시예 3의 반응 조건을 실질적으로 반복한다. 최종 분자량(Mw)이 공칭 5000g/mol이 되도록 반응 시간을 연장한다. 생성된 농축물을 12.7% 고형분으로 희석한다. 이 희석된 유기 실란 용액 28.35g에 0.17g의 차단된 트리플산 열적 산 생성기(케이-퓨어 2678, 제조원: 킹 인더스트리스)를 첨가한다. 공칭 3㎖의 상기 용액을 4"(10㎝)의 시험 웨이퍼 위에 500rpm으로 회전시켜 분산시킨다. 회전 속도를 30초간 3000rpm으로 높여서 용매를 제거한다. 피복된 웨이퍼를 공기 중에서 200℃로 설정된 오븐에서 2분간 베이킹한다. 피복 및 베이킹된 웨이퍼의 두께를 나노스펙(NanospecTM) 210 UV-VIS 반사계를 사용하여 UV-VIS 반사기(시판원: Nanometrics Inc.)로 측정한다. 두께 측정 후, 공칭 3㎖의 프로필렌글리콜 모노메틸 에테르 아세테이트(PGMEA)를 피복된 웨이퍼 위에 500rpm으로 분산시킨다. 회전 속도를 30초간 3000rpm으로 높여서 용매를 제거하고, PGMEA 세정된 웨이퍼를 공기 중 200℃의 오븐에서 2분간 베이킹한다. 피복 및 세정된 웨이퍼의 두께를 다시 측정한다. PGMEA 세정 이전의 코팅의 두께는 213.7㎚이고, PGMEA 세정 후의 두께는 199.2㎚로, 세정 후 보존율이 93.3%이다.
실시예 5
실시예 4에 설명된 바와 같이 85/15 유기 실리케이트 용액을 제조하고 PGMEA를 사용하여 15% 고형분으로 희석한다. 1.253g의 차단된 p-톨루엔 설폰산 열적 산 생성기(TAG)(케이-퓨어 2278, 제조원: 킹 인더스트리스)를 5.012g의 에틸 락테이트에 첨가한다. 이 TAG 용액 1.916g과 에틸 락테이트 10.145g을 15% 유기 실리케이트 용액 48.089g에 첨가한다. 생성된 조성물을 실시예 5에 설명한 바와 같이 4"(10㎝) 웨이퍼 위에 스핀 코팅한다. 피복된 필름의 두께를 측정한 후, 이것을 PGMEA로 세정하고 두께를 측정한다. 이 필름의 보존율은 73.3%이다.
비교예 A
실시예 5에서 사용된 85/15 유기 실리케이트 용액 30g을 실시예 5에 설명된 방법을 사용하여 4"(10㎝) 웨이퍼 위에 스핀 코팅한다. 필름 두께를 측정한 후, 웨이퍼를 PGMEA로 세정하고 두께를 다시 측정한다. 이 대조 필름의 보존율은 9%에 불과하다.
샘플 TAG 초기 두께(㎚) 세정 후 두께(㎚) 필름 보존율(%)
실시예 4 케이-퓨어 2678 213.7 199.2 93.3
실시예 5 케이-퓨어 2278 294.1 215.7 73.3
비교예 A 없음 296.2 118.0 9.0
실시예 6
혼합된 트리아릴설포늄 헥사플루오로안티모네이트 염(시라큐어 UVI-6976, 제조원: 다우 케미칼 캄파니)을 포함한 8%(수지 고형분 기준)의 양이온성 광산 생성기를 비닐트리메톡시실란 및 페닐트리메톡시실란의 혼합물(90:10 mol:mol)에 첨가함으로써 반사 방지 피복 조성물을 제조한다. 생성된 조성물을 프로필렌 글리콜 메틸 에테르 아세테이트 용매(다우아놀 PMA, 제조원: 더 다우 케미칼 캄파니)를 사용하여 15% 고형분으로 희석한 후, 0.22㎛ PTFE 필터를 통해 여과한다. 대략 2㎖의 조성물을 고정된 4인치(10㎝) 실리콘 웨이퍼 위에 분산(교련)시키고, 500rpm으로 5초간 회전시킨 다음 2000rpm으로 30초간 제2 회전 주기를 수행한다. 그런 다음, 피복된 실리콘 웨이퍼를 공기 중 225℃의 오븐에서 120초간 베이킹하고 UV 광(500와트, Hg 전구, 10초간 노출, 웨이퍼에서 전구까지의 거리 10㎝)에 노출시킨다.
193㎚ 파장 광을 위한 전형적인 포토레지스트 도안을 공지된 기술에 따라 적용 및 가공한다. 포토레지스트와 ARC 재료 사이의 계면에서 혼합이 관찰되지 않는다. 193㎚에서 가공된 필름의 광학적 특성을 시험한다. 30㎚의 필름 두께에서 반사 지수는 1.85이고 소광 지수는 0.5이다. 이로부터 비-화상화 광의 반사율은 1% 미만으로 최소가 된다.

Claims (15)

  1. 규소 원자에 결합된, 에틸렌계 불포화 결합을 갖는 하나 이상의 그룹을 갖는 알콕시 또는 아실옥시 실란(a),
    규소 원자에 결합된, 방향족 환을 함유하는 하나 이상의 그룹을 갖는 알콕시 또는 아실옥시 실란(b) 및
    광 산 생성기와 열적 산 생성기를 함유하는 잠산(latent acid) 촉매(c)
    를 포함하는 경화성 유기 실리케이트 조성물.
  2. 제1항에 있어서, 제1 실란(a)이 비닐 아세톡시 실란이고, 제2 실란(b)이 아릴알콕시실란인 조성물.
  3. 삭제
  4. 삭제
  5. 제1항에 있어서, 방향족 환을 함유하는 그룹이 페닐 또는 안트라세닐 그룹인 조성물.
  6. 삭제
  7. 기판을 제공하는 단계,
    유기, 무기 또는 혼성 중합체를 포함하고, 유전 상수가 3.0 미만인 제1 층을 기판 위에 형성하는 단계,
    제1항, 제2항 및 제5항 중의 어느 한 항에 따르는 유기 실리케이트 조성물을 제1 층 위에 도포하는 단계 및
    유기 실리케이트 조성물을 가수분해(경화)시켜 유기 실리케이트 수지층을 형성하는 단계
    를 포함하는, 다층 필름 제품의 제조방법.
  8. 삭제
  9. 삭제
  10. 삭제
  11. 삭제
  12. 삭제
  13. 삭제
  14. 삭제
  15. 삭제
KR1020057018491A 2003-04-02 2004-03-31 마이크로전자 장치에 사용하기 위한 유기 실리케이트 수지조성물 KR101197526B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US45973003P 2003-04-02 2003-04-02
US60/459,730 2003-04-02
PCT/US2004/010330 WO2004090965A2 (en) 2003-04-02 2004-03-31 Organosilicate resin formulation for use in microelectronic devices

Publications (2)

Publication Number Publication Date
KR20050108417A KR20050108417A (ko) 2005-11-16
KR101197526B1 true KR101197526B1 (ko) 2012-11-09

Family

ID=33159680

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020057018491A KR101197526B1 (ko) 2003-04-02 2004-03-31 마이크로전자 장치에 사용하기 위한 유기 실리케이트 수지조성물

Country Status (9)

Country Link
US (1) US8178159B2 (ko)
EP (2) EP1614151B1 (ko)
JP (1) JP2006522861A (ko)
KR (1) KR101197526B1 (ko)
CN (1) CN1768418B (ko)
AT (1) ATE487231T1 (ko)
DE (1) DE602004029879D1 (ko)
TW (1) TW200505966A (ko)
WO (1) WO2004090965A2 (ko)

Families Citing this family (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200505966A (en) 2003-04-02 2005-02-16 Dow Global Technologies Inc Organosilicate resin formulation for use in microelectronic devices
US9257302B1 (en) 2004-03-25 2016-02-09 Novellus Systems, Inc. CVD flowable gap fill
EP1846479B1 (en) * 2004-12-17 2010-10-27 Dow Corning Corporation Siloxane resin coating
DE602005008100D1 (de) * 2004-12-17 2008-08-21 Dow Corning Verfahren zur ausbildung einer antireflexionsbeschichtung
US7691275B2 (en) * 2005-02-28 2010-04-06 Board Of Regents, The University Of Texas System Use of step and flash imprint lithography for direct imprinting of dielectric materials for dual damascene processing
WO2007094848A2 (en) 2006-02-13 2007-08-23 Dow Corning Corporation Antireflective coating material
JP5007511B2 (ja) * 2006-02-14 2012-08-22 富士通株式会社 露光光遮蔽膜形成用材料、多層配線及びその製造方法、並びに半導体装置
US20070212886A1 (en) * 2006-03-13 2007-09-13 Dong Seon Uh Organosilane polymers, hardmask compositions including the same and methods of producing semiconductor devices using organosilane hardmask compositions
KR20070095736A (ko) * 2006-03-22 2007-10-01 제일모직주식회사 유기실란계 중합체를 포함하는 레지스트 하층막용 하드마스크 조성물 및 이를 이용한 반도체 집적회로 디바이스의 제조방법
US7629260B2 (en) * 2006-03-22 2009-12-08 Cheil Industries, Inc. Organosilane hardmask compositions and methods of producing semiconductor devices using the same
US8581094B2 (en) * 2006-09-20 2013-11-12 Dow Global Technologies, Llc Electronic device module comprising polyolefin copolymer
US9245739B2 (en) * 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
JP5470687B2 (ja) * 2007-08-10 2014-04-16 富士通株式会社 シリコン化合物、紫外線吸収体、多層配線装置の製造方法および多層配線装置
JP5587791B2 (ja) 2008-01-08 2014-09-10 東レ・ダウコーニング株式会社 シルセスキオキサン樹脂
WO2009091440A1 (en) 2008-01-15 2009-07-23 Dow Corning Corporation Silsesquioxane resins
CN101990551B (zh) 2008-03-04 2012-10-03 陶氏康宁公司 倍半硅氧烷树脂
JP5581224B2 (ja) 2008-03-05 2014-08-27 ダウ・コーニング・コーポレイション シルセスキオキサン樹脂
US8809482B2 (en) 2008-12-10 2014-08-19 Dow Corning Corporation Silsesquioxane resins
JP5062352B2 (ja) * 2010-09-09 2012-10-31 Jsr株式会社 レジストパターン形成方法
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
US9011591B2 (en) 2011-09-21 2015-04-21 Dow Global Technologies Llc Compositions and antireflective coatings for photolithography
US9366964B2 (en) 2011-09-21 2016-06-14 Dow Global Technologies Llc Compositions and antireflective coatings for photolithography
US9068086B2 (en) 2011-12-21 2015-06-30 Dow Global Technologies Llc Compositions for antireflective coatings
US8748317B2 (en) 2012-08-03 2014-06-10 Infineon Technologies Austria Ag Method of manufacturing a semiconductor device including a dielectric structure
US8999625B2 (en) * 2013-02-14 2015-04-07 International Business Machines Corporation Silicon-containing antireflective coatings including non-polymeric silsesquioxanes
US9847222B2 (en) 2013-10-25 2017-12-19 Lam Research Corporation Treatment for flowable dielectric deposition on substrate surfaces
US10049921B2 (en) 2014-08-20 2018-08-14 Lam Research Corporation Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
US9502255B2 (en) 2014-10-17 2016-11-22 Lam Research Corporation Low-k damage repair and pore sealing agents with photosensitive end groups
KR101807208B1 (ko) 2015-08-18 2017-12-08 주식회사 엘지화학 저굴절층 및 이를 포함하는 반사 방지 필름
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
US9916977B2 (en) 2015-11-16 2018-03-13 Lam Research Corporation Low k dielectric deposition via UV driven photopolymerization
KR102016710B1 (ko) 2016-01-07 2019-09-02 주식회사 엘지화학 반사 방지 필름
US20230146910A1 (en) * 2021-11-11 2023-05-11 Taiwan Semiconductor Manufacturing Co., Ltd. Methods and compositions for improved patterning of photoresist

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002016477A3 (en) * 2000-08-21 2002-12-27 Dow Chemical Co Organosilicate resins as hardmasks for organic polymer dielectrics in fabrication of microelectronic devices

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3954475A (en) 1971-09-03 1976-05-04 Minnesota Mining And Manufacturing Company Photosensitive elements containing chromophore-substituted vinyl-halomethyl-s-triazines
US4442197A (en) 1982-01-11 1984-04-10 General Electric Company Photocurable compositions
EP0153904B1 (de) * 1984-02-10 1988-09-14 Ciba-Geigy Ag Verfahren zur Herstellung einer Schutzschicht oder einer Reliefabbildung
US4603101A (en) 1985-09-27 1986-07-29 General Electric Company Photoresist compositions containing t-substituted organomethyl vinylaryl ether materials
US4731605A (en) 1987-02-20 1988-03-15 Nixon James E Remote garage door opener conversion
US5155175A (en) 1989-12-08 1992-10-13 Intellectual Property Law Dept. Crosslinkable fluorinated polyarylene ether composition
US5179188A (en) * 1990-04-17 1993-01-12 Raychem Corporation Crosslinkable fluorinated aromatic ether composition
US5115082A (en) * 1990-04-17 1992-05-19 Raychem Corporation Fluorinated poly(arylene ether)
JP2667742B2 (ja) * 1990-08-28 1997-10-27 沖電気工業株式会社 感光性樹脂組成物
US5262280A (en) 1992-04-02 1993-11-16 Shipley Company Inc. Radiation sensitive compositions
JPH06145599A (ja) * 1992-11-06 1994-05-24 Toray Ind Inc コーティング用組成物
JPH06148895A (ja) * 1992-11-06 1994-05-27 Toray Ind Inc 感光性樹脂組成物およびこれを用いたパターン形成方法
JP3123351B2 (ja) * 1994-06-15 2001-01-09 信越化学工業株式会社 硬化性シリコーン組成物
US5994489A (en) * 1994-10-24 1999-11-30 The Dow Chemical Company Adhesion promoter and self-priming arylcyclobutene resin compositions
US5986045A (en) 1995-06-26 1999-11-16 Alliedsignal Inc. Poly(arylene ether) compositions and the method for their manufacture
US5959157A (en) * 1995-06-26 1999-09-28 Alliedsignal, Inc. Process for making hydroxy-substituted ethynylated biphenyl compounds
US5874516A (en) 1995-07-13 1999-02-23 Air Products And Chemicals, Inc. Nonfunctionalized poly(arylene ethers)
TW412567B (en) * 1995-07-27 2000-11-21 Toray Industries Polyester composition and its film
JP4260882B2 (ja) * 1995-09-12 2009-04-30 ダウ グローバル テクノロジーズ インコーポレイティド エチニル置換芳香族化合物、それらの合成、ポリマーおよび使用
US5965679A (en) * 1996-09-10 1999-10-12 The Dow Chemical Company Polyphenylene oligomers and polymers
FR2754921B1 (fr) * 1996-10-22 1998-12-18 Dziulko Adolphe Richard Montre services-tennis permettant de visualiser instantanement la vitesse de la balle de service
US6218078B1 (en) * 1997-09-24 2001-04-17 Advanced Micro Devices, Inc. Creation of an etch hardmask by spin-on technique
US6159871A (en) * 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
US6184284B1 (en) 1998-08-24 2001-02-06 The Dow Chemical Company Adhesion promoter and self-priming resin compositions and articles made therefrom
KR20010101419A (ko) * 1999-01-07 2001-11-14 크리스 로저 에이취. 유기히드리도실록산 수지로부터 생성된 유전막
US6218317B1 (en) * 1999-04-19 2001-04-17 National Semiconductor Corp. Methylated oxide-type dielectric as a replacement for SiO2 hardmasks used in polymeric low K, dual damascene interconnect integration
US6509259B1 (en) 1999-06-09 2003-01-21 Alliedsignal Inc. Process of using siloxane dielectric films in the integration of organic dielectric films in electronic devices
JP2001022082A (ja) * 1999-07-12 2001-01-26 Jsr Corp レジスト下層膜用組成物の製造方法
JP3361779B2 (ja) * 1999-08-05 2003-01-07 日本山村硝子株式会社 コーティング組成物
US6498399B2 (en) 1999-09-08 2002-12-24 Alliedsignal Inc. Low dielectric-constant dielectric for etchstop in dual damascene backend of integrated circuits
US6420088B1 (en) * 2000-06-23 2002-07-16 International Business Machines Corporation Antireflective silicon-containing compositions as hardmask layer
US6441491B1 (en) * 2000-10-25 2002-08-27 International Business Machines Corporation Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device containing the same
US6406794B1 (en) * 2001-02-08 2002-06-18 Jsr Corporation Film-forming composition
JP2002299441A (ja) * 2001-03-30 2002-10-11 Jsr Corp デュアルダマシン構造の形成方法
CN100375265C (zh) * 2002-04-02 2008-03-12 陶氏环球技术公司 用于图形化双波纹互连的三层掩膜结构
JP4531400B2 (ja) * 2002-04-02 2010-08-25 ダウ グローバル テクノロジーズ インコーポレイティド エアギャップ含有半導体デバイスの製造方法及び得られる半導体デバイス
TW200505966A (en) 2003-04-02 2005-02-16 Dow Global Technologies Inc Organosilicate resin formulation for use in microelectronic devices

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002016477A3 (en) * 2000-08-21 2002-12-27 Dow Chemical Co Organosilicate resins as hardmasks for organic polymer dielectrics in fabrication of microelectronic devices

Also Published As

Publication number Publication date
ATE487231T1 (de) 2010-11-15
CN1768418A (zh) 2006-05-03
US8178159B2 (en) 2012-05-15
CN1768418B (zh) 2011-01-19
WO2004090965A2 (en) 2004-10-21
TW200505966A (en) 2005-02-16
JP2006522861A (ja) 2006-10-05
EP2278611A1 (en) 2011-01-26
DE602004029879D1 (de) 2010-12-16
WO2004090965A3 (en) 2005-04-14
US20070185298A1 (en) 2007-08-09
EP1614151A2 (en) 2006-01-11
EP1614151B1 (en) 2010-11-03
EP2278611B1 (en) 2012-09-19
KR20050108417A (ko) 2005-11-16

Similar Documents

Publication Publication Date Title
KR101197526B1 (ko) 마이크로전자 장치에 사용하기 위한 유기 실리케이트 수지조성물
TWI669353B (zh) 金屬硬遮罩組合物及在半導體基板上形成精細圖案之方法
US7172849B2 (en) Antireflective hardmask and uses thereof
US8642246B2 (en) Compositions, coatings and films for tri-layer patterning applications and methods of preparation thereof
JP4086830B2 (ja) スピンオンarc/ハードマスク用のシリコン含有組成物
KR101820195B1 (ko) 반사방지 코팅 조성물 및 이의 방법
KR101763048B1 (ko) 비-폴리머릭 실세스퀴옥산들을 포함하는 실리콘-함유 반사 방지 코팅들
JPH1160735A (ja) ポリシランおよびパターン形成方法
KR20090077618A (ko) 실리콘계 하드마스크 조성물 및 이를 이용한 반도체집적회로 디바이스의 제조방법
TW200842499A (en) Antireflective coating composition based on silicon polymer
KR102395936B1 (ko) 규소-풍부 실세스퀴옥산 수지
KR101400182B1 (ko) 포토레지스트 하층막용 조성물 및 이를 이용하는 반도체 소자의 제조 방법
KR20190038895A (ko) 하층 반사 방지막 형성 조성물
KR100725793B1 (ko) 레지스트 하층막용 하드마스크 조성물 및 이를 이용한반도체 집적회로 디바이스의 제조방법
CN102770807A (zh) 抗反射硬掩模组合物以及使用其制备图案化材料的方法
JPH11154638A (ja) パターン形成方法
KR100938445B1 (ko) 갭-필 조성물 및 이를 이용한 반도체 소자의 배선 형성방법
KR100493855B1 (ko) 실록산계 수지 및 이를 이용한 저유전성 패턴막의 형성방법
WO2019022855A1 (en) SILSESQUIOXANE COMPOSITION HAVING POSITIVE AND NEGATIVE PHOTORESIN CHARACTERISTICS
KR20130078428A (ko) 레지스트 하층막용 조성물 및 이를 이용한 반도체 집적회로 디바이스의 제조방법
KR100713238B1 (ko) 레지스트 하층막용 하드마스크 조성물 및 이를 이용한반도체 집적회로 디바이스의 제조방법
CN115427891A (zh) 抗蚀剂下层膜形成用组合物

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20151002

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20160929

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20181001

Year of fee payment: 7